Latest LVS passes io_analog[10]
diff --git a/gds/user_analog_project_wrapper.gds.gz b/gds/user_analog_project_wrapper.gds.gz
index 9dbd696..43433f9 100644
--- a/gds/user_analog_project_wrapper.gds.gz
+++ b/gds/user_analog_project_wrapper.gds.gz
Binary files differ
diff --git a/mag/BGR_lvs.mag b/mag/BGR_lvs.mag
index d6278a4..43f7620 100644
--- a/mag/BGR_lvs.mag
+++ b/mag/BGR_lvs.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1666387681
+timestamp 1669926749
 << nwell >>
 rect -8726 10225 -8100 11452
 << locali >>
@@ -760,11 +760,11 @@
 transform 0 1 -13057 -1 0 -5305
 box -1417 -1173 5029 21223
 use XM_bjt  XM_bjt_0
-timestamp 1666387681
+timestamp 1669926749
 transform 1 0 -1950 0 1 -2620
 box 0 0 6492 9068
 use XM_bjt_out  XM_bjt_out_0
-timestamp 1666387681
+timestamp 1669926749
 transform 1 0 -2070 0 1 6780
 box 0 0 6492 3916
 use XM_current_gate_with_dummy  XM_current_gate_with_dummy_0
diff --git a/mag/VCO.ext b/mag/VCO.ext
index 03acee2..c3527aa 100644
--- a/mag/VCO.ext
+++ b/mag/VCO.ext
@@ -1,4 +1,4 @@
-timestamp 1662920576
+timestamp 1663030914
 version 8.3
 tech sky130A
 style ngspice()
@@ -17,2745 +17,5061 @@
 use output_buffer output_buffer_0 1 0 34825 0 1 39195
 use sky130_fd_pr__pfet_01v8_lvt_75KH85 sky130_fd_pr__pfet_01v8_lvt_75KH85_0 1 0 33689 0 1 32864
 use buffer_amp_vop X3 1 0 26070 0 1 10600
-port "GND" 1 60540 36070 61280 37200 m2
-node "m3_61090_27360#" 0 1266.73 61090 27360 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 729125 4020 490050 2830 248600 2010 0 0
-node "m3_59620_27555#" 0 1075.29 59620 27555 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 135600 1610 264600 2550 110500 1330 0 0
-node "m3_57710_27380#" 0 1195.65 57710 27380 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 202125 1820 240800 1980 247250 2010 0 0
-node "m3_55465_27385#" 0 882.499 55465 27385 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 177425 1740 341775 2400 130725 1460 0 0
-node "m3_56407_27820#" 1 117.035 56407 27820 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3510 566 0 0 0 0 0 0
-node "m3_59300_29490#" 0 1028.43 59300 29490 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 186500 2100 183500 2200 89250 1240 0 0
-node "m3_61085_29785#" 0 1435 61085 29785 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 729925 3980 538625 2940 272700 2090 0 0
-node "m3_57735_29855#" 0 1097.06 57735 29855 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 196950 1790 245775 2000 246150 2010 0 0
+node "m3_61090_27360#" 0 1809.3 61090 27360 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 729125 4020 490050 2830 248600 2010 0 0
+node "m3_59620_27555#" 0 1070.74 59620 27555 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 135600 1610 264600 2550 110500 1330 0 0
+node "m3_57710_27380#" 0 1191.14 57710 27380 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 202125 1820 240800 1980 247250 2010 0 0
+node "m3_55465_27385#" 0 1034.12 55465 27385 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 177425 1740 341775 2400 130725 1460 0 0
+node "m3_56407_27820#" 1 116.493 56407 27820 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3510 566 0 0 0 0 0 0
+node "m3_59300_29490#" 0 1096.99 59300 29490 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 186500 2100 183500 2200 89250 1240 0 0
+node "m3_61085_29785#" 0 1846.26 61085 29785 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 729925 3980 538625 2940 272700 2090 0 0
+node "m3_57735_29855#" 0 1141.47 57735 29855 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 196950 1790 245775 2000 246150 2010 0 0
 node "m3_57480_29855#" 0 144.908 57480 29855 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39600 810 0 0 0 0 0 0
-node "m3_55465_29875#" 0 810.764 55465 29875 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 158700 1610 301350 2290 133300 1480 0 0
-node "m2_55046_36460#" 8 27.4005 55046 36460 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 248 252 0 0 0 0 0 0 0 0
-node "GND" 4 29987.5 60540 36070 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13480037 47480 7508910 34624 7803700 28640 11547800 35640 0 0
-node "GND" 2 16030 43710 37930 v2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 173400 1700 10093650 36390 2447400 8180 7072800 21980 0 0
-node "m1_61570_21365#" 1 137.45 61570 21365 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59480_21365#" 1 137.46 59480 21365 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57360_21365#" 1 137.911 57360 21365 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_61565_21725#" 1 97.0323 61565 21725 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59475_21725#" 1 97.4609 59475 21725 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57370_21725#" 1 96.9058 57370 21725 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_61575_22265#" 1 132.261 61575 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59460_22265#" 1 131.482 59460 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57370_22265#" 1 133.952 57370 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_61575_22855#" 1 170.359 61575 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59460_22855#" 1 170.474 59460 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57350_22855#" 1 170.999 57350 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_61580_23765#" 1 189.8 61580 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59445_23765#" 1 189.327 59445 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m3_55465_29875#" 0 988.383 55465 29875 v3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 158700 1610 301350 2290 133300 1480 0 0
+node "m2_55046_36460#" 8 27.4184 55046 36460 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 248 252 0 0 0 0 0 0 0 0
+node "GND" 4 29649.4 54780 20430 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12548787 47320 7508910 34624 7803700 28640 11547800 35640 0 0
+node "GND" 2 15587.2 43710 37930 v2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 173400 1700 10093650 36390 2447400 8180 7072800 21980 0 0
+node "m1_61570_21365#" 1 170.777 61570 21365 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59480_21365#" 1 136.996 59480 21365 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57360_21365#" 1 189.926 57360 21365 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_61565_21725#" 1 95.4663 61565 21725 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59475_21725#" 1 95.4663 59475 21725 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57370_21725#" 1 95.4663 57370 21725 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_61575_22265#" 1 132.531 61575 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59460_22265#" 1 111.205 59460 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57370_22265#" 1 148.516 57370 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_61575_22855#" 1 133.035 61575 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59460_22855#" 1 133.035 59460 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57350_22855#" 1 170.226 57350 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_61580_23765#" 1 171.281 61580 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59445_23765#" 1 171.281 59445 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
 node "m1_57370_23765#" 1 190.046 57370 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_55094_26496#" 3 603.221 55094 26496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 78600 2724 0 0 0 0 0 0 0 0 0 0
-node "m1_55108_31074#" 3 599.728 55108 31074 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 78216 2708 0 0 0 0 0 0 0 0 0 0
-node "CTRL5" 22 4269.32 63585 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 630300 21130 0 0 0 0 0 0 0 0 0 0
-node "m1_61555_33805#" 1 172.035 61555 33805 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_55094_26496#" 3 602.444 55094 26496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 78600 2724 0 0 0 0 0 0 0 0 0 0
+node "m1_55108_31074#" 3 598.958 55108 31074 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 78216 2708 0 0 0 0 0 0 0 0 0 0
+node "CTRL5" 22 2966.39 63585 23765 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 630300 21130 0 0 0 0 0 0 0 0 0 0
+node "m1_61555_33805#" 1 171.281 61555 33805 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
 node "m1_59460_33805#" 1 171.281 59460 33805 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57385_33805#" 1 171.532 57385 33805 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "CTRL4" 27 3084.2 63635 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 767400 25700 0 0 0 0 0 0 0 0 0 0
-node "m1_61570_34715#" 1 135.726 61570 34715 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59460_34715#" 1 133.421 59460 34715 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57380_34715#" 1 135.193 57380 34715 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "CTRL3" 30 2790.44 63645 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 869100 29090 0 0 0 0 0 0 0 0 0 0
-node "m1_61595_35305#" 1 145.399 61595 35305 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59465_35305#" 1 149.519 59465 35305 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57355_35305#" 1 146.354 57355 35305 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "CTRL2" 33 2227.86 63690 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 958800 32080 0 0 0 0 0 0 0 0 0 0
-node "m1_61585_35845#" 1 126.44 61585 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59490_35845#" 1 125.358 59490 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_57365_35845#" 1 130.708 57365 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22800 880 0 0 0 0 0 0 0 0 0 0
-node "CTRL1" 36 5157.71 63670 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1034400 34600 0 0 0 0 0 0 0 0 0 0
-node "m1_61580_36205#" 1 189.38 61580 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
-node "m1_59485_36205#" 1 188.532 59485 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57385_33805#" 1 171.281 57385 33805 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "CTRL4" 27 1928.13 63635 22855 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 767400 25700 0 0 0 0 0 0 0 0 0 0
+node "m1_61570_34715#" 1 170.226 61570 34715 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59460_34715#" 1 133.035 59460 34715 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57380_34715#" 1 170.226 57380 34715 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "CTRL3" 30 2139.69 63645 22265 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 869100 29090 0 0 0 0 0 0 0 0 0 0
+node "m1_61595_35305#" 1 111.205 61595 35305 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59465_35305#" 1 132.531 59465 35305 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57355_35305#" 1 111.205 57355 35305 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "CTRL2" 33 2156.13 63690 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 958800 32080 0 0 0 0 0 0 0 0 0 0
+node "m1_61585_35845#" 1 126.934 61585 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59490_35845#" 1 95.4663 59490 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_57365_35845#" 1 128.302 57365 35845 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22800 880 0 0 0 0 0 0 0 0 0 0
+node "CTRL1" 36 5019.38 63670 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1034400 34600 0 0 0 0 0 0 0 0 0 0
+node "m1_61580_36205#" 1 189.926 61580 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
+node "m1_59485_36205#" 1 170.777 59485 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500 870 0 0 0 0 0 0 0 0 0 0
 node "m1_57390_36205#" 1 183.303 57390 36205 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21600 840 0 0 0 0 0 0 0 0 0 0
-node "m1_50680_31080#" 16 2826.94 50680 31080 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 320500 12920 0 0 0 0 0 0 0 0 0 0
+node "m1_50680_31080#" 16 2824.78 50680 31080 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 320500 12920 0 0 0 0 0 0 0 0 0 0
 node "m1_46335_31170#" 0 236.681 46335 31170 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43050 1030 0 0 0 0 0 0 0 0 0 0
-node "m1_35620_27495#" 4 5706.91 35620 27495 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 104955 2392 4050600 19700 0 0 0 0 0 0 0 0
-node "m1_35580_30580#" 1 1020.39 35580 30580 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 110400 1640 93600 1260 93600 1260 226200 1940 0 0 0 0
-node "m1_48170_36500#" 5 1505.06 48170 36500 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 97900 3760 18900 600 325500 3520 0 0 0 0 0 0
-node "m1_47700_36500#" 4 880.884 47700 36500 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 86550 3060 18900 600 119700 1560 0 0 0 0 0 0
-node "m1_36045_25020#" 17 4776.53 36045 25020 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 657225 18590 485875 3640 0 0 0 0 0 0 0 0
-node "m1_33455_32800#" 4 712.51 33455 32800 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 79225 2880 173000 2130 0 0 0 0 0 0 0 0
-node "m1_46210_32690#" 11 2656.58 46210 32690 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 402100 11840 0 0 0 0 0 0 0 0 0 0
-node "li_32925_24370#" 47 450.594 32925 24370 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43450 1010 43450 1010 30600 890 0 0 0 0 0 0 0 0
+node "m1_35620_27495#" 4 5551.27 35620 27495 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 104955 2392 4050600 19700 0 0 0 0 0 0 0 0
+node "m1_35580_30580#" 1 1158.99 35580 30580 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 110400 1640 93600 1260 93600 1260 226200 1940 0 0 0 0
+node "m1_48170_36500#" 5 1500.21 48170 36500 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 97900 3760 18900 600 325500 3520 0 0 0 0 0 0
+node "m1_47700_36500#" 4 865.944 47700 36500 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 86550 3060 18900 600 119700 1560 0 0 0 0 0 0
+node "m1_36045_25020#" 17 4728.44 36045 25020 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 657225 18590 485875 3640 0 0 0 0 0 0 0 0
+node "m1_33455_32800#" 4 651.85 33455 32800 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 79225 2880 173000 2130 0 0 0 0 0 0 0 0
+node "m1_46210_32690#" 11 2642.05 46210 32690 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 402100 11840 0 0 0 0 0 0 0 0 0 0
+node "li_32925_24370#" 47 614.206 32925 24370 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43450 1010 43450 1010 30600 890 0 0 0 0 0 0 0 0
 node "li_55178_25280#" 49 84.6545 55178 25280 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6160 388 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_33670_25540#" 923 1535.8 33670 25540 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 151600 6700 62400 1280 46800 1220 30000 1120 0 0 0 0 0 0
-node "li_34160_25810#" 707 652.765 34160 25810 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 88400 4500 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_52135_26050#" 46 465.674 52135 26050 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46575 1040 46575 1040 33750 930 0 0 0 0 0 0 0 0
+node "li_33670_25540#" 923 1698.59 33670 25540 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 151600 6700 62400 1280 46800 1220 30000 1120 0 0 0 0 0 0
+node "li_34160_25810#" 707 648.466 34160 25810 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 88400 4500 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_52135_26050#" 46 636.801 52135 26050 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46575 1040 46575 1040 33750 930 0 0 0 0 0 0 0 0
 node "li_55174_27882#" 49 84.6545 55174 27882 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6160 388 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_55184_29702#" 49 84.6545 55184 29702 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6160 388 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_36440_31120#" 60 753.835 36440 31120 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46000 1120 55200 1160 46000 1120 46000 1120 0 0 0 0 0 0
-node "li_52140_31175#" 46 464.252 52140 31175 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46575 1040 46575 1040 33300 920 0 0 0 0 0 0 0 0
+node "li_36440_31120#" 60 845.367 36440 31120 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46000 1120 55200 1160 46000 1120 46000 1120 0 0 0 0 0 0
+node "li_52140_31175#" 46 632.852 52140 31175 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 46575 1040 46575 1040 33300 920 0 0 0 0 0 0 0 0
 node "li_33260_31990#" 18 345.961 33260 31990 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19800 570 19800 570 19800 570 0 0 0 0 0 0 0 0
 node "li_55194_32310#" 49 84.6545 55194 32310 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6160 388 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 21 35447.7 33895 33070 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9350 390 31850 1490 14303260 52952 4159320 21826 3505996 15704 23675860 72316 0 0
+node "VDD" 21 35650.2 33895 33070 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9350 390 31850 1490 14303260 52952 4159320 21826 3505996 15704 23675860 72316 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m1_46210_32690#" "m1_47700_36500#" 42.1899
-cap "m1_33455_32800#" "li_33260_31990#" 9.02308
-cap "m3_57735_29855#" "m3_55465_29875#" 34.7604
-cap "m1_61580_36205#" "m1_61570_34715#" 9.41853
-cap "m1_59460_33805#" "m1_59460_34715#" 16.2794
-cap "m1_57380_34715#" "m1_57365_35845#" 12.5874
-cap "CTRL3" "CTRL5" 858.938
-cap "m3_59620_27555#" "m3_61090_27360#" 12.7935
-cap "m1_59460_22855#" "m1_59475_21725#" 12.415
-cap "li_33670_25540#" "li_34160_25810#" 269.043
-cap "m1_61555_33805#" "m1_61595_35305#" 8.58437
-cap "CTRL1" "CTRL3" 1149.97
-cap "CTRL1" "CTRL5" 394.381
-cap "m1_61575_22855#" "m1_61565_21725#" 12.5874
-cap "m1_61585_35845#" "m1_61570_34715#" 12.415
-cap "m1_57355_35305#" "m1_57365_35845#" 28.0594
-cap "li_55174_27882#" "GND" 16.1747
-cap "m1_57380_34715#" "m1_57385_33805#" 16.0624
-cap "li_55178_25280#" "VDD" 47.9598
-cap "m1_59465_35305#" "m1_59490_35845#" 26.9062
-cap "li_34160_25810#" "m1_36045_25020#" 46.7746
-cap "m1_57355_35305#" "m1_57385_33805#" 8.84062
-cap "m1_61580_23765#" "m1_61575_22855#" 16.0624
-cap "GND" "li_34160_25810#" 11.9639
-cap "m3_59300_29490#" "m3_59620_27555#" 13.3787
-cap "m1_57370_21725#" "m1_57350_22855#" 12.2425
-cap "m3_59300_29490#" "m3_57735_29855#" 19.8573
-cap "m1_61580_36205#" "m1_61595_35305#" 15.8143
-cap "m1_50680_31080#" "VDD" 129.876
-cap "li_33670_25540#" "m1_35620_27495#" 19.55
-cap "m1_57370_23765#" "m1_57370_22265#" 9.60938
-cap "m1_57370_22265#" "m1_57350_22855#" 24.716
-cap "li_33670_25540#" "m1_36045_25020#" 61.3119
-cap "li_55194_32310#" "VDD" 47.9598
-cap "m1_61585_35845#" "m1_61595_35305#" 28.0594
-cap "li_33670_25540#" "GND" 380.58
-cap "m1_61575_22265#" "m1_61570_21365#" 16.2536
-cap "m1_36045_25020#" "m1_35620_27495#" 449.643
-cap "GND" "m1_35620_27495#" 389.655
-cap "GND" "VDD" 8298.74
-cap "m1_59465_35305#" "m1_59485_36205#" 15.5946
-cap "GND" "VDD" 2058.96
-cap "GND" "m1_36045_25020#" 269.735
-cap "m1_59465_35305#" "m1_59460_34715#" 25.7604
-cap "m1_59485_36205#" "m1_59490_35845#" 45.51
-cap "m1_59460_22265#" "m1_59480_21365#" 15.5946
-cap "m1_46210_32690#" "m1_48170_36500#" 11.6806
-cap "m1_57390_36205#" "m1_57380_34715#" 9.28951
-cap "m1_59460_34715#" "m1_59490_35845#" 11.8977
-cap "m1_57370_21725#" "m1_57360_21365#" 44.895
-cap "m1_47700_36500#" "m1_48170_36500#" 182.424
-cap "m1_57390_36205#" "m1_57355_35305#" 14.9357
-cap "m1_61570_21365#" "m1_61565_21725#" 45.51
-cap "m3_59620_27555#" "m3_57710_27380#" 20.8038
-cap "GND" "li_55184_29702#" 15.3304
-cap "m1_59480_21365#" "m1_59475_21725#" 45.51
-cap "m1_59460_22855#" "m1_59480_21365#" 9.16049
-cap "GND" "m3_56407_27820#" 0.53563
-cap "m3_55465_29875#" "m3_57480_29855#" 5.24051
-cap "m1_61570_34715#" "m1_61595_35305#" 24.3679
-cap "m3_57710_27380#" "m3_55465_27385#" 35.8937
-cap "m1_57370_22265#" "m1_57360_21365#" 16.0339
-cap "CTRL2" "CTRL4" 997.391
-cap "m1_59465_35305#" "m1_59460_33805#" 9.48125
-cap "m3_57735_29855#" "m3_57480_29855#" 740.74
-cap "m1_57370_23765#" "m1_57350_22855#" 15.4112
-cap "m3_59300_29490#" "m3_61085_29785#" 11.6324
-cap "m1_59445_23765#" "m1_59460_22265#" 9.225
-cap "m1_46210_32690#" "VDD" 142.401
-cap "m1_55108_31074#" "GND" 35.6506
-cap "GND" "m3_55465_29875#" 91.8352
-cap "m1_61575_22265#" "m1_61565_21725#" 28.0594
-cap "m1_33455_32800#" "VDD" 872.735
-cap "m1_57380_34715#" "m1_57355_35305#" 24.3679
-cap "m1_61585_35845#" "m1_61580_36205#" 45.51
-cap "GND" "m3_55465_27385#" 94.463
-cap "m1_61575_22855#" "m1_61570_21365#" 9.54755
-cap "li_52140_31175#" "m1_50680_31080#" 10.5989
-cap "CTRL3" "CTRL2" 2653.32
-cap "CTRL2" "CTRL5" 540.13
-cap "m1_55094_26496#" "GND" 37.5325
-cap "m1_35580_30580#" "m1_36045_25020#" 216.746
-cap "CTRL1" "CTRL2" 2919.45
-cap "m1_59460_34715#" "m1_59485_36205#" 9.03147
-cap "m1_59460_22855#" "m1_59445_23765#" 15.6282
-cap "m1_61580_23765#" "m1_61575_22265#" 9.48125
-cap "m1_61555_33805#" "m1_61570_34715#" 15.6282
-cap "m2_55046_36460#" "GND" 67.8519
-cap "m1_57390_36205#" "m1_57365_35845#" 43.665
-cap "m1_57370_21725#" "m1_57370_22265#" 28.8281
-cap "m1_36045_25020#" "li_36440_31120#" 15.8143
-cap "CTRL3" "CTRL4" 2213.48
-cap "GND" "li_36440_31120#" 517.125
-cap "m1_59460_22855#" "m1_59460_22265#" 26.1085
-cap "CTRL4" "CTRL5" 2150.28
-cap "m1_59460_22265#" "m1_59475_21725#" 27.675
-cap "m1_61575_22265#" "m1_61575_22855#" 26.1085
-cap "CTRL1" "CTRL4" 643.244
-cap "m1_57360_21365#" "m1_57350_22855#" 9.41853
-cap "X3/X2/VDD" "X3/X2/XR1/a_n703_n3602#" -3.3697
-cap "X3/X2/XR1/a_n703_n3602#" "X3/X2/VDD" -0.0337917
-cap "X6/ctrll1" "X3/X2/VDD" 0.912412
-cap "X6/XM1/a_n73_n100#" "X6/GND" 9.2468
-cap "X6/XM1/a_n73_n100#" "X3/X2/VDD" 20.018
-cap "X3/X2/VDD" "X6/GND" 44.0641
-cap "X3/X2/XR1/a_n703_n3602#" "X3/X2/VDD" 66.668
-cap "X3/X2/XR1/a_n703_n3602#" "X6/GND" 22.5779
-cap "X3/X2/VDD" "X7/ctrll1" 11.1345
-cap "X6/XM1/a_n73_n100#" "X6/GND" 45.5256
-cap "X7/ctrll2" "X6/XM1/a_n73_n100#" -3.89729
-cap "X7/ctrll2" "X6/GND" -1.23374
-cap "X3/X2/VDD" "X6/XM1/a_n73_n100#" 30.7291
-cap "X7/ctrll1" "X6/XM1/a_n73_n100#" -28.763
-cap "X3/X2/VDD" "X6/GND" 193.474
-cap "X7/ctrll1" "X6/GND" -237.707
-cap "X3/X2/VDD" "X7/GND" 12.7892
-cap "X6/XM2/a_15_n100#" "X7/GND" 102.77
-cap "X7/GND" "X6/XM1/a_n73_n100#" 13.1882
-cap "X7/ctrll1" "X7/ctrll2" -0.670369
-cap "X7/ctrll2" "X7/GND" 32.2056
-cap "X7/ctrll1" "X7/GND" -104.141
-cap "X7/XM1/a_n73_n100#" "X6/XM2/a_15_n100#" 37.032
-cap "X7/ctrll3" "X7/ctrll2" -3.11344
-cap "X7/ctrll1" "X7/ctrll3" -1.64732
-cap "X7/ctrll2" "X7/XM1/a_n73_n100#" 2.00386
-cap "X7/XM1/a_n73_n100#" "X7/GND" 9.67414
-cap "X6/XM4/a_111_n100#" "X7/GND" 4.32447
-cap "X6/XM3/a_n33_n100#" "X7/GND" 7.07782
-cap "X7/ctrll2" "X6/XM2/a_15_n100#" -6.11419
-cap "X7/ctrll2" "X7/ctrll4" -1.12079
-cap "X7/ctrll1" "X6/XM2/a_15_n100#" -30.8583
-cap "X7/ctrll1" "X3/X2/VDD" 3.96212
-cap "X7/ctrll2" "X6/XM1/a_n73_n100#" 0.0809304
-cap "X7/ctrll1" "X7/ctrll4" -0.967657
-cap "X7/ctrll1" "X6/XM1/a_n73_n100#" -7.98215
-cap "X6/XM2/a_15_n100#" "X7/ctrll1" 1.607
-cap "X7/GND" "X7/XM1/a_n73_n100#" 39.0406
-cap "X7/ctrll2" "X7/XM1/a_n73_n100#" -6.09474
-cap "X7/ctrll2" "X7/ctrll3" -0.15375
-cap "X7/XM1/a_n73_n100#" "X7/ctrll1" -79.5581
-cap "X6/XM2/a_15_n100#" "X7/XM1/a_n73_n100#" 37.032
-cap "X8/XM1/a_n73_n100#" "X7/GND" 6.40472
-cap "X8/XM1/a_n73_n100#" "X7/ctrll2" 0.47556
-cap "X7/GND" "X7/XM2/a_15_n100#" -106.527
-cap "X7/ctrll2" "X7/GND" -6.53394
-cap "X7/GND" "X7/ctrll1" -5.94544
-cap "X7/ctrll2" "X7/ctrll1" 12.1601
-cap "X7/GND" "X6/XM2/a_15_n100#" 9.43138
-cap "X8/XM1/a_n73_n100#" "X7/GND" 35.4381
-cap "X8/XM1/a_n73_n100#" "X7/ctrll2" -10.0239
-cap "X7/XM4/a_111_n100#" "X7/GND" 4.32447
-cap "X7/XM2/a_15_n100#" "X7/ctrll1" -64.9399
-cap "X7/ctrll4" "X7/ctrll2" -1.20701
-cap "X7/GND" "X7/XM3/a_n33_n100#" 7.07782
-cap "X7/XM2/a_15_n100#" "X7/GND" 111.69
-cap "X7/ctrll2" "X7/XM2/a_15_n100#" -5.06652
-cap "X7/GND" "X7/ctrll1" 943.034
-cap "X7/ctrll2" "X7/ctrll1" 0.0583849
-cap "X7/ctrll2" "X7/GND" 1755.6
-cap "X7/ctrll3" "X7/ctrll1" -1.42768
-cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 74.064
-cap "X8/XM1/a_n73_n100#" "X7/ctrll1" -67.9943
-cap "X7/ctrll4" "X7/ctrll1" -0.838636
-cap "X7/ctrll3" "X7/GND" 1.77636e-15
-cap "X7/ctrll3" "X7/ctrll2" -2.69062
-cap "X7/ctrll3" "X7/ctrll2" -2.88281
-cap "X8/XM1/a_n73_n100#" "X7/ctrll2" 29.9205
-cap "X7/GND" "X8/XM1/a_n73_n100#" 62.8066
-cap "X7/ctrll1" "X8/XM2/a_15_n100#" -52.239
-cap "X7/GND" "X7/ctrll2" 823.017
-cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 1.95549
-cap "X7/GND" "X7/XM2/a_15_n100#" 7.86268
-cap "X7/ctrll1" "X7/ctrll4" -0.980559
-cap "X9/XM1/a_n73_n100#" "X8/XM2/a_15_n100#" 37.032
-cap "X7/ctrll1" "X7/ctrll3" -1.66929
-cap "X8/XM2/a_15_n100#" "X7/ctrll2" -6.11419
-cap "X7/GND" "X8/XM2/a_15_n100#" 102.77
-cap "X7/GND" "X8/XM4/a_111_n100#" 4.32447
-cap "X7/ctrll4" "X7/ctrll2" -1.29322
-cap "X7/ctrll1" "X8/XM1/a_n73_n100#" 82.3941
-cap "X7/ctrll1" "X7/ctrll2" 2.32007
-cap "X7/GND" "X8/XM3/a_n33_n100#" 7.07782
-cap "X7/GND" "X7/ctrll1" 894.972
-cap "X7/ctrll1" "X7/XM2/a_15_n100#" 1.16399
-cap "X9/XM1/a_n73_n100#" "X7/ctrll2" 2.00386
-cap "X7/GND" "X9/XM1/a_n73_n100#" 9.67414
-cap "X8/XM2/a_15_n100#" "X9/GND" 9.43138
-cap "X9/ctrll2" "X9/GND" 7.08624
-cap "X9/XM2/a_15_n100#" "X9/GND" -9.85266
-cap "X9/ctrll3" "X9/ctrll1" -0.0878571
-cap "X9/XM1/a_n73_n100#" "X9/ctrll1" -64.5477
-cap "X9/XM1/a_n73_n100#" "X9/GND" 39.0406
-cap "X9/ctrll4" "X9/ctrll1" -0.0516084
-cap "X9/XM1/a_n73_n100#" "X8/XM2/a_15_n100#" 37.032
-cap "X9/ctrll2" "X9/XM1/a_n73_n100#" -6.09474
-cap "X9/ctrll1" "X9/GND" 3.65513
-cap "X9/ctrll2" "X9/ctrll1" 10.1082
-cap "X8/XM2/a_15_n100#" "X9/ctrll1" 1.607
-cap "X9/GND" "X9/XM2/a_15_n100#" 19.6351
-cap "X9/ctrll3" "X9/ctrll1" -0.549107
-cap "X9/GND" "X9/ctrll2" 2961.61
-cap "X9/ctrll1" "X9/XM2/a_15_n100#" -1.11624
-cap "X9/ctrll2" "X9/ctrll4" -0.258645
-cap "X9/ctrll1" "X9/ctrll2" 0.801215
-cap "X9/ctrll3" "X9/ctrll2" -0.576563
-cap "X9/ctrll2" "X9/XM2/a_15_n100#" -0.42963
-cap "X9/ctrll1" "X9/GND" 2458.23
-cap "X9/ctrll1" "X9/ctrll4" -0.322552
-cap "X9/GND" "X9/ctrll1" 1.05919
-cap "X9/ctrll2" "X9/ctrll1" 3.46622
-cap "X9/ctrll1" "X9/XM2/a_15_n100#" 1.0974
-cap "X6/XM1/a_n73_n100#" "X1/X2/VDD" 4.53846
-cap "X1/X2/VDD" "X3/X2/XR1/a_n703_n3602#" 157.84
-cap "X6/XM1/a_n73_n100#" "X6/GND" 4.60912
-cap "X6/GND" "X1/X2/VDD" -22.5265
-cap "X6/GND" "X6/XC6/c2_n451_n200#" 3.83468
-cap "X6/XM3/a_n33_n100#" "X6/GND" 13.2984
-cap "X6/GND" "X6/XM1/a_n73_n100#" 92.4588
-cap "X7/XC6/m4_n551_n300#" "X6/XM1/a_n73_n100#" 1.77346
-cap "X6/XC6/c2_n451_n200#" "X6/GND" 155.221
-cap "X6/XC6/c2_n451_n200#" "X6/XM1/a_n73_n100#" -107.779
-cap "X1/X2/VDD" "X6/XM1/a_n73_n100#" 4.53846
-cap "X6/XM1/a_n73_n100#" "X7/XC6/c2_n451_n200#" 22.154
-cap "X7/XM1/a_n73_n100#" "X6/XM4/a_111_n100#" 117.108
-cap "X7/XM1/a_n73_n100#" "X6/XM1/a_n73_n100#" 35.4709
-cap "X6/XM1/a_n73_n100#" "X7/ctrll4" 28.6575
-cap "X6/XM2/a_15_n100#" "X6/XM5/a_159_n100#" -5.77043
-cap "X7/ctrll4" "X6/XM4/a_111_n100#" 44.5165
-cap "X7/ctrll4" "X7/ctrll2" -1.12079
-cap "X1/X2/BIAS" "X6/XM4/a_111_n100#" 3.64598
-cap "X6/XM1/a_n73_n100#" "X7/ctrll3" 0.0851449
-cap "X7/ctrll1" "X7/ctrll4" -0.967657
-cap "X7/GND" "X6/XM1/a_n73_n100#" 30.9672
-cap "X7/GND" "X6/XM4/a_111_n100#" 13.0854
-cap "X7/ctrll2" "X7/ctrll3" -3.11344
-cap "X7/m1_4820_n890#" "X6/XM4/a_111_n100#" 11.0133
-cap "X7/XM1/a_n73_n100#" "X6/XM3/a_n33_n100#" 69.0731
-cap "X7/XM1/a_n73_n100#" "X7/ctrll4" 2.50565
-cap "X6/XM3/a_n33_n100#" "X7/ctrll4" 0.0163471
-cap "X7/ctrll1" "X7/ctrll3" -1.64732
-cap "X6/XM3/a_n33_n100#" "X7/ctrll3" 14.8925
-cap "X7/m1_4820_n890#" "X6/XM3/a_n33_n100#" 8.64948
-cap "X6/XM5/a_159_n100#" "X6/XM4/a_111_n100#" 0.810749
-cap "X7/GND" "X6/XM3/a_n33_n100#" 156.285
-cap "X7/ctrll4" "X7/ctrll3" -4.52547
-cap "X7/GND" "X7/ctrll4" 1.65029
-cap "X6/XM2/a_15_n100#" "X6/XM4/a_111_n100#" -9.33596
-cap "X7/GND" "X7/ctrll3" 1.59067
-cap "X7/XM1/a_n73_n100#" "X6/XM5/a_159_n100#" 2.23793
-cap "X7/XM1/a_n73_n100#" "X6/XM2/a_15_n100#" 25.4672
-cap "X6/XM2/a_15_n100#" "X7/XC6/c2_n451_n200#" 88.5619
-cap "X6/XM2/a_15_n100#" "X6/XM3/a_n33_n100#" 11.6679
-cap "X7/GND" "X6/XM5/a_159_n100#" 3.39879
-cap "X7/m1_4820_n890#" "X6/XM5/a_159_n100#" 5.21167
-cap "X6/XM2/a_15_n100#" "X7/ctrll4" 0.22744
-cap "X6/XM2/a_15_n100#" "X7/GND" -0.00342334
-cap "X6/XM2/a_15_n100#" "X7/ctrll3" 0.346272
-cap "X7/ctrll5" "X7/ctrll4" -1.41088
-cap "X7/ctrll5" "X7/ctrll3" -1.03781
-cap "X8/XM1/a_n73_n100#" "X7/XM3/a_n33_n100#" 28.433
-cap "X7/XM3/a_n33_n100#" "X7/ctrll3" -69.9727
-cap "X7/XM2/a_15_n100#" "X7/XM4/a_111_n100#" 4.95737
-cap "X7/GND" "X7/XM3/a_n33_n100#" 95.7345
-cap "X7/ctrll4" "X7/XM3/a_n33_n100#" 0.00167427
-cap "X6/XM4/a_111_n100#" "X7/XM3/a_n33_n100#" 11.0133
-cap "X7/ctrll5" "X7/ctrll3" -0.05125
-cap "X6/XM5/a_159_n100#" "X7/GND" 3.43096
-cap "X7/XM1/a_n73_n100#" "X7/XC6/c2_n451_n200#" -125.505
-cap "X6/XM3/a_n33_n100#" "X7/GND" 5.95311
-cap "X6/XM5/a_159_n100#" "X7/XM1/a_n73_n100#" 0.995399
-cap "X6/XM3/a_n33_n100#" "X7/XM1/a_n73_n100#" 43.687
-cap "X8/XM1/a_n73_n100#" "X7/XM1/a_n73_n100#" 17.7355
-cap "X7/GND" "X7/ctrll3" -0.0431854
-cap "X6/XM2/a_15_n100#" "X7/XC6/c2_n451_n200#" 88.5619
-cap "X6/XM1/a_n73_n100#" "X7/XC6/c2_n451_n200#" 22.154
-cap "X7/ctrll4" "X7/GND" -0.0749551
-cap "X7/XM1/a_n73_n100#" "X8/XC6/c2_n451_n200#" 22.154
-cap "X6/XM4/a_111_n100#" "X7/GND" 7.37728
-cap "X7/XM1/a_n73_n100#" "X7/ctrll3" -1.71482
-cap "X7/ctrll4" "X7/XM1/a_n73_n100#" 40.3122
-cap "X6/XM4/a_111_n100#" "X7/XM1/a_n73_n100#" 52.9759
-cap "X7/XM4/a_111_n100#" "X7/XM5/a_159_n100#" -4.4353
-cap "X7/ctrll2" "X7/ctrll3" -0.15375
-cap "X7/ctrll5" "X7/XM4/a_111_n100#" -0.36302
-cap "X6/XM2/a_15_n100#" "X7/XM1/a_n73_n100#" 25.4672
-cap "X6/XM1/a_n73_n100#" "X7/XM1/a_n73_n100#" 17.118
-cap "X8/XM1/a_n73_n100#" "X7/XM4/a_111_n100#" 5.29616
-cap "X6/XM5/a_159_n100#" "X7/XM3/a_n33_n100#" 5.21167
-cap "X6/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 8.64948
-cap "X7/ctrll4" "X7/XM4/a_111_n100#" -74.3817
-cap "X7/XM4/a_111_n100#" "X7/GND" -3.40425
-cap "X7/XM4/a_111_n100#" "X7/ctrll4" 475.178
-cap "X7/XM5/a_159_n100#" "X8/XM3/a_n33_n100#" 5.21167
-cap "X7/XM2/a_15_n100#" "X7/XM3/a_n33_n100#" 6.43313
-cap "X7/GND" "X7/XM3/a_n33_n100#" 31.5896
-cap "X7/ctrll4" "X7/ctrll5" -1.51941
-cap "X8/XC6/c2_n451_n200#" "X7/XM1/a_n73_n100#" 22.154
-cap "X8/XM1/a_n73_n100#" "X7/XM4/a_111_n100#" 118.378
-cap "X7/GND" "X7/XM2/a_15_n100#" -0.00342334
-cap "X7/ctrll5" "X7/ctrll3" -0.896875
-cap "X8/XM1/a_n73_n100#" "X7/XM1/a_n73_n100#" 17.7355
-cap "X8/XM1/a_n73_n100#" "X7/XM5/a_159_n100#" 2.23793
-cap "X7/ctrll4" "X7/ctrll1" -0.838636
-cap "X7/ctrll1" "X7/ctrll3" -1.42768
-cap "X7/ctrll4" "X7/ctrll3" -5.91792
-cap "X7/XM4/a_111_n100#" "X7/GND" 13.0527
-cap "X8/XM1/a_n73_n100#" "X7/ctrll4" -25.6471
-cap "X7/XM4/a_111_n100#" "X7/XM2/a_15_n100#" -9.41817
-cap "X8/XM1/a_n73_n100#" "X9/XC6/m4_n551_n300#" 1.77346
-cap "X8/XC6/c2_n451_n200#" "X8/XM1/a_n73_n100#" -125.505
-cap "X8/XM1/a_n73_n100#" "X7/ctrll3" -8.52536
-cap "X7/GND" "X7/XM5/a_159_n100#" 3.39879
-cap "X7/XM2/a_15_n100#" "X7/XM5/a_159_n100#" -5.77043
-cap "X8/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 8.64948
-cap "X7/XM4/a_111_n100#" "X1/X3/BIAS" 3.64598
-cap "X7/GND" "X7/ctrll4" 1318.29
-cap "X7/ctrll3" "X7/XM3/a_n33_n100#" 158.24
-cap "X7/XM2/a_15_n100#" "X7/ctrll4" 0.952151
-cap "X8/XM1/a_n73_n100#" "X7/XM3/a_n33_n100#" 62.8379
-cap "X7/GND" "X7/ctrll3" 1318.32
-cap "X7/XM2/a_15_n100#" "X7/ctrll3" 1.52955
-cap "X8/XC6/c2_n451_n200#" "X7/XM2/a_15_n100#" 177.124
-cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 25.4672
-cap "X7/XM4/a_111_n100#" "X7/XM5/a_159_n100#" 0.810749
-cap "X7/ctrll4" "X7/ctrll2" -1.20701
-cap "X7/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" 11.0133
-cap "X7/ctrll2" "X7/ctrll3" -2.69062
-cap "X9/XM1/a_n73_n100#" "X8/XM3/a_n33_n100#" 69.0731
-cap "X8/XM1/a_n73_n100#" "X9/XM1/a_n73_n100#" 35.4709
-cap "X8/XM1/a_n73_n100#" "X9/XC6/c2_n451_n200#" 22.154
-cap "X7/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" 11.0133
-cap "X8/XM1/a_n73_n100#" "X7/XM4/a_111_n100#" 17.7823
-cap "X7/XM5/a_159_n100#" "X7/GND" 3.43096
-cap "X7/ctrll4" "X7/ctrll1" -0.980559
-cap "X8/XM2/a_15_n100#" "X7/ctrll3" 0.346272
-cap "X8/XM2/a_15_n100#" "X8/XM3/a_n33_n100#" 8.9139
-cap "X7/ctrll5" "X7/ctrll4" -1.54112
-cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 25.4672
-cap "X8/XM4/a_111_n100#" "X7/ctrll4" 44.5165
-cap "X7/ctrll4" "X7/GND" 1.57534
-cap "X9/m1_4820_n890#" "X8/XM4/a_111_n100#" 11.0133
-cap "X8/XM5/a_159_n100#" "X9/m1_4820_n890#" 5.21167
-cap "X9/XM1/a_n73_n100#" "X7/ctrll4" 2.50565
-cap "X8/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 8.64948
-cap "X8/XM1/a_n73_n100#" "X7/XM3/a_n33_n100#" 26.749
-cap "X8/XM4/a_111_n100#" "X7/GND" 13.3433
-cap "X8/XM1/a_n73_n100#" "X7/ctrll3" 31.3323
-cap "X8/XM5/a_159_n100#" "X8/XM4/a_111_n100#" 0.810749
-cap "X8/XM5/a_159_n100#" "X7/GND" 3.39879
-cap "X8/XM3/a_n33_n100#" "X7/ctrll3" 14.8925
-cap "X9/XM1/a_n73_n100#" "X8/XM4/a_111_n100#" 117.108
-cap "X8/XM2/a_15_n100#" "X7/ctrll4" 0.22744
-cap "X7/ctrll2" "X7/ctrll3" -2.88281
-cap "X9/XM1/a_n73_n100#" "X8/XM5/a_159_n100#" 2.23793
-cap "X7/XM4/a_111_n100#" "X7/GND" 7.37728
-cap "X8/XM2/a_15_n100#" "X7/GND" -0.00342334
-cap "X8/XM3/a_n33_n100#" "X7/XM5/a_159_n100#" 5.21167
-cap "X8/XM1/a_n73_n100#" "X7/XM5/a_159_n100#" 0.316277
-cap "X8/XM2/a_15_n100#" "X8/XM4/a_111_n100#" -8.6863
-cap "X1/X3/BIAS" "X8/XM4/a_111_n100#" 3.64598
-cap "X8/XM5/a_159_n100#" "X8/XM2/a_15_n100#" -5.77043
-cap "X9/XM1/a_n73_n100#" "X8/XM2/a_15_n100#" 25.4672
-cap "X8/XM2/a_15_n100#" "X9/XC6/c2_n451_n200#" 88.5619
-cap "X7/ctrll4" "X7/ctrll3" -5.29132
-cap "X7/ctrll1" "X7/ctrll3" -1.66929
-cap "X8/XM1/a_n73_n100#" "X7/ctrll4" -26.1513
-cap "X8/XM3/a_n33_n100#" "X7/ctrll4" 0.0180267
-cap "X9/m1_4820_n890#" "X8/XM3/a_n33_n100#" 8.64948
-cap "X7/GND" "X7/XM3/a_n33_n100#" 5.95311
-cap "X7/ctrll5" "X7/ctrll3" -0.909688
-cap "X7/ctrll3" "X7/GND" 1.54748
-cap "X7/ctrll2" "X7/ctrll4" -1.29322
-cap "X8/XM3/a_n33_n100#" "X7/GND" 134.501
-cap "X9/GND" "X9/ctrll2" 1.47129
-cap "X9/GND" "X9/ctrll5" 4.50083
-cap "X9/ctrll4" "X9/XM3/a_n33_n100#" 0.00167427
-cap "X8/XM3/a_n33_n100#" "X9/GND" 5.95311
-cap "X9/GND" "X8/XM5/a_159_n100#" 3.43096
-cap "X9/XM1/a_n73_n100#" "X9/ctrll4" 113.618
-cap "X9/GND" "X9/ctrll1" 1.22266
-cap "X9/XM1/a_n73_n100#" "X8/XM1/a_n73_n100#" 17.118
-cap "X9/ctrll3" "X9/XM3/a_n33_n100#" -78.6664
-cap "X9/ctrll4" "X9/ctrll3" 6.68346
-cap "X9/XM1/a_n73_n100#" "X9/ctrll3" -1.71482
-cap "X9/XM3/a_n33_n100#" "X8/XM4/a_111_n100#" 11.0133
-cap "X9/XM1/a_n73_n100#" "X8/XM4/a_111_n100#" 52.9759
-cap "X9/ctrll4" "X9/ctrll2" 5.91459
-cap "X9/GND" "X9/XM2/a_15_n100#" -14.4464
-cap "X9/ctrll3" "X9/ctrll2" 3.56053
-cap "X9/ctrll4" "X9/ctrll5" 0.377628
-cap "X9/XM1/a_n73_n100#" "X8/XM2/a_15_n100#" 25.4672
-cap "X9/XM4/a_111_n100#" "X9/GND" -11.1522
-cap "X8/XM5/a_159_n100#" "X9/XM3/a_n33_n100#" 5.21167
-cap "X9/ctrll4" "X9/ctrll1" 4.89913
-cap "X9/ctrll5" "X9/ctrll3" 0.315762
-cap "X8/XM1/a_n73_n100#" "X9/XC6/c2_n451_n200#" 22.154
-cap "X8/XM3/a_n33_n100#" "X9/XM3/a_n33_n100#" 8.64948
-cap "X9/XM1/a_n73_n100#" "X9/XC6/c2_n451_n200#" -125.505
-cap "X9/XM1/a_n73_n100#" "X8/XM5/a_159_n100#" 0.995399
-cap "X8/XM3/a_n33_n100#" "X9/XM1/a_n73_n100#" 43.687
-cap "X9/ctrll1" "X9/ctrll3" 2.88683
-cap "X9/ctrll5" "X9/ctrll2" 0.349432
-cap "X9/GND" "X9/XM3/a_n33_n100#" -9.10156
-cap "X9/ctrll4" "X9/GND" 49.81
-cap "X9/GND" "X9/ctrll3" 14.2135
-cap "X8/XM2/a_15_n100#" "X9/XC6/c2_n451_n200#" 88.5619
-cap "X9/ctrll1" "X9/ctrll5" 0.292857
-cap "X9/ctrll3" "X9/XM2/a_15_n100#" 0.0606183
-cap "X9/ctrll4" "X9/XM4/a_111_n100#" -79.5872
-cap "X9/GND" "X8/XM4/a_111_n100#" 7.37728
-cap "X9/XM4/a_111_n100#" "X9/ctrll1" 2.1948
-cap "X9/ctrll4" "X9/ctrll1" 3.49976
-cap "X9/ctrll2" "X9/XM5/a_159_n100#" 2.53899
-cap "X9/ctrll2" "X9/GND" 12.984
-cap "X9/ctrll3" "X9/ctrll1" 3.71424
-cap "X9/ctrll1" "X9/ctrll5" 1.69064
-cap "X9/ctrll3" "X9/XM3/a_n33_n100#" 245.5
-cap "X9/ctrll1" "X9/XM5/a_159_n100#" 1.02881
-cap "X9/ctrll1" "X9/GND" 5.30047
-cap "X9/ctrll4" "X9/XM2/a_15_n100#" 0.33612
-cap "X9/GND" "X9/XM3/a_n33_n100#" 16.033
-cap "X9/ctrll3" "X9/XM2/a_15_n100#" 0.978292
-cap "X9/XM4/a_111_n100#" "X9/ctrll4" 676.382
-cap "X9/ctrll3" "X9/XM4/a_111_n100#" 7.02857
-cap "X9/ctrll3" "X9/ctrll4" 14.1259
-cap "X9/ctrll4" "X9/ctrll5" 7.586
-cap "X9/ctrll2" "X9/XM3/a_n33_n100#" 2.70826
-cap "X9/ctrll3" "X9/ctrll5" 5.1922
-cap "X9/ctrll4" "X9/XM5/a_159_n100#" 4.81304
-cap "X9/ctrll4" "X9/GND" 1943.96
-cap "X9/XM4/a_111_n100#" "X9/GND" 15.3498
-cap "X9/ctrll3" "X9/XM5/a_159_n100#" 3.29464
-cap "X9/ctrll3" "X9/GND" 2140.5
-cap "X9/XM5/a_159_n100#" "X9/ctrll5" 0.525481
-cap "X9/ctrll1" "X9/XM3/a_n33_n100#" 1.0974
-cap "X9/ctrll2" "X9/XM4/a_111_n100#" 5.41651
-cap "X9/ctrll2" "X9/ctrll4" 14.3672
-cap "X9/GND" "X9/XM5/a_159_n100#" 1.96349
-cap "X9/ctrll2" "X9/ctrll3" 12.3608
-cap "X9/ctrll2" "X9/ctrll5" 4.59293
-cap "X9/ctrll1" "X9/XM5/a_159_n100#" 1.02881
-cap "X9/ctrll1" "X9/ctrll5" 1.98349
-cap "X9/ctrll1" "X9/ctrll4" 8.03945
-cap "X9/ctrll1" "X9/GND" 5.30047
-cap "X9/ctrll1" "X9/XM4/a_111_n100#" 2.1948
-cap "X9/ctrll1" "X9/ctrll3" 6.13763
-cap "X9/XM3/a_n33_n100#" "X9/ctrll1" 1.0974
-cap "bias_calc_0/XM39/a_n465_n188#" "bias_calc_0/GND" 9.66338e-13
-cap "bias_calc_0/BIASOUT" "bias_calc_0/GND" 1.77636e-15
-cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" 37.8198
-cap "bias_calc_0/GND" "bias_calc_0/XM39/a_n465_n188#" 65.3014
-cap "bias_calc_0/GND" "bias_calc_0/XM38/a_1821_n197#" 5.23919
-cap "bias_calc_0/GND" "X3/X6/XC2/c1_n2050_n3000#" 22.2996
-cap "bias_calc_0/XR20/a_n415_n1702#" "X3/X6/XC2/c1_n2050_n3000#" 61.3158
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XR20/a_n415_n1702#" 3.92069
-cap "X3/BIAS" "X3/X6/XC2/c1_n2050_n3000#" -17.241
-cap "X3/BIAS" "X3/X6/GND" -26.4563
-cap "X3/X6/XC2/c1_n2050_n3000#" "X3/X6/GND" 1152.61
-cap "X1/X2/XR17/a_n415_n1322#" "X6/XC1/m4_n551_n300#" 14.3496
-cap "X1/X2/VDD" "X6/XC2/m4_n651_n300#" -217.754
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" -211.95
-cap "X6/XC6/c2_n451_n200#" "X1/X2/XR17/a_n415_n1322#" 68.3851
-cap "X1/X2/VDD" "X1/X2/XM4/a_n945_n188#" 1.59755
-cap "X6/XC6/c2_n451_n200#" "X1/X2/VDD" -153.003
-cap "X7/XC1/m4_n551_n300#" "X6/m1_4820_n1420#" 1.77346
-cap "X6/m1_4820_n1420#" "X1/X2/GND" 77.0707
-cap "X1/X2/VDD" "X1/X2/XR17/a_n415_n1322#" 22.6129
-cap "X1/X2/BIAS" "X7/ctrll5" 0.568585
-cap "X1/X2/GND" "X6/XC6/c2_n451_n200#" 306.069
-cap "X1/X2/VDD" "X1/X2/GND" -953.372
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/GND" 222.432
-cap "X6/XM3/a_n33_n100#" "X6/XC6/c2_n451_n200#" -41.3419
-cap "X1/X2/VDD" "X1/X2/BIAS" 2.00797
-cap "X1/X2/VDD" "X6/XM3/a_n33_n100#" 308.106
-cap "X6/XM3/a_n33_n100#" "X7/XC2/m4_n651_n300#" 0.88673
-cap "X6/m1_4820_n1420#" "X7/ctrll5" -0.00593505
-cap "X6/XM3/a_n33_n100#" "X1/X2/GND" 21.3127
-cap "X1/X2/VDD" "X7/ctrll5" 4.63585
-cap "X6/XC6/c2_n451_n200#" "X7/XC6/c2_n451_n200#" 9.37149
-cap "X6/m1_4820_n1420#" "X6/XC6/c2_n451_n200#" -129.311
-cap "X6/XM3/a_n33_n100#" "X1/X2/BIAS" 16.7554
-cap "X6/m1_4820_n1420#" "X7/XC6/c2_n451_n200#" 80.3307
-cap "X1/X2/GND" "X1/X2/VDD" 0.519889
-cap "X7/ctrll5" "X6/XM4/a_111_n100#" 1.05837
-cap "X6/XM5/a_159_n100#" "X7/XC1/m4_n551_n300#" 122.069
-cap "X7/XC6/c2_n451_n200#" "X6/XM3/a_n33_n100#" 21.9419
-cap "X1/X2/VDD" "X6/XM4/a_111_n100#" 67.8618
-cap "X6/XM5/a_159_n100#" "X7/ctrll5" 31.7116
-cap "X1/X2/GND" "X6/XM5/a_159_n100#" 3.87586
-cap "X6/XM5/a_159_n100#" "X1/X2/VDD" 81.8543
-cap "X1/X2/VDD" "X7/m1_4820_n890#" -34.028
-cap "X6/XM5/a_159_n100#" "X6/XM4/a_111_n100#" 67.5753
-cap "X6/XM4/a_111_n100#" "X7/m1_4820_n890#" 45.5804
-cap "X1/X2/XM4/a_927_n100#" "X7/ctrll5" 4.76526
-cap "X6/XM2/a_n73_n100#" "X1/X2/XR17/a_n415_n1322#" 1.84187
-cap "X6/XM5/a_159_n100#" "X7/m1_4820_n890#" 99.9433
-cap "X7/XM2/a_n73_n100#" "X6/XM5/a_159_n100#" 7.70348
-cap "X1/X2/VDD" "X7/XC6/c2_n451_n200#" -35.8774
-cap "X1/X2/BIAS" "X6/XM2/a_n73_n100#" 18.1207
-cap "X6/m1_4820_n1420#" "X6/XM2/a_n73_n100#" -1.92132
-cap "X6/m1_4820_n1420#" "X6/XM3/a_n33_n100#" 58.9542
-cap "X1/X2/BIAS" "X6/XM3/a_n33_n100#" -191.219
-cap "X1/X2/XM4/a_927_n100#" "X6/XM5/a_159_n100#" 0.468188
-cap "X6/XM2/a_n73_n100#" "X6/XM3/a_n33_n100#" 50.8705
-cap "X6/m1_4820_n1420#" "X7/XC1/m4_n551_n300#" 60.8535
-cap "X7/ctrll5" "X7/ctrll4" -1.41088
-cap "X7/ctrll5" "X1/X2/XR17/a_n415_n1322#" -0.708321
-cap "X7/XC1/m4_n551_n300#" "X6/XM3/a_n33_n100#" 48.4932
-cap "X7/ctrll3" "X7/ctrll5" -1.03781
-cap "X1/X2/BIAS" "X7/ctrll5" 9.7185
-cap "X6/m1_4820_n1420#" "X7/ctrll5" 2.65225
-cap "X6/m1_4820_n1420#" "X1/X2/GND" 33.3793
-cap "X1/X2/BIAS" "X1/X2/VDD" 36.6028
-cap "X7/ctrll5" "X6/XM3/a_n33_n100#" -0.0497906
-cap "X1/X2/GND" "X6/XM3/a_n33_n100#" 21.3127
-cap "X6/m1_4820_n1420#" "X6/XM4/a_111_n100#" 82.6367
-cap "X1/X2/BIAS" "X6/XM4/a_111_n100#" 55.2357
-cap "X1/X2/VDD" "X6/XM3/a_n33_n100#" -473.543
-cap "X6/XM2/a_n73_n100#" "X6/XM4/a_111_n100#" -2.27869
-cap "X6/XM5/a_159_n100#" "X1/X2/XR17/a_n415_n1322#" -0.0640187
-cap "X7/XC1/m4_n551_n300#" "X7/ctrll5" 3.62156
-cap "X6/m1_4820_n1420#" "X6/XM5/a_159_n100#" -28.726
-cap "X1/X2/BIAS" "X6/XM5/a_159_n100#" 69.8449
-cap "X1/X2/BIAS" "X7/m1_4820_n890#" 12.4344
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/XR17/a_n415_n1322#" 1.84187
-cap "X7/XC1/m4_n551_n300#" "X6/XM4/a_111_n100#" 69.4118
-cap "X6/XM3/a_n33_n100#" "X7/m1_4820_n890#" 31.8438
-cap "X6/XM2/a_n73_n100#" "X1/X2/XM4/a_927_n100#" 0.356612
-cap "X1/X2/VDD" "X7/ctrll5" 0.572184
-cap "X7/XM3/a_n33_n100#" "X7/m1_4820_n1420#" 58.9418
-cap "X6/XM5/a_159_n100#" "X7/m1_4820_n1420#" 54.2945
-cap "X7/XM2/a_n73_n100#" "X1/X2/VDD" 11.2608
-cap "X6/m1_4820_n1420#" "X7/XC6/c2_n451_n200#" 80.3307
-cap "X6/XM3/a_n33_n100#" "X7/XC6/c2_n451_n200#" 21.9419
-cap "X1/X2/VDD" "X7/XM4/a_111_n100#" -110.548
-cap "X7/XM4/a_111_n100#" "X8/XC2/m4_n651_n300#" 17.5045
-cap "X1/X2/BIAS" "X7/XM3/a_n33_n100#" 78.103
-cap "X7/XM2/a_n73_n100#" "X7/XM4/a_111_n100#" -202.903
-cap "X8/XC1/m4_n551_n300#" "X7/XM3/a_n33_n100#" 24.2466
-cap "X1/X2/VDD" "X7/ctrll5" 9.69209
-cap "X1/X2/VDD" "X6/XM1/a_n175_n274#" 1.84095
-cap "X7/XM5/a_159_n100#" "X7/m1_4820_n1420#" 6.2556
-cap "X7/XM2/a_n73_n100#" "X7/ctrll5" -0.0739475
-cap "X8/XC1/m4_n551_n300#" "X7/m1_4820_n1420#" 37.1701
-cap "X7/XM3/a_n33_n100#" "X6/XM3/a_n33_n100#" 15.1736
-cap "X7/XM2/a_n73_n100#" "X6/XM1/a_n175_n274#" 0.290188
-cap "X6/XC2/c2_n551_n200#" "X7/XC6/c2_n451_n200#" 9.37149
-cap "X1/X2/VDD" "X7/XM3/a_n33_n100#" 531.079
-cap "X7/m1_4820_n1420#" "X6/m1_4820_n1420#" 42.5006
-cap "X7/XM3/a_n33_n100#" "X8/XC2/m4_n651_n300#" 15.9219
-cap "X7/XM4/a_111_n100#" "X7/ctrll5" -56.2122
-cap "X7/XM4/a_111_n100#" "X6/XM1/a_n175_n274#" -18.6353
-cap "X6/XM3/a_n33_n100#" "X7/m1_4820_n1420#" 23.107
-cap "X7/XM2/a_n73_n100#" "X7/XM3/a_n33_n100#" 50.615
-cap "X6/XM4/a_111_n100#" "X7/XM3/a_n33_n100#" 21.2875
-cap "X7/XM2/a_n73_n100#" "X6/XM5/a_159_n100#" 7.70348
-cap "X8/XC6/c2_n451_n200#" "X7/XC6/c2_n451_n200#" 9.37149
-cap "X7/ctrll5" "X6/XM1/a_n175_n274#" -0.317671
-cap "X7/XM2/a_n73_n100#" "X7/m1_4820_n1420#" -1.92132
-cap "X6/XM4/a_111_n100#" "X7/m1_4820_n1420#" 32.4176
-cap "X7/XM3/a_n33_n100#" "X7/XC6/c2_n451_n200#" 13.5921
-cap "X7/ctrll3" "X7/ctrll5" -0.05125
-cap "X7/XM4/a_111_n100#" "X7/m1_4820_n1420#" -45.315
-cap "X1/X2/VDD" "X7/XM5/a_159_n100#" -29.138
-cap "X7/XM3/a_n33_n100#" "X7/ctrll5" -0.00123496
-cap "X7/XM3/a_n33_n100#" "X8/XC6/c2_n451_n200#" 21.9419
-cap "X7/m1_4820_n1420#" "X7/XC6/c2_n451_n200#" -136.945
-cap "X7/XM2/a_n73_n100#" "X1/X2/BIAS" 13.094
-cap "X7/ctrll5" "X7/m1_4820_n1420#" 36.7471
-cap "X8/XC6/c2_n451_n200#" "X7/m1_4820_n1420#" 38.4368
-cap "X7/XM3/a_n33_n100#" "X6/XM5/a_159_n100#" 55.438
-cap "X7/XM5/a_159_n100#" "X7/XM4/a_111_n100#" -210.329
-cap "X8/XC1/m4_n551_n300#" "X7/XM4/a_111_n100#" 26.6566
-cap "X1/X2/BIAS" "X7/XM4/a_111_n100#" -19.3316
-cap "X8/m1_4820_n1420#" "X7/XM4/a_111_n100#" 69.4118
-cap "X7/XM5/a_159_n100#" "X7/ctrll5" 31.7158
-cap "X8/m1_4820_n1420#" "X9/XC1/m4_n551_n300#" 1.77346
-cap "X8/m1_4820_n1420#" "X7/m1_4820_n1420#" 43.118
-cap "X8/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 15.9219
-cap "X8/m1_4820_n1420#" "X7/XM5/a_159_n100#" 122.069
-cap "X8/XM2/a_n73_n100#" "X7/XM5/a_159_n100#" 7.70348
-cap "X1/X2/XR16/a_n415_n1322#" "X7/XM2/a_n73_n100#" 1.48767
-cap "X1/X3/VDD" "X7/ctrll5" 3.04775
-cap "X1/X3/BIAS" "X7/ctrll5" 6.90976
-cap "X1/X2/XR16/a_n415_n1322#" "X7/XM5/a_159_n100#" -0.0640187
-cap "X1/X3/XM4/a_927_n100#" "X7/ctrll5" 3.15188
-cap "X7/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" 45.5804
-cap "X7/XM4/a_111_n100#" "X7/XM2/a_n73_n100#" -2.00525
-cap "X8/XM3/a_n33_n100#" "X7/XM5/a_159_n100#" 99.9433
-cap "X7/XM4/a_111_n100#" "X7/m1_4820_n1420#" 82.6367
-cap "X9/XC6/c2_n451_n200#" "X8/XC6/c2_n451_n200#" 9.37149
-cap "X7/XM4/a_111_n100#" "X7/XM5/a_159_n100#" 67.5753
-cap "X1/X2/XR16/a_n415_n1322#" "X1/X3/VDD" 2.27927
-cap "X7/m1_4820_n1420#" "X7/XM5/a_159_n100#" -28.726
-cap "X8/m1_4820_n1420#" "X8/XC6/c2_n451_n200#" -136.945
-cap "X8/m1_4820_n1420#" "X7/ctrll5" 3.41891
-cap "X7/XC6/c2_n451_n200#" "X8/XC6/c2_n451_n200#" 9.37149
-cap "X1/X3/VDD" "X8/XM3/a_n33_n100#" 239.875
-cap "X7/XM3/a_n33_n100#" "X8/XC6/c2_n451_n200#" 21.9419
-cap "X7/XM5/a_159_n100#" "X1/X3/GND" 7.34768
-cap "X1/X3/BIAS" "X7/XM2/a_n73_n100#" 2.66729
-cap "X8/XM3/a_n33_n100#" "X1/X3/BIAS" 174.624
-cap "X1/X3/VDD" "X7/XM4/a_111_n100#" 217.682
-cap "X1/X3/VDD" "X7/XM2/a_n73_n100#" 2.29387
-cap "X7/XM4/a_111_n100#" "X1/X3/BIAS" 75.4875
-cap "X1/X3/VDD" "X7/XM5/a_159_n100#" 96.2183
-cap "X8/m1_4820_n1420#" "X7/XM3/a_n33_n100#" 24.2466
-cap "X8/XM3/a_n33_n100#" "X9/XC2/m4_n651_n300#" 0.88673
-cap "X1/X3/BIAS" "X7/XM5/a_159_n100#" 60.3316
-cap "X1/X2/XR16/a_n415_n1322#" "X7/ctrll5" 895.328
-cap "X7/ctrll3" "X7/ctrll5" -0.896875
-cap "X8/XM3/a_n33_n100#" "X8/XC6/c2_n451_n200#" 13.5921
-cap "X7/ctrll4" "X7/ctrll5" -1.51941
-cap "X1/X3/VDD" "X1/X3/BIAS" -3.76928
-cap "X7/ctrll5" "X7/XM2/a_n73_n100#" 420.746
-cap "X7/m1_4820_n1420#" "X8/XC6/c2_n451_n200#" 160.571
-cap "X7/XM4/a_111_n100#" "X7/ctrll5" 144.427
-cap "X7/m1_4820_n1420#" "X7/ctrll5" 104.934
-cap "X8/m1_4820_n1420#" "X8/XM3/a_n33_n100#" 58.9418
-cap "X1/X3/BIAS" "X8/XM4/a_111_n100#" 44.2406
-cap "X8/XM5/a_159_n100#" "X7/ctrll5" 31.7382
-cap "X7/ctrll5" "X9/XC1/m4_n551_n300#" 3.62754
-cap "X7/XM3/a_n33_n100#" "X8/m1_4820_n1420#" 12.0737
-cap "X8/XM5/a_159_n100#" "X1/X3/GND" 3.97189
-cap "X1/X3/VDD" "X8/XM4/a_111_n100#" 85.4338
-cap "X9/m1_4820_n890#" "X8/XM4/a_111_n100#" 45.5804
-cap "X7/ctrll5" "X8/XM2/a_n73_n100#" -0.0739475
-cap "X8/XM5/a_159_n100#" "X9/XC1/m4_n551_n300#" 122.069
-cap "X8/m1_4820_n1420#" "X9/XC6/c2_n451_n200#" 80.3307
-cap "X1/X3/BIAS" "X8/XM3/a_n33_n100#" 164.308
-cap "X1/X3/BIAS" "X1/X3/VDD" -1.31275
-cap "X7/ctrll5" "X8/m1_4820_n1420#" 112.537
-cap "X7/XM5/a_159_n100#" "X8/XM2/a_n73_n100#" 7.70348
-cap "X1/X3/BIAS" "X9/m1_4820_n890#" -12.7255
-cap "X7/ctrll5" "X8/XM4/a_111_n100#" 1.08494
-cap "X1/X3/VDD" "X8/XM3/a_n33_n100#" 109.991
-cap "X7/XM2/a_n175_n274#" "X1/X3/VDD" 4.27802
-cap "X9/m1_4820_n890#" "X8/XM3/a_n33_n100#" 31.8438
-cap "X7/XM3/a_n33_n100#" "X8/XM3/a_n33_n100#" 7.92838
-cap "X7/m1_4820_n1420#" "X8/m1_4820_n1420#" 25.3826
-cap "X8/m1_4820_n1420#" "X7/XM4/a_111_n100#" 14.2055
-cap "X8/XM5/a_159_n100#" "X8/m1_4820_n1420#" -28.726
-cap "X7/XM5/a_159_n100#" "X8/m1_4820_n1420#" 17.2515
-cap "X1/X3/BIAS" "X9/XC6/c2_n451_n200#" -16.396
-cap "X8/m1_4820_n1420#" "X9/XC1/m4_n551_n300#" 60.8535
-cap "X1/X3/BIAS" "X7/ctrll5" 3.37732
-cap "X8/XM5/a_159_n100#" "X8/XM4/a_111_n100#" 67.5753
-cap "X9/XC6/c2_n451_n200#" "X8/XM3/a_n33_n100#" 21.9419
-cap "X8/XM4/a_111_n100#" "X9/XC1/m4_n551_n300#" 69.4118
-cap "X8/m1_4820_n1420#" "X8/XM2/a_n73_n100#" -1.92132
-cap "X7/XM2/a_n175_n274#" "X7/ctrll5" -0.795931
-cap "X7/ctrll5" "X7/ctrll4" -1.54112
-cap "X7/ctrll5" "X8/XM3/a_n33_n100#" -0.0242482
-cap "X7/ctrll5" "X1/X3/XM4/a_927_n100#" 1.61338
-cap "X8/XM4/a_111_n100#" "X8/XM2/a_n73_n100#" -2.27869
-cap "X1/X3/BIAS" "X8/XM5/a_159_n100#" 59.8507
-cap "X7/ctrll5" "X1/X3/VDD" 6.65601
-cap "X8/XM5/a_159_n100#" "X9/XM2/a_n73_n100#" 7.70348
-cap "X8/XM4/a_111_n100#" "X8/m1_4820_n1420#" 82.6367
-cap "X7/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" 9.32825
-cap "X7/XM2/a_n175_n274#" "X8/XM5/a_159_n100#" -0.0640187
-cap "X7/XM5/a_159_n100#" "X8/XM3/a_n33_n100#" 31.1131
-cap "X1/X3/BIAS" "X8/XM2/a_n73_n100#" 15.7612
-cap "X8/XM5/a_159_n100#" "X1/X3/VDD" 114.456
-cap "X8/XM5/a_159_n100#" "X9/m1_4820_n890#" 99.9433
-cap "X9/XC1/m4_n551_n300#" "X8/XM3/a_n33_n100#" 48.4932
-cap "X8/XM2/a_n73_n100#" "X8/XM3/a_n33_n100#" 50.615
-cap "X7/XM2/a_n175_n274#" "X8/XM2/a_n73_n100#" 1.77785
-cap "X7/ctrll3" "X7/ctrll5" -0.909688
-cap "X1/X3/VDD" "X8/XM2/a_n73_n100#" 10.0617
-cap "X9/XC6/c2_n451_n200#" "X9/XM3/a_n33_n100#" -40.6347
-cap "X8/XM3/a_n33_n100#" "X9/XM3/a_n33_n100#" 15.1736
-cap "X9/ctrll5" "X9/XM3/a_n33_n100#" -0.000124048
-cap "X9/XM3/a_n33_n100#" "X9/XM2/a_n73_n100#" -0.255471
-cap "X9/m1_4820_n1420#" "X8/XM5/a_159_n100#" 54.2945
-cap "X8/XM4/a_111_n100#" "X9/XM3/a_n33_n100#" 21.2875
-cap "X9/ctrll5" "X9/ctrll2" 8.36062
-cap "X9/ctrll5" "X9/ctrll3" 10.2123
-cap "X9/ctrll5" "X9/ctrll4" 13.5644
-cap "CTRL1" "X9/ctrll5" 7.00074
-cap "X8/XM3/a_n33_n100#" "X9/m1_4820_n1420#" 23.107
-cap "X9/XC6/c2_n451_n200#" "X9/m1_4820_n1420#" -136.945
-cap "X9/ctrll5" "X9/m1_4820_n1420#" 131.305
-cap "X9/ctrll2" "X9/XM2/a_n73_n100#" 0.882775
-cap "X9/ctrll3" "X9/XM2/a_n73_n100#" 1.10259
-cap "X9/ctrll4" "X9/XM2/a_n73_n100#" 1.49797
-cap "X8/XM5/a_159_n100#" "X9/XM2/a_n73_n100#" 7.70348
-cap "CTRL1" "X9/XM2/a_n73_n100#" 0.733598
-cap "X9/m1_4820_n1420#" "X9/XM2/a_n73_n100#" -12.6082
-cap "X8/XM3/a_n33_n100#" "X9/XC6/c2_n451_n200#" 21.9419
-cap "X9/m1_4820_n1420#" "X8/XM4/a_111_n100#" 32.4176
-cap "X9/m1_4820_n1420#" "X8/m1_4820_n1420#" 42.5006
-cap "X9/XM4/a_111_n100#" "X9/XM2/a_n73_n100#" -17.2235
-cap "X9/XC6/c2_n451_n200#" "X8/XC2/c2_n551_n200#" 9.37149
-cap "X9/ctrll5" "X9/XM2/a_n73_n100#" 63.353
-cap "X9/ctrll5" "X8/XM1/a_n175_n274#" -0.0084038
-cap "X9/XC6/c2_n451_n200#" "X8/m1_4820_n1420#" 80.3307
-cap "X8/XM5/a_159_n100#" "X9/XM3/a_n33_n100#" 55.438
-cap "X9/m1_4820_n1420#" "X9/XM3/a_n33_n100#" -0.00249374
-cap "X1/X3/BIAS" "X9/XM3/a_n33_n100#" 95.0158
-cap "X8/XM1/a_n175_n274#" "X9/XM2/a_n73_n100#" -0.0640187
-cap "X9/ctrll2" "X9/ctrll5" 27.2193
-cap "X1/X3/XR16/a_n415_n1322#" "X9/ctrll5" 1168.6
-cap "CTRL1" "X9/XM5/a_159_n100#" 2.26338
-cap "CTRL1" "X9/ctrll5" 7.86514
-cap "X9/ctrll2" "X9/XM2/a_n73_n100#" 7.79575
-cap "X9/ctrll4" "X9/XM5/a_159_n100#" 10.5887
-cap "X9/ctrll3" "X9/XM5/a_159_n100#" 7.24821
-cap "X9/ctrll5" "X9/XM4/a_111_n100#" 187.022
-cap "X9/XM2/a_n73_n100#" "CTRL1" 3.18208
-cap "X9/ctrll5" "X9/ctrll4" 51.2295
-cap "X9/ctrll5" "X9/XM5/a_159_n100#" 48.8495
-cap "X9/ctrll3" "X9/ctrll5" 34.6501
-cap "X9/m1_4820_n1420#" "X9/ctrll5" 136.906
-cap "X9/XM2/a_n73_n100#" "X9/XM4/a_111_n100#" 6.73468
-cap "X9/XM2/a_n73_n100#" "X9/ctrll4" 14.3159
-cap "X9/XM2/a_n73_n100#" "X9/XM5/a_159_n100#" 32.1421
-cap "X9/ctrll2" "X9/XM5/a_159_n100#" 5.58578
-cap "X9/XM2/a_n73_n100#" "X9/ctrll3" 10.0047
-cap "X9/XM2/a_n73_n100#" "X9/ctrll5" 554.28
-cap "X9/XM2/a_n73_n100#" "CTRL1" 3.18208
-cap "X9/ctrll5" "CTRL1" 14.1323
-cap "X9/XM5/a_159_n100#" "CTRL1" 2.26338
-cap "bias_calc_0/GND" "bias_calc_0/XM37/a_1763_n100#" 1.06581e-14
-cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" -2.27374e-13
-cap "bias_calc_0/XM37/a_1763_n100#" "bias_calc_0/w_17930_210#" -1.15513
-cap "bias_calc_0/GND" "bias_calc_0/XM39/a_n465_n188#" 2.72848e-12
-cap "bias_calc_0/GND" "bias_calc_0/XM37/a_1821_n197#" 3.55271e-15
-cap "bias_calc_0/GND" "bias_calc_0/w_17930_210#" 1.42109e-14
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XM39/a_n465_n188#" 32.9948
-cap "bias_calc_0/BIASOUT" "bias_calc_0/w_17930_210#" -0.727687
-cap "bias_calc_0/GND" "bias_calc_0/XM39/a_n465_n188#" 19.6966
-cap "bias_calc_0/GND" "bias_calc_0/w_17930_210#" 0.000786164
-cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" 36.5379
-cap "bias_calc_0/w_17930_210#" "bias_calc_0/XM38/a_1821_n197#" 2.59569
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XM38/a_1821_n197#" 3.17977
-cap "bias_calc_0/XM37/a_1763_n100#" "bias_calc_0/w_17930_210#" -0.303195
-cap "bias_calc_0/GND" "bias_calc_0/XM38/a_1821_n197#" 25.6349
-cap "bias_calc_0/GND" "bias_calc_0/XM37/a_1763_n100#" 1.77636e-14
-cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/GND" 6.23235
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/GND" 6.86534
-cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/BIASOUT" 0.933917
-cap "bias_calc_0/XM38/a_1821_n197#" "bias_calc_0/GND" 15.7965
-cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" -953.716
-cap "bias_calc_0/XM38/a_1763_n100#" "bias_calc_0/GND" 0.417521
-cap "bias_calc_0/XM38/a_1821_n197#" "bias_calc_0/BIASOUT" 34.8943
-cap "bias_calc_0/GND" "X3/X6/XC2/c1_n2050_n3000#" 1.88069
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/GND" 14.2149
-cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/GND" 4.13758
-cap "bias_calc_0/GND" "bias_calc_0/w_17930_210#" 1.38259
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XR20/a_n285_n1572#" 19.32
-cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/BIASOUT" 56.7523
-cap "bias_calc_0/XR20/a_n415_n1702#" "X3/X6/XC2/c1_n2050_n3000#" 174.392
-cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/XR20/a_n285_n1572#" 5.29173
-cap "X3/BIAS" "X3/X6/GND" 3.85582
-cap "X3/X6/GND" "X3/X6/XC2/c1_n2050_n3000#" 501.01
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" -795.911
-cap "X1/X2/VDD" "VDD" -4.82454
-cap "X1/X2/XR17/a_n415_n1322#" "X6/IN" 89.4758
-cap "X1/X2/VDD" "X1/X2/BIAS" 7.59715
-cap "X1/X2/XR17/a_n415_n1322#" "VDD" 115.736
-cap "X6/IN" "X1/X2/VDD" -242.575
-cap "X1/X2/VDD" "X6/XC3/m4_n651_n500#" -115.077
-cap "X1/X2/m1_3550_1144#" "X1/X2/VDD" 0.245757
-cap "X7/XC2/m4_n651_n300#" "X6/m1_4820_n890#" 0.88673
-cap "X6/m1_4820_n460#" "X1/X2/GND" 97.1722
-cap "X7/IN" "X6/IN" 44.333
-cap "X1/X2/GND" "X6/IN" 387.173
-cap "X1/X2/BIAS" "X7/ctrll5" 0.568585
-cap "X1/X2/GND" "X1/X2/BIAS" 0.96837
-cap "X1/X2/GND" "X6/m1_4820_n890#" 7.24272
-cap "X7/ctrll5" "X1/X2/VDD" 4.63585
-cap "X1/X2/GND" "X1/X2/VDD" -489.295
-cap "X6/m1_4820_n460#" "X6/IN" -45.4263
-cap "X6/m1_4820_n460#" "X1/X2/BIAS" -66.6641
-cap "X1/X2/GND" "X1/X2/XM4/a_927_n100#" 14.9561
-cap "X6/m1_4820_n460#" "X1/X2/VDD" 550.908
-cap "X6/m1_4820_n890#" "X6/IN" -9.9309
-cap "X6/m1_4820_n890#" "X1/X2/BIAS" -151.376
-cap "X1/X2/VDD" "X6/IN" 150.66
-cap "X1/X2/BIAS" "X1/X2/VDD" 16.3517
-cap "X6/m1_4820_n890#" "X1/X2/VDD" 61.9358
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/GND" 107.295
-cap "X6/m1_4820_n460#" "X1/X2/XR17/a_n415_n1322#" 73.0723
-cap "X1/X2/XR17/a_n415_n1322#" "X6/IN" 0.431632
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/BIAS" 4.84095
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" 283.647
-cap "X6/m1_4820_n460#" "X7/XC3/m4_n651_n500#" 0.88673
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/XM4/a_927_n100#" 1.58535
-cap "X1/X2/BIAS" "X6/XM5/a_159_n100#" 19.0421
-cap "X7/m1_4820_n460#" "X1/X2/GND" -507.327
-cap "X1/X2/BIAS" "X6/m1_4820_n890#" -519.814
-cap "X1/X2/XM4/a_927_n100#" "X7/ctrll5" 4.76526
-cap "X1/X2/XM4/a_927_n100#" "X6/XM5/a_159_n100#" 0.468188
-cap "X1/X2/SUB" "X1/X2/GND" 18.4409
-cap "X7/m1_4820_n890#" "X1/X2/VDD" -1448.76
-cap "X7/m1_4820_n460#" "X1/X2/VDD" -581.773
-cap "X6/XM5/a_159_n100#" "X1/X2/GND" 824.9
-cap "X6/m1_4820_n890#" "X7/IN" 11.4987
-cap "X6/m1_4820_n890#" "X1/X2/GND" 7.24272
-cap "X1/X2/BIAS" "X6/m1_4820_n460#" -5892.84
-cap "X6/XM5/a_159_n100#" "X1/X2/VDD" 186.464
-cap "X7/ctrll5" "X1/X2/VDD" 0.572184
-cap "X1/X2/XM4/a_927_n100#" "X6/m1_4820_n460#" 1106.1
-cap "X6/XM5/a_159_n100#" "X7/m1_4820_n890#" 41.9103
-cap "X7/m1_4820_n460#" "X6/XM5/a_159_n100#" 175.801
-cap "X7/m1_4820_n890#" "X6/m1_4820_n890#" 16.6424
-cap "X6/m1_4820_n890#" "X1/X2/VDD" -357.404
-cap "X6/m1_4820_n460#" "X7/IN" 48.0499
-cap "X6/XM5/a_255_n100#" "X1/X2/BIAS" 2.35943
-cap "X6/m1_4820_n460#" "X1/X2/GND" -1456.11
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/BIAS" -0.162025
-cap "X6/XM5/a_255_n100#" "X1/X2/XM4/a_927_n100#" 0.356612
-cap "X6/m1_4820_n460#" "X1/X2/VDD" 145.374
-cap "X6/m1_4820_n460#" "X7/m1_4820_n890#" 23.8314
-cap "X7/m1_4820_n460#" "X6/m1_4820_n460#" 75.547
-cap "X6/m1_4820_n460#" "X1/X2/SUB" -267.288
-cap "X1/X2/BIAS" "X1/X2/GND" 299.653
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/GND" 32.3282
-cap "X1/X2/BIAS" "X1/X2/VDD" 40.0021
-cap "X6/XM5/a_255_n100#" "X1/X2/SUB" 1.84187
-cap "X1/X2/BIAS" "X1/X2/SUB" 3.20159
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/SUB" 3.42722
-cap "X1/X2/BIAS" "X7/ctrll5" 9.7185
-cap "X7/IN" "X1/X2/VDD" -233.472
-cap "X1/X2/GND" "X1/X2/VDD" 2.47085
-cap "X6/m1_4820_n890#" "X7/IN" 11.4987
-cap "X7/m1_4820_n460#" "X1/X2/GND" 435.01
-cap "X7/IN" "X8/IN" 44.333
-cap "X7/m1_4820_n460#" "X8/IN" 48.0499
-cap "X7/XM5/a_255_n100#" "X1/X2/SUB" 0.354206
-cap "X7/m1_4820_n890#" "X6/m1_4820_n890#" 7.93028
-cap "X7/m1_4820_n460#" "X6/XM5/a_159_n100#" 78.2057
-cap "X7/m1_4820_n890#" "X8/IN" 11.4987
-cap "X7/m1_4820_n460#" "X8/XC3/m4_n651_n500#" 34.0213
-cap "X7/m1_4820_n890#" "X6/XM5/a_159_n100#" 18.6411
-cap "X7/IN" "X1/X2/SUB" 3.02143
-cap "X7/m1_4820_n460#" "X1/X2/SUB" 95.2421
-cap "X6/IN" "X7/IN" 44.333
-cap "X7/m1_4820_n460#" "X8/XC2/m4_n651_n300#" 9.15211
-cap "X7/m1_4820_n460#" "X7/IN" 73.8347
-cap "X8/XC2/m4_n651_n300#" "X7/m1_4820_n890#" 8.32121
-cap "X1/X2/SUB" "X1/X2/VDD" 2.71891
-cap "X7/XM5/a_159_n100#" "X1/X2/VDD" -148.805
-cap "X7/IN" "X6/m1_4820_n460#" 48.0499
-cap "X7/m1_4820_n460#" "X6/m1_4820_n460#" 35.7853
-cap "X7/m1_4820_n890#" "X7/IN" 19.5399
-cap "X1/X2/SUB" "X1/X2/GND" -0.00953942
-cap "X7/IN" "X1/X2/VDD" 82.8404
-cap "X7/m1_4820_n890#" "X6/m1_4820_n460#" 11.13
-cap "X7/m1_4820_n460#" "X1/X2/VDD" 445.491
-cap "X7/IN" "X1/X2/GND" 205.605
-cap "X7/m1_4820_n890#" "X1/X2/VDD" 782.785
-cap "X7/ctrll5" "X1/X2/VDD" 9.69209
-cap "X7/ctrll5" "X1/X3/XM4/a_927_n100#" 3.15188
-cap "X1/X3/VDD" "X8/m1_4820_n460#" -192.759
-cap "X7/m1_4820_n460#" "X8/m1_4820_n460#" 53.9211
-cap "X7/XM5/a_159_n100#" "X1/X3/SUB" 160.724
-cap "X1/X3/GND" "X1/X3/XM4/a_927_n100#" 8.62519
-cap "X1/X3/BIAS" "X1/X3/SUB" -1.52905
-cap "X7/m1_4820_n890#" "X8/IN" 11.4987
-cap "X1/X3/BIAS" "X7/ctrll5" 6.90976
-cap "X1/X3/SUB" "X1/X3/GND" -0.0279368
-cap "X7/XM5/a_159_n100#" "X1/X3/GND" 257.792
-cap "X1/X3/VDD" "X1/X3/SUB" 4.30416
-cap "X8/m1_4820_n460#" "X8/IN" 73.8347
-cap "X1/X3/VDD" "X7/XM5/a_159_n100#" 371.863
-cap "X1/X3/BIAS" "X1/X3/GND" 9.57078
-cap "X9/XC3/m4_n651_n500#" "X8/m1_4820_n460#" 0.88673
-cap "X1/X3/VDD" "X7/ctrll5" 3.04775
-cap "X9/IN" "X8/IN" 44.333
-cap "X8/m1_4820_n890#" "X7/XM5/a_159_n100#" 41.9103
-cap "X1/X3/XM4/a_927_n100#" "X8/IN" 2.02814
-cap "X1/X3/VDD" "X1/X3/GND" 34.3937
-cap "X7/m1_4820_n460#" "X1/X3/GND" 890.502
-cap "X7/m1_4820_n460#" "X1/X3/VDD" -155.697
-cap "X1/X3/VDD" "X8/m1_4820_n890#" -261.151
-cap "X7/m1_4820_n460#" "X8/m1_4820_n890#" 23.8314
-cap "X8/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 961.021
-cap "X1/X3/BIAS" "X8/IN" 24.7325
-cap "X1/X3/SUB" "X8/m1_4820_n460#" 16.2274
-cap "X1/X3/GND" "X8/IN" 190.025
-cap "X7/XM5/a_159_n100#" "X8/m1_4820_n460#" 175.801
-cap "X7/XM5/a_255_n100#" "X1/X3/SUB" 1.48767
-cap "X1/X3/VDD" "X8/IN" 41.1208
-cap "X7/m1_4820_n460#" "X8/IN" 48.0499
-cap "X8/m1_4820_n890#" "X9/XC2/m4_n651_n300#" 0.88673
-cap "X9/XC3/m4_n651_n500#" "X1/X3/GND" -301.465
-cap "X7/IN" "X8/IN" 44.333
-cap "X8/m1_4820_n890#" "X8/IN" 19.5399
-cap "X1/X3/BIAS" "X8/m1_4820_n460#" 11.2149
-cap "X8/m1_4820_n890#" "X7/m1_4820_n890#" 8.32121
-cap "X1/X3/GND" "X8/m1_4820_n460#" 516.179
-cap "X7/m1_4820_n460#" "X8/m1_4820_n890#" 4.87721
-cap "X7/XM5/a_159_n100#" "X8/m1_4820_n460#" 24.8638
-cap "X8/XM5/a_159_n100#" "X9/m1_4820_n460#" 175.801
-cap "X8/m1_4820_n890#" "X7/XM5/a_159_n100#" 5.923
-cap "X7/ctrll5" "X1/X3/VDD" 6.65601
-cap "X7/ctrll5" "X1/X3/XM4/a_927_n100#" 1.61338
-cap "X1/X3/SUB" "X1/X3/BIAS" -0.659773
-cap "X1/X3/GND" "X1/X3/BIAS" 2.89305
-cap "X1/X3/BIAS" "X8/m1_4820_n460#" -963.845
-cap "X1/X3/SUB" "X8/XM5/a_255_n100#" 1.84187
-cap "X9/m1_4820_n890#" "X8/m1_4820_n460#" 23.8314
-cap "X1/X3/SUB" "X8/m1_4820_n460#" -196.645
-cap "X1/X3/GND" "X8/m1_4820_n460#" -674.724
-cap "X1/X3/GND" "X1/X3/SUB" -0.0356602
-cap "X9/m1_4820_n890#" "X8/m1_4820_n890#" 16.6424
-cap "X9/m1_4820_n890#" "X1/X3/VDD" -1.81623
-cap "X1/X3/GND" "X1/X3/XM4/a_927_n100#" 8.62519
-cap "X9/IN" "X8/m1_4820_n460#" 48.0499
-cap "X8/XM5/a_159_n100#" "X9/m1_4820_n890#" 41.9103
-cap "X1/X3/GND" "X8/XM5/a_159_n100#" 318.175
-cap "X1/X3/VDD" "X8/m1_4820_n460#" 505.339
-cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n460#" -8559.25
-cap "X1/X3/GND" "X1/X3/VDD" 24.2646
-cap "X1/X3/SUB" "X1/X3/VDD" 7.17037
-cap "X1/X3/BIAS" "X7/ctrll5" 3.37732
-cap "X9/IN" "X8/m1_4820_n890#" 11.4987
-cap "X8/m1_4820_n890#" "X1/X3/VDD" -237.079
-cap "X7/m1_4820_n460#" "X8/m1_4820_n460#" 17.8742
-cap "X9/IN" "X1/X3/VDD" -15.8688
-cap "X8/XM5/a_159_n100#" "X1/X3/VDD" 447.612
-cap "X9/m1_4820_n460#" "X8/m1_4820_n460#" 75.547
-cap "X1/X3/GND" "X9/m1_4820_n460#" 94.0968
-cap "X7/m1_4820_n890#" "X8/m1_4820_n890#" 4.1444
-cap "X9/IN" "X9/m1_4820_n890#" -20.4966
-cap "X9/IN" "X1/X3/VDD" 16.787
-cap "X1/X3/VDD" "X9/m1_4820_n460#" 51.6105
-cap "X8/XM5/a_159_n100#" "X9/m1_4820_n890#" 18.6411
-cap "X9/IN" "X8/m1_4820_n460#" 48.0499
-cap "X8/m1_4820_n460#" "X9/m1_4820_n460#" 35.7853
-cap "X9/IN" "X8/m1_4820_n890#" 11.4987
-cap "X9/IN" "X1/X3/BIAS" 33.2341
-cap "X1/X3/BIAS" "X9/m1_4820_n460#" 144.118
-cap "X1/X3/GND" "X9/m1_4820_n460#" 14.7538
-cap "X9/IN" "X9/m1_4820_n460#" -90.311
-cap "X1/X3/VDD" "X9/m1_4820_n890#" 81.0038
-cap "X8/XM5/a_159_n100#" "X9/m1_4820_n460#" 78.2057
-cap "X9/IN" "X8/IN" 44.333
-cap "X9/m1_4820_n890#" "X8/m1_4820_n460#" 11.13
-cap "X9/m1_4820_n890#" "X8/m1_4820_n890#" 7.93028
-cap "X1/X3/BIAS" "X9/m1_4820_n890#" 39.685
+cap "m3_59620_27555#" "m3_59300_29490#" 21.4565
+cap "CTRL5" "m3_61085_29785#" 14.3929
+cap "m1_33455_32800#" "li_33260_31990#" 26.5912
+cap "m1_59465_35305#" "m1_59460_34715#" 28.069
+cap "m1_47700_36500#" "m1_48170_36500#" 239.298
+cap "m1_61565_21725#" "m1_61575_22265#" 30.3019
+cap "GND" "li_55194_32310#" 11.9988
+cap "li_55184_29702#" "VDD" 0.397371
+cap "m1_61575_22855#" "m1_61580_23765#" 18.0889
+cap "m1_57370_22265#" "m1_57370_23765#" 0.590604
+cap "m1_61565_21725#" "m1_61570_21365#" 46.5143
+cap "VDD" "li_52135_26050#" 5.62773
+cap "li_33670_25540#" "m1_36045_25020#" 125.349
+cap "GND" "m1_55108_31074#" 116.694
+cap "m1_57355_35305#" "GND" 11.6697
+cap "GND" "m3_56407_27820#" 4.88579
+cap "m1_59475_21725#" "m1_59480_21365#" 46.5143
+cap "m1_59460_34715#" "m1_59460_33805#" 18.3333
+cap "GND" "m1_55094_26496#" 140.31
+cap "li_34160_25810#" "li_33670_25540#" 327.645
+cap "m3_57710_27380#" "m3_59620_27555#" 43.0927
+cap "li_55174_27882#" "VDD" 0.408675
+cap "m1_57370_22265#" "m1_57350_22855#" 26.931
+cap "GND" "m1_61575_22265#" 6.75574
+cap "m1_57370_23765#" "m1_57350_22855#" 17.3556
+cap "GND" "m1_61570_21365#" 70.5047
+cap "GND" "li_33670_25540#" 456.625
+cap "li_34160_25810#" "m1_36045_25020#" 58.7953
+cap "GND" "m1_59475_21725#" 14.2537
+cap "GND" "m3_55465_29875#" 386.252
+cap "m1_59485_36205#" "m1_59465_35305#" 0.247191
+cap "m3_55465_27385#" "m1_55094_26496#" 43.1011
+cap "m1_46210_32690#" "m1_48170_36500#" 2.44444
+cap "m1_57380_34715#" "m1_57385_33805#" 18.0889
+cap "GND" "VDD" 12411.1
+cap "m1_59460_22265#" "GND" 5.10285
+cap "m1_61580_36205#" "m1_61570_34715#" 0.148649
+cap "m1_59490_35845#" "m1_59485_36205#" 46.5143
+cap "m1_59485_36205#" "GND" 84.7005
+cap "li_55178_25280#" "m1_55094_26496#" 1.28861
+cap "GND" "m1_36045_25020#" 1448.37
+cap "GND" "m1_61580_36205#" 81.8574
+cap "m1_50680_31080#" "VDD" 127.719
+cap "m3_61090_27360#" "CTRL4" 2.27251
+cap "GND" "m1_57365_35845#" 31.0118
+cap "m3_57735_29855#" "m3_57480_29855#" 161.29
+cap "li_34160_25810#" "GND" 36.0568
+cap "li_36440_31120#" "m1_36045_25020#" 27.9185
+cap "VDD" "m1_48170_36500#" 262.571
+cap "m2_55046_36460#" "VDD" 0.312025
+cap "li_52140_31175#" "VDD" 5.80094
+cap "m1_57380_34715#" "m1_57390_36205#" 0.148649
+cap "GND" "li_55184_29702#" 7.13385
+cap "VDD" "m3_55465_27385#" 7.36194
+cap "GND" "li_36440_31120#" 731.684
+cap "li_55194_32310#" "m1_55108_31074#" 1.28861
+cap "li_55174_27882#" "GND" 8.34926
+cap "m1_46210_32690#" "m1_47700_36500#" 50.6873
+cap "m3_57710_27380#" "m3_55465_27385#" 44.8511
+cap "m1_59460_22855#" "m1_59445_23765#" 17.6
+cap "m1_57390_36205#" "m1_57365_35845#" 44.6286
+cap "li_55178_25280#" "VDD" 24.2753
+cap "m1_61585_35845#" "m1_61580_36205#" 46.5143
+cap "GND" "m1_59480_21365#" 70.6875
+cap "GND" "li_32925_24370#" 56.335
+cap "m3_57735_29855#" "m3_59300_29490#" 35.1266
+cap "m1_57370_21725#" "m1_57360_21365#" 45.8857
+cap "m1_61565_21725#" "GND" 14.2537
+cap "m1_57370_21725#" "GND" 14.2537
+cap "CTRL3" "GND" 6.43706
+cap "m1_59490_35845#" "m1_59465_35305#" 29.0566
+cap "m3_57735_29855#" "m3_55465_29875#" 42.8343
+cap "GND" "m1_59465_35305#" 9.35265
+cap "VDD" "m1_36045_25020#" 0.274803
+cap "m1_47700_36500#" "VDD" 112.635
+cap "m3_55465_29875#" "m3_57480_29855#" 11.4351
+cap "CTRL5" "m3_61090_27360#" 15.1
+cap "CTRL3" "CTRL2" 1324.22
+cap "GND" "m1_57360_21365#" 69.7048
+cap "m1_61555_33805#" "m1_61570_34715#" 17.6
+cap "m1_59490_35845#" "GND" 19.9211
+cap "CTRL3" "CTRL1" 1.48315
+cap "m1_57355_35305#" "m1_57380_34715#" 26.5517
+cap "m1_36045_25020#" "m1_35580_30580#" 130.374
+cap "m1_61575_22265#" "m1_61570_21365#" 0.247191
+cap "m1_61575_22855#" "m1_61575_22265#" 28.4483
+cap "CTRL5" "CTRL4" 1021.66
+cap "m3_55465_29875#" "m1_55108_31074#" 40.0905
+cap "li_55194_32310#" "VDD" 24.7824
+cap "GND" "VDD" 2142.06
+cap "m3_61085_29785#" "CTRL4" 1.66713
+cap "m1_35620_27495#" "li_33670_25540#" 107.386
+cap "VDD" "m1_33455_32800#" 587.883
+cap "m3_59620_27555#" "m3_61090_27360#" 81.8632
+cap "GND" "m2_55046_36460#" 89.5977
+cap "m1_59460_22265#" "m1_59460_22855#" 28.4483
+cap "m1_57370_21725#" "m1_57370_22265#" 31.1321
+cap "m1_61595_35305#" "m1_61570_34715#" 26.5517
+cap "GND" "CTRL2" 21.028
+cap "m1_50680_31080#" "m1_48170_36500#" 6.95391
+cap "VDD" "m1_55108_31074#" 35.6879
+cap "m1_61595_35305#" "GND" 6.02109
+cap "m1_50680_31080#" "li_52140_31175#" 16.4402
+cap "VDD" "m1_55094_26496#" 27.0747
+cap "CTRL1" "GND" 110.302
+cap "GND" "m1_35580_30580#" 245.41
+cap "m1_57355_35305#" "m1_57365_35845#" 30.3019
+cap "GND" "m3_55465_27385#" 426.05
+cap "GND" "m1_57390_36205#" 113.415
+cap "m1_61585_35845#" "m1_61570_34715#" 0.392857
+cap "m1_35620_27495#" "m1_36045_25020#" 351.298
+cap "li_55184_29702#" "m1_55108_31074#" 0.798051
+cap "GND" "m1_61585_35845#" 16.9819
+cap "GND" "m1_57370_22265#" 5.10285
+cap "CTRL1" "CTRL2" 1640.75
+cap "m1_46210_32690#" "VDD" 277.492
+cap "m1_35620_27495#" "li_34160_25810#" 25.1414
+cap "GND" "li_55178_25280#" 16.7972
+cap "m3_61085_29785#" "m3_59300_29490#" 73.4861
+cap "m3_55465_29875#" "VDD" 6.81356
+cap "m1_57380_34715#" "m1_57365_35845#" 0.589286
+cap "m1_59460_22265#" "m1_59475_21725#" 29.8868
+cap "CTRL3" "CTRL4" 1107.53
+cap "li_55174_27882#" "m3_56407_27820#" 0.614408
+cap "m1_61595_35305#" "m1_61585_35845#" 30.3019
+cap "m1_35620_27495#" "GND" 359.667
+cap "li_55174_27882#" "m1_55094_26496#" 0.817339
+cap "m1_57350_22855#" "m1_57360_21365#" 0.297297
+cap "X3/X2/VDD" "X3/X2/XR1/a_n703_n3602#" 0.00337011
+cap "X3/X2/XR1/a_n703_n3602#" "X3/X2/VDD" 1.63463
+cap "X3/X2/VDD" "X6/GND" 229.014
+cap "X6/ctrll2" "X3/X2/VDD" 11.8667
+cap "X6/XM1/a_n73_n100#" "X3/X2/VDD" 36.3624
+cap "X3/X2/XR1/a_n703_n3602#" "X6/GND" 32.9545
+cap "X6/ctrll1" "X3/X2/VDD" 5.80578
+cap "X3/X2/VDD" "X3/X2/XR1/a_n703_n3602#" 129.037
+cap "X3/X2/VDD" "X7/ctrll1" 44.6257
+cap "X6/GND" "X6/XC6/c2_n451_n200#" 0.112528
+cap "X6/XM1/a_n73_n100#" "X7/ctrll1" -4.30869
+cap "X3/X2/VDD" "X6/XM1/a_n73_n100#" 139.941
+cap "X7/ctrll2" "X3/X2/VDD" 7.48473
+cap "X7/ctrll2" "X6/XM1/a_n73_n100#" -1.49977
+cap "X3/X2/VDD" "X6/XM2/a_15_n100#" 2.2671
+cap "X6/GND" "X7/ctrll1" 113.683
+cap "X6/GND" "X3/X2/VDD" 612.988
+cap "X6/GND" "X6/XM1/a_n73_n100#" 255.951
+cap "X6/GND" "X7/ctrll2" 24.5041
+cap "X6/GND" "X6/XM2/a_15_n100#" 3.05327
+cap "X6/GND" "X7/ctrll3" 1.64814
+cap "X6/XM2/a_15_n100#" "X7/ctrll1" 13.4873
+cap "X7/ctrll2" "X6/XM2/a_15_n100#" 10.9637
+cap "X6/XM2/a_15_n100#" "X7/GND" 311.144
+cap "X7/ctrll2" "X7/ctrll1" -4.37037
+cap "X7/GND" "X7/ctrll1" 215.58
+cap "X7/ctrll2" "X7/GND" 73.634
+cap "X6/XM2/a_15_n100#" "X7/m1_4820_n1420#" 3.6713
+cap "X6/XM2/a_15_n100#" "X3/X2/VDD" 1.86756
+cap "X6/XM2/a_15_n100#" "X7/XM1/a_n73_n100#" 73.1161
+cap "X6/XM1/a_n73_n100#" "X6/XM2/a_15_n100#" -0.3241
+cap "X7/ctrll2" "X7/m1_4820_n1420#" 0.805195
+cap "X3/X2/VDD" "X7/ctrll1" 4.06632
+cap "X7/m1_4820_n1420#" "X7/GND" 1.31177
+cap "X7/ctrll2" "X7/XM1/a_n73_n100#" 15.3314
+cap "X6/XM1/a_n73_n100#" "X7/ctrll1" 0.204877
+cap "X7/GND" "X3/X2/VDD" 3.87484
+cap "X7/GND" "X7/XM1/a_n73_n100#" 14.2124
+cap "X7/ctrll2" "X6/XM1/a_n73_n100#" 1.09541
+cap "X6/XM1/a_n73_n100#" "X7/GND" 8.33478
+cap "X6/XM4/a_111_n100#" "X7/GND" 3.03603
+cap "X6/XM3/a_n33_n100#" "X7/GND" 19.4832
+cap "X7/ctrll2" "X7/ctrll3" -3.36226
+cap "X7/ctrll4" "X7/ctrll1" -0.148649
+cap "X7/GND" "X7/ctrll3" 5.7884
+cap "X7/ctrll4" "X7/GND" 0.147145
+cap "X7/XM2/a_15_n100#" "X7/GND" 150.252
+cap "X7/ctrll1" "X7/GND" 280.946
+cap "X6/XM2/a_15_n100#" "X7/GND" 15.4271
+cap "X7/XC6/c2_n451_n200#" "X7/GND" 0.112528
+cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 1.1041
+cap "X7/GND" "X7/ctrll3" 2.0212
+cap "X8/XM1/a_n73_n100#" "X7/GND" 1.20452
+cap "X7/XM4/a_111_n100#" "X7/GND" 0.120987
+cap "X7/XM1/a_n73_n100#" "X7/ctrll1" 0.592139
+cap "X7/XM1/a_n73_n100#" "X6/XM2/a_15_n100#" 110.894
+cap "X7/XM2/a_15_n100#" "X7/ctrll2" 4.86641
+cap "X7/ctrll1" "X7/ctrll2" 5.56681
+cap "X7/XM1/a_n73_n100#" "X7/GND" 191.238
+cap "X7/GND" "X7/ctrll2" 60.1269
+cap "X7/ctrll2" "X7/ctrll3" -0.166038
+cap "X7/ctrll4" "X7/GND" 0.00962566
+cap "X8/XM1/a_n73_n100#" "X7/ctrll2" 1.24611
+cap "X7/GND" "X7/XM3/a_n33_n100#" 1.14377
+cap "X7/ctrll1" "X7/XM2/a_15_n100#" -0.185928
+cap "X7/XM2/a_15_n100#" "X6/XM2/a_15_n100#" 2.46015
+cap "X7/XM1/a_n73_n100#" "X7/ctrll2" 17.1724
+cap "X7/ctrll1" "X6/XM2/a_15_n100#" 8.1143
+cap "X8/XM1/a_n73_n100#" "X7/GND" 195.796
+cap "X7/XM2/a_15_n100#" "X7/GND" 165.614
+cap "X7/GND" "X7/XM4/a_111_n100#" 1.32382
+cap "X7/GND" "X7/XM3/a_n33_n100#" 8.30523
+cap "X8/XM1/a_n73_n100#" "X7/ctrll2" 30.1623
+cap "X7/GND" "X8/XC6/c2_n451_n200#" 0.112528
+cap "X7/XM2/a_15_n100#" "X7/ctrll2" 6.09142
+cap "X7/XM2/a_15_n100#" "X8/XM2/a_15_n100#" 3.6713
+cap "X7/ctrll3" "X7/GND" 2.60586
+cap "X8/XM1/a_n73_n100#" "X7/ctrll1" 0.208115
+cap "X7/GND" "X7/ctrll4" 0.056206
+cap "X7/XM2/a_15_n100#" "X7/ctrll1" 18.7443
+cap "X7/ctrll3" "X7/ctrll2" -2.90566
+cap "X7/GND" "X7/ctrll2" 36.1402
+cap "X7/GND" "X8/XM2/a_15_n100#" 1.31177
+cap "X7/ctrll3" "X7/ctrll1" -2.22045e-16
+cap "X7/XM2/a_15_n100#" "X8/XM1/a_n73_n100#" 182.906
+cap "X7/GND" "X7/ctrll1" 123.544
+cap "X8/XM2/a_15_n100#" "X7/ctrll2" 0.805195
+cap "X7/ctrll1" "X7/ctrll2" -0.95957
+cap "X8/XM1/a_n73_n100#" "X7/GND" 8.33482
+cap "X7/GND" "X7/XM2/a_15_n100#" 0.905741
+cap "X7/ctrll2" "X9/m1_4820_n1420#" 0.805195
+cap "X8/XM2/a_15_n100#" "X9/m1_4820_n1420#" 3.6713
+cap "X7/ctrll2" "X9/XM1/a_n73_n100#" 15.3314
+cap "X8/XM2/a_15_n100#" "X9/XM1/a_n73_n100#" 73.1161
+cap "X7/ctrll3" "X7/ctrll2" -3.11321
+cap "X7/ctrll3" "X7/ctrll1" -0.0247191
+cap "X7/ctrll2" "X8/XM2/a_15_n100#" 13.0705
+cap "X7/ctrll2" "X7/ctrll1" -4.48698
+cap "X8/XM1/a_n73_n100#" "X7/ctrll2" 1.09541
+cap "X8/XM2/a_15_n100#" "X7/ctrll1" 13.3752
+cap "X7/XM2/a_15_n100#" "X8/XM2/a_15_n100#" 2.46015
+cap "X7/GND" "X7/ctrll4" 0.138237
+cap "X7/XM2/a_15_n100#" "X7/ctrll1" 2.93031
+cap "X8/XM1/a_n73_n100#" "X7/ctrll1" 0.384024
+cap "X7/GND" "X8/XM3/a_n33_n100#" 18.8027
+cap "X7/GND" "X8/XM4/a_111_n100#" 2.99436
+cap "X7/GND" "X9/m1_4820_n1420#" 1.31177
+cap "X7/GND" "X9/XM1/a_n73_n100#" 14.2124
+cap "X7/GND" "X7/ctrll3" 5.52301
+cap "X7/GND" "X7/ctrll2" 75.8915
+cap "X7/GND" "X8/XM2/a_15_n100#" 311.156
+cap "X7/GND" "X7/ctrll1" 228.751
+cap "X9/ctrll3" "X9/GND" 1.74219
+cap "X9/GND" "X9/ctrll2" 58.4406
+cap "X9/XM2/a_15_n100#" "X9/ctrll2" 5.39116
+cap "X9/GND" "X9/XC6/c2_n451_n200#" 0.112528
+cap "X9/ctrll1" "X9/XM1/a_n73_n100#" 0.592139
+cap "X8/XM2/a_15_n100#" "X9/XM1/a_n73_n100#" 110.894
+cap "X9/GND" "X9/XM1/a_n73_n100#" 191.238
+cap "X8/XM2/a_15_n100#" "X9/ctrll1" 8.1143
+cap "X9/GND" "X9/ctrll1" 264.905
+cap "X8/XM2/a_15_n100#" "X9/GND" 15.4271
+cap "X9/XM2/a_15_n100#" "X9/ctrll1" -0.185171
+cap "X8/XM2/a_15_n100#" "X9/XM2/a_15_n100#" 2.46015
+cap "X9/GND" "X9/XM2/a_15_n100#" 140.443
+cap "X9/ctrll2" "X9/XM1/a_n73_n100#" 17.1724
+cap "X9/ctrll1" "X9/ctrll2" 5.06465
+cap "X9/ctrll3" "X9/ctrll1" -0.0988764
+cap "X9/GND" "X9/ctrll1" -0.319362
+cap "X9/ctrll2" "X9/XM2/a_15_n100#" 14.0309
+cap "X9/ctrll2" "X9/ctrll1" -58.7688
+cap "X9/ctrll1" "X9/XM2/a_15_n100#" 22.0578
+cap "X9/ctrll2" "X9/ctrll3" -0.622642
+cap "X9/ctrll1" "X9/ctrll3" -0.247191
+cap "X9/ctrll2" "X9/GND" 16.1796
+cap "X9/GND" "X9/XM2/a_15_n100#" -0.0313216
+cap "X9/XM2/a_15_n100#" "X9/ctrll1" 8.87621
+cap "X9/GND" "X9/ctrll1" 1.27214
+cap "X9/ctrll1" "X9/ctrll2" 0.949072
+cap "X9/XM2/a_n175_n274#" "X9/ctrll1" 2.81227
+cap "X3/X3/XR2/a_n703_n3602#" "X3/X2/VDD" 1.18217
+cap "X1/X2/VDD" "X6/GND" 43.465
+cap "X6/XM1/a_n73_n100#" "X1/X2/VDD" 28.5568
+cap "X6/ctrll3" "X1/X2/VDD" 4.6638
+cap "X3/X2/XR1/a_n703_n3602#" "X6/GND" 50.7866
+cap "X6/ctrll4" "X1/X2/VDD" 4.64359
+cap "X1/X2/VDD" "X6/XC6/c2_n451_n200#" 8.09237
+cap "X3/X2/XR1/a_n703_n3602#" "X1/X2/VDD" 232.061
+cap "X7/ctrll3" "X1/X2/VDD" 3.33604
+cap "X6/XM1/a_n73_n100#" "X6/XC6/c2_n451_n200#" -8.67818
+cap "X6/XM1/a_n73_n100#" "X1/X2/BIAS" 0.0195694
+cap "X6/XM2/a_15_n100#" "X6/GND" 55.1175
+cap "X7/ctrll4" "X1/X2/VDD" 3.33604
+cap "X6/XM1/a_n73_n100#" "X6/GND" 326.289
+cap "X6/XC6/c2_n451_n200#" "X6/GND" 311.41
+cap "X6/XC6/c2_n451_n200#" "X1/X2/VDD" 13.685
+cap "X6/XM4/a_111_n100#" "X6/GND" 7.31298
+cap "X6/XM1/a_n73_n100#" "X1/X2/VDD" 31.7185
+cap "X7/ctrll3" "X6/GND" 25.8331
+cap "X7/ctrll4" "X6/XC6/c2_n451_n200#" -0.443969
+cap "X6/GND" "X1/X2/VDD" 137.985
+cap "X7/ctrll4" "X6/XM3/a_n33_n100#" -0.00186074
+cap "X7/ctrll4" "X1/X2/BIAS" 0.00853511
+cap "X7/ctrll4" "X6/GND" 27.3705
+cap "X6/XM2/a_15_n100#" "X6/XM4/a_111_n100#" -0.00638951
+cap "X7/XM1/a_n73_n100#" "X1/X2/VDD" 3.20356e-06
+cap "X7/GND" "X1/X2/VDD" 0.0338376
+cap "X6/XM3/a_n33_n100#" "X7/XC6/c2_n451_n200#" 3.78439
+cap "X6/XM5/a_159_n100#" "X1/X2/VDD" 0.0471438
+cap "X7/ctrll3" "X7/XM1/a_n73_n100#" 5.64803
+cap "X6/XM1/a_n73_n100#" "X1/X2/BIAS" 0.0246272
+cap "X7/m1_4820_n890#" "X7/ctrll4" -0.00136707
+cap "X7/XC6/c2_n451_n200#" "X6/XM1/a_n73_n100#" 1.05693
+cap "X1/X2/BIAS" "X7/ctrll5" 0.0232979
+cap "X7/ctrll3" "X7/GND" 37.8689
+cap "X7/ctrll3" "X7/m1_4820_n1420#" 1.69111
+cap "X7/ctrll2" "X6/XM3/a_n33_n100#" -0.1687
+cap "X7/ctrll4" "X1/X2/BIAS" 0.24386
+cap "X7/XC6/c2_n451_n200#" "X7/ctrll5" 3.1996
+cap "X7/ctrll4" "X7/XC6/c2_n451_n200#" 6.7791
+cap "X7/XM1/a_n73_n100#" "X7/GND" 55.8191
+cap "X6/XM3/a_n33_n100#" "X6/XM4/a_111_n100#" -5.37774
+cap "X7/XM1/a_n73_n100#" "X6/XM5/a_159_n100#" 4.89984
+cap "X7/ctrll3" "X6/XM2/a_15_n100#" -0.0253802
+cap "X7/GND" "X6/XM5/a_159_n100#" 5.44724
+cap "X7/GND" "X7/m1_4820_n1420#" 6.64458
+cap "X6/XM5/a_159_n100#" "X7/m1_4820_n1420#" 17.7971
+cap "X6/XM3/a_n33_n100#" "X7/ctrll1" -0.154791
+cap "X7/XM1/a_n73_n100#" "X6/XM2/a_15_n100#" 15.381
+cap "X7/GND" "X6/XM2/a_15_n100#" 38.6763
+cap "X7/ctrll4" "X6/XM4/a_111_n100#" 33.0742
+cap "X7/ctrll4" "X7/ctrll1" -0.148649
+cap "X7/ctrll3" "X6/XM3/a_n33_n100#" 22.0625
+cap "X6/XM1/a_n73_n100#" "X1/X2/VDD" 3.49019e-06
+cap "X7/ctrll5" "X1/X2/VDD" 0.016697
+cap "X6/XM4/a_111_n100#" "X6/XC6/c2_n451_n200#" -0.00421676
+cap "X7/m1_4820_n890#" "X6/XM4/a_111_n100#" 0.00198605
+cap "X7/XM1/a_n73_n100#" "X6/XM3/a_n33_n100#" 62.1315
+cap "X7/ctrll3" "X6/XM1/a_n73_n100#" 1.11745
+cap "X7/GND" "X6/XM3/a_n33_n100#" 14.9203
+cap "X7/ctrll3" "X7/ctrll5" -0.236242
+cap "X6/XM4/a_111_n100#" "X1/X2/BIAS" 0.00194171
+cap "X6/XM3/a_n33_n100#" "X7/m1_4820_n1420#" 22.8039
+cap "X7/ctrll3" "X7/ctrll4" -4.93103
+cap "X7/XC6/c2_n451_n200#" "X6/XM4/a_111_n100#" 41.2204
+cap "X6/XM4/a_111_n100#" "X7/m1_4820_n460#" 0.821082
+cap "X7/GND" "X6/XM1/a_n73_n100#" 0.0820184
+cap "X7/XM1/a_n73_n100#" "X7/ctrll4" 26.2562
+cap "X6/XM5/a_159_n100#" "X7/ctrll5" -0.0212135
+cap "X7/ctrll5" "X7/m1_4820_n1420#" 0.735595
+cap "X7/GND" "X7/ctrll4" 12.8677
+cap "X7/ctrll4" "X6/XM5/a_159_n100#" -0.0621428
+cap "X7/ctrll4" "X7/m1_4820_n1420#" 1.56685
+cap "X6/XM2/a_15_n100#" "X6/XM1/a_n73_n100#" -7.55345
+cap "X7/ctrll4" "X6/XM2/a_15_n100#" -0.114941
+cap "X6/XM4/a_111_n100#" "X7/ctrll1" -0.0230979
+cap "X7/XM1/a_n73_n100#" "X1/X2/BIAS" 0.0131478
+cap "X7/m1_4820_n890#" "X6/XM5/a_159_n100#" 0.00179078
+cap "X7/GND" "X1/X2/BIAS" 0.328812
+cap "X6/XM5/a_159_n100#" "X1/X2/BIAS" 0.0745703
+cap "X7/ctrll3" "X7/ctrll2" -3.36226
+cap "X7/GND" "X7/XC6/c2_n451_n200#" 12.3841
+cap "X7/XC6/c2_n451_n200#" "X6/XM5/a_159_n100#" 37.8421
+cap "X7/GND" "X7/m1_4820_n460#" 0.0859877
+cap "X6/XM2/a_15_n100#" "X6/XC6/c2_n451_n200#" -1.74567
+cap "X6/XM5/a_159_n100#" "X7/m1_4820_n460#" 7.7883
+cap "X7/ctrll4" "X6/XM3/a_n33_n100#" 2.51046
+cap "X6/XM2/a_15_n100#" "X7/XC6/c2_n451_n200#" 1.90871
+cap "X7/XM1/a_n73_n100#" "X6/XM4/a_111_n100#" 234.642
+cap "X7/ctrll4" "X6/XM1/a_n73_n100#" 0.387956
+cap "X7/GND" "X6/XM4/a_111_n100#" 23.8427
+cap "X6/XM4/a_111_n100#" "X7/m1_4820_n1420#" 27.5445
+cap "X7/ctrll4" "X7/ctrll5" -1.58889
+cap "X7/m1_4820_n890#" "X6/XM3/a_n33_n100#" 0.00119792
+cap "X7/XM2/a_15_n100#" "X7/GND" 13.5664
+cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 4.28946
+cap "X7/XM1/a_n73_n100#" "X6/XM4/a_111_n100#" 2.99062
+cap "X7/GND" "X7/ctrll3" 25.3169
+cap "X8/XM1/a_n73_n100#" "X7/ctrll3" 0.535247
+cap "X7/GND" "X1/X2/VDD" 0.0204101
+cap "X7/XC6/c2_n451_n200#" "X7/ctrll5" 3.79314
+cap "X7/XC6/c2_n451_n200#" "X6/XM4/a_111_n100#" 111.337
+cap "X7/ctrll4" "X7/XM1/a_n73_n100#" 0.387956
+cap "X7/XM3/a_n33_n100#" "X7/GND" 4.55747
+cap "X7/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" -8.97241
+cap "X7/ctrll2" "X7/ctrll3" -0.166038
+cap "X7/XM1/a_n73_n100#" "X7/ctrll3" 9.59068
+cap "X6/XM3/a_n33_n100#" "X7/GND" 6.37546
+cap "X7/XM1/a_n73_n100#" "X1/X2/VDD" 5.94643e-05
+cap "X7/GND" "X7/XM4/a_111_n100#" 2.78954
+cap "X8/XM1/a_n73_n100#" "X7/XM4/a_111_n100#" 13.6434
+cap "X7/XC6/c2_n451_n200#" "X7/ctrll4" 14.4916
+cap "X7/XM2/a_15_n100#" "X7/ctrll5" 0.969248
+cap "X7/ctrll3" "X7/ctrll5" -0.0590604
+cap "X7/GND" "X1/X2/BIAS" 0.103319
+cap "X6/XM4/a_111_n100#" "X7/XM2/a_15_n100#" 21.1631
+cap "X6/XM5/a_159_n100#" "X7/GND" 3.65415
+cap "X7/XC6/c2_n451_n200#" "X7/XM2/a_15_n100#" -2.18396
+cap "X7/ctrll5" "X1/X2/VDD" 0.00285428
+cap "X7/XM1/a_n73_n100#" "X6/XM2/a_15_n100#" 4.38389
+cap "X8/XC6/c2_n451_n200#" "X7/GND" 1.08399
+cap "X7/XM5/a_159_n100#" "X1/X2/VDD" 0.0231526
+cap "X7/ctrll4" "X7/XM2/a_15_n100#" 1.67762
+cap "X7/XM3/a_n33_n100#" "X6/XM4/a_111_n100#" 0.000502504
+cap "X6/XM3/a_n33_n100#" "X7/XM1/a_n73_n100#" 63.3568
+cap "X7/XC6/c2_n451_n200#" "X6/XM2/a_15_n100#" 20.8943
+cap "X7/XM1/a_n73_n100#" "X1/X2/BIAS" 0.27939
+cap "X7/XM2/a_15_n100#" "X7/ctrll3" 3.8369
+cap "X7/ctrll1" "X7/XM3/a_n33_n100#" -0.00515929
+cap "X7/XC6/c2_n451_n200#" "X6/XM1/a_n73_n100#" 4.76677
+cap "X7/XM1/a_n73_n100#" "X8/XC6/c2_n451_n200#" 1.05693
+cap "X6/XM4/a_111_n100#" "X7/XM4/a_111_n100#" 0.604035
+cap "X7/XC6/c2_n451_n200#" "X6/XM3/a_n33_n100#" 33.7691
+cap "X1/X2/BIAS" "X7/ctrll5" 0.00173138
+cap "X7/XC6/c2_n451_n200#" "X7/XM4/a_111_n100#" -0.0682663
+cap "X7/ctrll4" "X7/XM3/a_n33_n100#" 0.0608515
+cap "X7/ctrll1" "X7/XM4/a_111_n100#" -0.0258976
+cap "X7/XC6/c2_n451_n200#" "X6/XM5/a_159_n100#" 44.1049
+cap "X8/XC6/c2_n451_n200#" "X7/ctrll5" 0.397788
+cap "X7/XM3/a_n33_n100#" "X7/ctrll3" 0.0944044
+cap "X1/X2/BIAS" "X7/XM5/a_159_n100#" 0.0115573
+cap "X7/ctrll4" "X7/XM4/a_111_n100#" 1.13137
+cap "X8/XM1/a_n73_n100#" "X7/GND" 2.34949
+cap "X7/ctrll4" "X1/X2/BIAS" 0.24386
+cap "X6/XM3/a_n33_n100#" "X7/XM2/a_15_n100#" 15.7451
+cap "X7/XM2/a_15_n100#" "X7/XM4/a_111_n100#" -0.103442
+cap "X6/XM5/a_159_n100#" "X7/XM2/a_15_n100#" 10.3431
+cap "X7/ctrll4" "X8/XC6/c2_n451_n200#" 1.74056
+cap "X8/XC6/c2_n451_n200#" "X7/XM2/a_15_n100#" 1.90871
+cap "X6/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 0.000286629
+cap "X7/XM3/a_n33_n100#" "X7/XM4/a_111_n100#" -5.35286
+cap "X7/XM1/a_n73_n100#" "X7/GND" 33.3551
+cap "X6/XM5/a_159_n100#" "X7/XM3/a_n33_n100#" 0.000271832
+cap "X6/XM4/a_111_n100#" "X7/GND" 6.77006
+cap "X7/XC6/c2_n451_n200#" "X7/GND" 62.5695
+cap "X6/XM5/a_159_n100#" "X7/XM4/a_111_n100#" 1.26708
+cap "X8/XC6/c2_n451_n200#" "X7/XM4/a_111_n100#" 11.7157
+cap "X7/ctrll4" "X7/GND" 4.44488
+cap "X7/ctrll4" "X8/XM1/a_n73_n100#" 3.83685
+cap "X7/ctrll5" "X7/XM5/a_159_n100#" -0.0212135
+cap "X8/XM1/a_n73_n100#" "X7/XM3/a_n33_n100#" 125.488
+cap "X8/XC6/c2_n451_n200#" "X7/ctrll4" 19.5301
+cap "X8/XM2/a_15_n100#" "X7/ctrll3" 1.69111
+cap "X1/X3/VDD" "X7/XM5/a_159_n100#" 0.0901579
+cap "X7/GND" "X7/XM4/a_111_n100#" 14.782
+cap "X8/XM2/a_15_n100#" "X7/XM5/a_159_n100#" 17.7971
+cap "X8/XM4/a_111_n100#" "X7/XM4/a_111_n100#" 0.821082
+cap "X8/XM3/a_n33_n100#" "X7/XM4/a_111_n100#" 0.00198605
+cap "X8/XM4/a_111_n100#" "X7/GND" 0.0859877
+cap "X7/XM4/a_111_n100#" "X1/X3/BIAS" 0.00194171
+cap "X8/XC6/c2_n451_n200#" "X7/ctrll5" 6.59496
+cap "X8/XM2/a_15_n100#" "X7/XM3/a_n33_n100#" 22.8039
+cap "X7/ctrll4" "X7/XM4/a_111_n100#" 31.9383
+cap "X7/GND" "X1/X3/BIAS" 0.207132
+cap "X7/GND" "X7/ctrll4" 10.5906
+cap "X7/ctrll2" "X7/ctrll3" -2.90566
+cap "X7/XM3/a_n33_n100#" "X7/ctrll3" 22.0637
+cap "X8/XM1/a_n73_n100#" "X7/XM4/a_111_n100#" 223.989
+cap "X7/GND" "X8/XM1/a_n73_n100#" 86.9557
+cap "X7/ctrll1" "X7/ctrll4" -5.55112e-17
+cap "X8/XM3/a_n33_n100#" "X7/ctrll4" -0.027949
+cap "X8/XC6/c2_n451_n200#" "X7/XM2/a_15_n100#" 20.8943
+cap "X7/ctrll4" "X1/X3/BIAS" 0.24386
+cap "X7/ctrll2" "X7/XM3/a_n33_n100#" -0.1687
+cap "X7/GND" "X7/ctrll5" 1.42109e-14
+cap "X8/XM1/a_n73_n100#" "X1/X3/BIAS" 0.273923
+cap "X8/XM1/a_n73_n100#" "X7/ctrll4" 22.4193
+cap "X8/XC6/c2_n451_n200#" "X7/XM5/a_159_n100#" 81.947
+cap "X8/XM2/a_15_n100#" "X7/XM4/a_111_n100#" 27.5445
+cap "X7/ctrll5" "X1/X3/BIAS" 0.0193818
+cap "X7/GND" "X1/X3/VDD" 0.0646149
+cap "X7/ctrll4" "X7/ctrll5" -1.71111
+cap "X7/GND" "X8/XM2/a_15_n100#" 6.64458
+cap "X8/XC6/c2_n451_n200#" "X7/XM3/a_n33_n100#" 37.5535
+cap "X7/GND" "X7/ctrll3" 25.7958
+cap "X7/GND" "X7/XM5/a_159_n100#" 5.45087
+cap "X7/ctrll4" "X8/XM2/a_15_n100#" 1.56685
+cap "X8/XM4/a_111_n100#" "X7/XM5/a_159_n100#" 7.7883
+cap "X7/XM4/a_111_n100#" "X7/XM3/a_n33_n100#" -0.0248842
+cap "X8/XM1/a_n73_n100#" "X1/X3/VDD" 3.95197e-05
+cap "X8/XM3/a_n33_n100#" "X7/XM5/a_159_n100#" 0.00179078
+cap "X7/ctrll4" "X7/ctrll3" -6.44828
+cap "X1/X3/BIAS" "X7/XM5/a_159_n100#" 0.0449478
+cap "X7/GND" "X7/XM3/a_n33_n100#" 13.0984
+cap "X8/XM1/a_n73_n100#" "X7/XM2/a_15_n100#" 15.4754
+cap "X7/XM1/a_n73_n100#" "X8/XC6/c2_n451_n200#" 4.76677
+cap "X7/ctrll4" "X7/XM5/a_159_n100#" -0.0621428
+cap "X1/X3/VDD" "X7/ctrll5" 0.0342707
+cap "X8/XM2/a_15_n100#" "X7/ctrll5" 0.735595
+cap "X8/XM1/a_n73_n100#" "X7/ctrll3" 13.586
+cap "X7/ctrll1" "X7/XM3/a_n33_n100#" -0.153101
+cap "X8/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 0.00119792
+cap "X8/XM1/a_n73_n100#" "X7/XM5/a_159_n100#" 4.89984
+cap "X8/XC6/c2_n451_n200#" "X7/XM4/a_111_n100#" 140.842
+cap "X7/ctrll4" "X7/XM3/a_n33_n100#" 2.51354
+cap "X7/GND" "X8/XC6/c2_n451_n200#" 73.9202
+cap "X7/ctrll3" "X7/ctrll1" -0.0247191
+cap "X8/XM4/a_111_n100#" "X9/m1_4820_n460#" 0.821082
+cap "X8/XM5/a_159_n100#" "X1/X3/VDD" 0.119371
+cap "X7/GND" "X8/XM1/a_n73_n100#" -0.131012
+cap "X8/XM4/a_111_n100#" "X7/ctrll1" -0.0251977
+cap "X7/ctrll5" "X9/XC6/c2_n451_n200#" 3.1996
+cap "X7/ctrll4" "X9/XM1/a_n73_n100#" 26.2562
+cap "X9/XM1/a_n73_n100#" "X8/XM5/a_159_n100#" 4.89984
+cap "X7/ctrll3" "X7/ctrll2" -3.11321
+cap "X7/XM4/a_111_n100#" "X7/GND" 6.77006
+cap "X7/GND" "X9/m1_4820_n1420#" 6.64458
+cap "X7/GND" "X7/XM3/a_n33_n100#" 6.37546
+cap "X9/XM1/a_n73_n100#" "X8/XM3/a_n33_n100#" 62.1315
+cap "X9/m1_4820_n460#" "X7/GND" 0.0859877
+cap "X7/ctrll5" "X1/X3/BIAS" 0.0211132
+cap "X9/XM1/a_n73_n100#" "X1/X3/BIAS" 0.0131478
+cap "X8/XM2/a_15_n100#" "X7/ctrll5" 0.969248
+cap "X8/XM2/a_15_n100#" "X9/XM1/a_n73_n100#" 15.381
+cap "X7/ctrll4" "X8/XM5/a_159_n100#" -0.0621428
+cap "X7/ctrll4" "X9/XC6/c2_n451_n200#" 6.7791
+cap "X7/ctrll3" "X9/XM1/a_n73_n100#" 5.64803
+cap "X9/XC6/c2_n451_n200#" "X8/XM5/a_159_n100#" 37.8421
+cap "X7/ctrll4" "X8/XM3/a_n33_n100#" 2.60097
+cap "X8/XM4/a_111_n100#" "X9/XM1/a_n73_n100#" 234.642
+cap "X8/XM1/a_n73_n100#" "X1/X3/VDD" 3.49019e-06
+cap "X9/XC6/c2_n451_n200#" "X8/XM3/a_n33_n100#" 3.78439
+cap "X7/ctrll4" "X1/X3/BIAS" 0.24386
+cap "X1/X3/BIAS" "X8/XM5/a_159_n100#" 0.0595794
+cap "X7/GND" "X1/X3/VDD" 0.085538
+cap "X7/ctrll5" "X9/m1_4820_n1420#" 0.735595
+cap "X9/XM1/a_n73_n100#" "X7/GND" 55.8191
+cap "X8/XM2/a_15_n100#" "X7/ctrll4" 1.67762
+cap "X9/m1_4820_n890#" "X7/ctrll4" -0.00136707
+cap "X9/m1_4820_n890#" "X8/XM5/a_159_n100#" 0.00179078
+cap "X8/XM2/a_15_n100#" "X9/XC6/c2_n451_n200#" 1.90871
+cap "X7/ctrll3" "X7/ctrll4" -5.76552
+cap "X8/XM4/a_111_n100#" "X7/ctrll4" 33.0696
+cap "X9/m1_4820_n890#" "X8/XM3/a_n33_n100#" 0.00119792
+cap "X8/XM4/a_111_n100#" "X9/XC6/c2_n451_n200#" 41.2204
+cap "X7/ctrll3" "X8/XM3/a_n33_n100#" 22.1581
+cap "X7/XM5/a_159_n100#" "X8/XM3/a_n33_n100#" 0.000271832
+cap "X7/ctrll4" "X8/XM1/a_n73_n100#" 0.387956
+cap "X8/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" -5.37774
+cap "X9/XC6/c2_n451_n200#" "X8/XM1/a_n73_n100#" 1.05693
+cap "X7/ctrll4" "X7/GND" 15.0225
+cap "X7/GND" "X8/XM5/a_159_n100#" 5.45087
+cap "X8/XM4/a_111_n100#" "X1/X3/BIAS" 0.00194171
+cap "X7/ctrll4" "X9/m1_4820_n1420#" 1.56685
+cap "X9/m1_4820_n1420#" "X8/XM5/a_159_n100#" 17.7971
+cap "X9/XC6/c2_n451_n200#" "X7/GND" 12.3841
+cap "X9/m1_4820_n460#" "X8/XM5/a_159_n100#" 7.7883
+cap "X8/XM2/a_15_n100#" "X7/ctrll3" 3.8369
+cap "X7/GND" "X8/XM3/a_n33_n100#" 15.0527
+cap "X7/ctrll5" "X1/X3/VDD" 0.037125
+cap "X8/XM2/a_15_n100#" "X7/XM5/a_159_n100#" 10.3431
+cap "X7/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" 0.000502504
+cap "X8/XM2/a_15_n100#" "X8/XM4/a_111_n100#" -0.103442
+cap "X9/m1_4820_n890#" "X8/XM4/a_111_n100#" 0.00198605
+cap "X8/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 0.000286629
+cap "X1/X3/BIAS" "X8/XM1/a_n73_n100#" 0.023739
+cap "X9/m1_4820_n1420#" "X8/XM3/a_n33_n100#" 22.8039
+cap "X8/XM2/a_15_n100#" "X8/XC6/c2_n451_n200#" -2.18396
+cap "X7/ctrll1" "X8/XM3/a_n33_n100#" -0.155153
+cap "X8/XM4/a_111_n100#" "X7/XM5/a_159_n100#" 1.26708
+cap "X1/X3/BIAS" "X7/GND" 0.310451
+cap "X8/XM2/a_15_n100#" "X8/XM1/a_n73_n100#" -8.97241
+cap "X8/XM4/a_111_n100#" "X8/XC6/c2_n451_n200#" -0.0682663
+cap "X7/ctrll3" "X8/XM1/a_n73_n100#" 1.11745
+cap "X8/XM2/a_15_n100#" "X7/GND" 13.5664
+cap "X8/XM2/a_15_n100#" "X7/XM4/a_111_n100#" 21.1631
+cap "X7/ctrll2" "X8/XM3/a_n33_n100#" -0.1687
+cap "X8/XM2/a_15_n100#" "X7/XM3/a_n33_n100#" 15.7451
+cap "X7/ctrll3" "X7/GND" 39.8226
+cap "X7/GND" "X7/XM5/a_159_n100#" 3.65415
+cap "X7/XM4/a_111_n100#" "X8/XM4/a_111_n100#" 0.604035
+cap "X8/XM4/a_111_n100#" "X7/GND" 17.39
+cap "X7/ctrll3" "X9/m1_4820_n1420#" 1.69111
+cap "X7/GND" "X8/XC6/c2_n451_n200#" -0.0506159
+cap "X7/ctrll5" "X8/XM5/a_159_n100#" -0.0212135
+cap "X7/ctrll5" "X7/ctrll4" -1.73556
+cap "X8/XM4/a_111_n100#" "X9/m1_4820_n1420#" 27.5445
+cap "X9/ctrll3" "X9/XM4/a_111_n100#" 1.87251
+cap "X9/XM2/a_15_n100#" "X9/ctrll4" 1.86596
+cap "X9/ctrll2" "X9/GND" 0.510315
+cap "X9/XM2/a_15_n100#" "X9/ctrll5" 0.969248
+cap "X9/XM1/a_n73_n100#" "X8/XM2/a_15_n100#" 4.38389
+cap "X9/XM3/a_n33_n100#" "X9/ctrll1" -0.00487267
+cap "X9/XM4/a_111_n100#" "X9/GND" 8.50257
+cap "X9/ctrll2" "X9/ctrll4" 0.317545
+cap "X9/XM3/a_n33_n100#" "X8/XM4/a_111_n100#" 0.000502504
+cap "X9/ctrll3" "X9/GND" 25.393
+cap "X9/XM4/a_111_n100#" "X9/ctrll4" 3.96015
+cap "X9/ctrll2" "X9/ctrll5" 0.0742044
+cap "X9/ctrll3" "X9/ctrll4" 0.117556
+cap "X9/XM2/a_15_n100#" "X9/XC6/c2_n451_n200#" -2.18396
+cap "X9/XM1/a_n73_n100#" "X8/XM4/a_111_n100#" 2.99062
+cap "X9/ctrll3" "X9/ctrll5" 0.139406
+cap "X8/XM3/a_n33_n100#" "X9/XM3/a_n33_n100#" 0.000286629
+cap "X9/ctrll4" "X9/GND" 4.55921
+cap "X9/XM3/a_n33_n100#" "X8/XM5/a_159_n100#" 0.000271832
+cap "X9/XM4/a_111_n100#" "X9/XC6/c2_n451_n200#" -0.0682663
+cap "X9/XM1/a_n73_n100#" "X1/X3/BIAS" 0.0785519
+cap "X9/XM2/a_15_n100#" "X8/XM4/a_111_n100#" 21.1631
+cap "X9/ctrll4" "X9/ctrll5" -0.0206809
+cap "X9/XM4/a_111_n100#" "X9/ctrll1" -0.00489049
+cap "X9/XM1/a_n73_n100#" "X8/XM3/a_n33_n100#" 63.3568
+cap "X9/XM4/a_111_n100#" "X8/XM4/a_111_n100#" 0.604035
+cap "X9/XC6/c2_n451_n200#" "X9/GND" 62.5695
+cap "X9/ctrll3" "X9/ctrll1" -0.0894575
+cap "X8/XM3/a_n33_n100#" "X9/XM2/a_15_n100#" 15.7451
+cap "X9/XM2/a_15_n100#" "X8/XM5/a_159_n100#" 10.3431
+cap "X9/XC6/c2_n451_n200#" "X9/ctrll4" 14.4916
+cap "X9/XC6/c2_n451_n200#" "X9/ctrll5" 3.79314
+cap "X8/XM4/a_111_n100#" "X9/GND" 6.77006
+cap "X9/ctrll4" "X9/ctrll1" 0.0151896
+cap "X8/XM1/a_n73_n100#" "X9/XC6/c2_n451_n200#" 4.76677
+cap "X8/XM2/a_15_n100#" "X9/XC6/c2_n451_n200#" 20.8943
+cap "X9/XM4/a_111_n100#" "X8/XM5/a_159_n100#" 1.26708
+cap "X9/ctrll5" "X9/ctrll1" 0.00452551
+cap "X9/XM1/a_n73_n100#" "X9/XM2/a_15_n100#" -8.97241
+cap "X1/X3/BIAS" "X9/ctrll4" 0.0364049
+cap "X8/XM3/a_n33_n100#" "X9/GND" 6.37546
+cap "X8/XM5/a_159_n100#" "X9/GND" 3.65415
+cap "X9/ctrll3" "X9/XM3/a_n33_n100#" 0.0944044
+cap "X9/XC6/c2_n451_n200#" "X8/XM4/a_111_n100#" 111.337
+cap "X9/XM3/a_n33_n100#" "X9/GND" 3.45853
+cap "X9/XM2/a_15_n100#" "X9/ctrll2" 0.106918
+cap "X9/XM1/a_n73_n100#" "X9/ctrll3" 9.59068
+cap "X9/XM3/a_n33_n100#" "X9/ctrll4" 0.0535887
+cap "X9/XM2/a_15_n100#" "X9/XM4/a_111_n100#" -0.103442
+cap "X9/XM1/a_n73_n100#" "X9/GND" 33.3551
+cap "X9/ctrll3" "X9/XM2/a_15_n100#" 4.38221
+cap "X8/XM3/a_n33_n100#" "X9/XC6/c2_n451_n200#" 33.7691
+cap "X9/XM4/a_111_n100#" "X9/ctrll2" 0.965172
+cap "X9/XC6/c2_n451_n200#" "X8/XM5/a_159_n100#" 44.1049
+cap "X9/XM1/a_n73_n100#" "X9/ctrll4" 0.413694
+cap "X9/XM2/a_15_n100#" "X9/GND" 13.5664
+cap "X9/ctrll3" "X9/ctrll2" 0.178784
+cap "X9/ctrll2" "X9/XM5/a_159_n100#" 11.1252
+cap "X9/ctrll1" "X9/GND" 5.83149
+cap "X9/XM2/a_15_n100#" "X9/ctrll4" 3.166
+cap "X9/GND" "X9/ctrll4" 32.1442
+cap "X9/ctrll1" "X9/ctrll4" 0.182985
+cap "X9/XM1/a_n73_n100#" "X9/ctrll4" 0.122762
+cap "X9/ctrll5" "X9/GND" -1.05465
+cap "X9/ctrll5" "X9/ctrll1" 0.111052
+cap "X9/ctrll5" "X9/ctrll4" 5.23779
+cap "X9/XM3/a_n33_n100#" "X9/GND" -0.547412
+cap "X9/ctrll1" "X9/XM3/a_n33_n100#" 7.31994
+cap "X9/XM3/a_n33_n100#" "X9/ctrll4" 7.03642
+cap "X9/XM2/a_15_n100#" "X9/ctrll3" 2.84677
+cap "X9/ctrll3" "X9/GND" 55.5254
+cap "X9/GND" "X9/XM4/a_111_n100#" -1.68095
+cap "X9/ctrll1" "X9/ctrll3" -0.100173
+cap "X9/ctrll3" "X9/ctrll4" 7.01425
+cap "X9/XM2/a_15_n100#" "X9/ctrll2" 0.129481
+cap "X9/ctrll1" "X9/XM4/a_111_n100#" 10.3001
+cap "X9/ctrll2" "X9/GND" 36.6542
+cap "X9/ctrll4" "X9/XM4/a_111_n100#" 11.332
+cap "X9/ctrll2" "X9/ctrll4" 1.01756
+cap "X9/ctrll5" "X9/ctrll3" 0.745126
+cap "X9/XM5/a_159_n100#" "X9/GND" -2.2402
+cap "X9/XM5/a_159_n100#" "X9/ctrll1" 5.32438
+cap "X9/XM5/a_159_n100#" "X9/ctrll4" 29.3175
+cap "X9/ctrll5" "X9/ctrll2" 0.49733
+cap "X9/ctrll3" "X9/XM3/a_n33_n100#" 22.2915
+cap "X9/ctrll5" "X9/XM5/a_159_n100#" -3.26746
+cap "X9/ctrll2" "X9/XM3/a_n33_n100#" 36.9311
+cap "X9/ctrll3" "X9/XM4/a_111_n100#" 58.9798
+cap "X9/ctrll2" "X9/ctrll3" 8.25965
+cap "X9/ctrll2" "X9/XM4/a_111_n100#" 22.5517
+cap "X9/XM5/a_159_n100#" "X9/ctrll3" 14.7482
+cap "X9/XM5/a_159_n100#" "X9/ctrll1" 1.7965
+cap "X9/ctrll3" "X9/ctrll1" 0.193665
+cap "X9/ctrll5" "X9/ctrll1" 0.142417
+cap "X9/XM3/a_n33_n100#" "X9/ctrll1" 3.30865
+cap "X9/XM4/a_111_n100#" "X9/ctrll1" 3.82593
+cap "X9/ctrll4" "X9/ctrll1" 0.245439
+cap "X9/GND" "X9/ctrll1" 1.22273
+cap "X9/XM2/a_n175_n274#" "X9/ctrll1" 2.85737
+cap "bias_calc_0/GND" "bias_calc_0/XM39/a_n465_n188#" 0.298951
+cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" 6.66134e-16
+cap "bias_calc_0/GND" "bias_calc_0/w_17930_210#" 0.774166
+cap "bias_calc_0/XM39/a_n465_n188#" "bias_calc_0/GND" 127.356
+cap "bias_calc_0/GND" "bias_calc_0/XM38/a_1821_n197#" 0.0886382
+cap "bias_calc_0/BIASOUT" "bias_calc_0/GND" 5.12267
+cap "bias_calc_0/BIASOUT" "bias_calc_0/GND" 0.794421
+cap "bias_calc_0/GND" "bias_calc_0/XM40/a_399_122#" 7.98319
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XR20/a_n415_n1702#" 46.3604
+cap "bias_calc_0/BIASOUT" "X3/X6/XC2/c1_n2050_n3000#" 9.76495
+cap "X3/X6/XC2/c1_n2050_n3000#" "bias_calc_0/XR20/a_n415_n1702#" 676.351
+cap "X3/X6/GND" "X3/BIAS" 13.3306
+cap "X3/X6/GND" "X3/X6/XC2/c1_n2050_n3000#" 632.493
+cap "X3/X6/XC2/c1_n2050_n3000#" "X3/BIAS" -0.391287
+cap "X3/X6/GND" "X3/BIAS" -5.68434e-14
+cap "X3/X3/GND" "VDD" 0.518816
+cap "X6/m1_4820_n890#" "X1/X2/VDD" 20.2453
+cap "X1/X2/VDD" "X6/ctrll5" 4.64359
+cap "X1/X2/VDD" "X6/m1_4820_n1420#" 15.9032
+cap "X1/X2/VDD" "X6/XC6/c2_n451_n200#" 13.9526
+cap "X1/X2/VDD" "X1/X2/XR17/a_n415_n1322#" 177.689
+cap "X1/X2/VDD" "X1/X2/XM4/a_n945_n188#" 1.52253
+cap "X1/X2/GND" "X6/XM2/a_n73_n100#" 0.133732
+cap "X6/XC6/c2_n451_n200#" "X1/X2/BIAS" 18.2446
+cap "X6/XC6/c2_n451_n200#" "X7/ctrll5" -1.45286
+cap "X6/m1_4820_n1420#" "X1/X2/GND" 325.462
+cap "X6/XC6/c2_n451_n200#" "X1/X2/XR17/a_n415_n1322#" 21.1157
+cap "X7/m1_4820_n890#" "X6/XC6/c2_n451_n200#" 2.11779
+cap "X6/XM5/a_159_n100#" "X1/X2/VDD" 0.0682352
+cap "X6/XC6/c2_n451_n200#" "X6/XM4/a_111_n100#" -0.0811427
+cap "X1/X2/GND" "X6/XM3/a_n33_n100#" 236.613
+cap "X1/X2/GND" "X1/X2/VDD" 9.26632
+cap "X1/X2/GND" "X1/X2/BIAS" 10.6904
+cap "X1/X2/GND" "X7/ctrll5" 18.4147
+cap "X1/X2/GND" "X1/X2/XR17/a_n415_n1322#" 95.8092
+cap "X6/XC6/c2_n451_n200#" "X6/XM5/a_159_n100#" -0.0506698
+cap "X6/m1_4820_n1420#" "X1/X2/BIAS" 6.1882
+cap "X6/m1_4820_n1420#" "X1/X2/VDD" 73.753
+cap "X6/m1_4820_n1420#" "X7/ctrll5" -0.000221882
+cap "X6/m1_4820_n1420#" "X1/X2/XR17/a_n415_n1322#" 25.3992
+cap "X6/XM4/a_111_n100#" "X1/X2/GND" 2.49267
+cap "X1/X2/BIAS" "X7/ctrll4" 0.0160258
+cap "X6/XC6/c2_n451_n200#" "X1/X2/GND" 514.003
+cap "X6/XM1/a_n73_n100#" "X1/X2/VDD" 0.000571623
+cap "X6/XM1/a_n73_n100#" "X1/X2/BIAS" 0.0295948
+cap "X6/XC6/c2_n451_n200#" "X6/m1_4820_n1420#" -13.2733
+cap "X6/XC6/c2_n451_n200#" "X7/XC6/c2_n451_n200#" 14.3177
+cap "X1/X2/BIAS" "X6/XM3/a_n33_n100#" 17.3963
+cap "X6/XM3/a_n33_n100#" "X1/X2/VDD" 392.525
+cap "X1/X2/BIAS" "X1/X2/VDD" 0.637794
+cap "X6/XM3/a_n33_n100#" "X7/ctrll5" -3.95539
+cap "X1/X2/BIAS" "X7/ctrll5" 6.23985
+cap "X7/ctrll5" "X1/X2/VDD" 64.403
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" 99.4946
+cap "X1/X2/XR17/a_n415_n1322#" "X6/XM3/a_n33_n100#" 28.0908
+cap "X1/X2/BIAS" "X1/X2/XR17/a_n415_n1322#" 2.21029
+cap "X1/X2/XR17/a_n415_n1322#" "X7/ctrll5" 9.16522
+cap "X6/XM4/a_111_n100#" "X6/XM3/a_n33_n100#" -38.1953
+cap "X6/XM4/a_111_n100#" "X1/X2/VDD" 0.174416
+cap "X6/XC6/c2_n451_n200#" "X6/XM3/a_n33_n100#" -63.5075
+cap "X6/XC6/c2_n451_n200#" "X1/X2/VDD" 70.0689
+cap "X6/XM4/a_111_n100#" "X7/ctrll5" -0.151526
+cap "X1/X2/BIAS" "X6/XM4/a_111_n100#" 43.4992
+cap "X7/ctrll5" "X7/XC6/c2_n451_n200#" 12.5371
+cap "X7/m1_4820_n890#" "X1/X2/XR17/a_n415_n1322#" 0.0535312
+cap "X7/m1_4820_n890#" "X6/XM5/a_159_n100#" 140.619
+cap "X7/m1_4820_n1420#" "X6/m1_4820_n1420#" 5.69459
+cap "X7/m1_4820_n1420#" "X6/XM3/a_n33_n100#" 24.1762
+cap "X1/X2/BIAS" "X7/m1_4820_n460#" 0.0663747
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/XR17/a_n415_n1322#" 0.102296
+cap "X7/m1_4820_n890#" "X7/ctrll5" -0.240796
+cap "X1/X2/XM4/a_927_n100#" "X6/XM5/a_159_n100#" 0.0590449
+cap "X1/X2/BIAS" "X1/X2/VDD" -12.6411
+cap "X6/m1_4820_n1420#" "X7/XC6/c2_n451_n200#" 2.02861
+cap "X6/XM3/a_n33_n100#" "X7/XC6/c2_n451_n200#" 17.4248
+cap "X1/X2/XR17/a_n415_n1322#" "X6/XM2/a_n73_n100#" 0.29826
+cap "X1/X2/GND" "X1/X2/XR17/a_n415_n1322#" 3.25482
+cap "X1/X2/GND" "X6/XM5/a_159_n100#" 3.41233
+cap "X1/X2/XM4/a_927_n100#" "X7/ctrll5" 0.510346
+cap "X7/ctrll5" "X7/ctrll4" -1.58889
+cap "X7/ctrll5" "X7/ctrll3" -0.236242
+cap "X6/XM4/a_111_n100#" "X1/X2/XR17/a_n415_n1322#" -2.60511
+cap "X7/ctrll5" "X6/XM2/a_n73_n100#" 0.828339
+cap "X7/m1_4820_n890#" "X6/XM3/a_n33_n100#" 46.5372
+cap "X7/m1_4820_n890#" "X6/XC6/c2_n451_n200#" 0.355912
+cap "X1/X2/GND" "X7/ctrll5" 15.9227
+cap "X7/XM1/a_n73_n100#" "X1/X2/VDD" 2.52226e-06
+cap "X7/ctrll5" "X6/XM4/a_111_n100#" -4.26335
+cap "X1/X2/XM4/a_927_n100#" "X6/XM3/a_n33_n100#" 3.63428
+cap "X7/m1_4820_n460#" "X1/X2/XR17/a_n415_n1322#" 0.0558756
+cap "X7/m1_4820_n460#" "X6/XM5/a_159_n100#" 12.5158
+cap "X1/X2/VDD" "X1/X2/XR17/a_n415_n1322#" 15.0344
+cap "X6/XM3/a_n33_n100#" "X6/XM2/a_n73_n100#" -9.98238
+cap "X1/X2/GND" "X6/m1_4820_n1420#" 4.31678
+cap "X1/X2/VDD" "X6/XM5/a_159_n100#" 110.736
+cap "X6/XM3/a_n33_n100#" "X1/X2/GND" 2.10016
+cap "X7/XM1/a_n73_n100#" "X1/X2/BIAS" 0.0128679
+cap "X6/m1_4820_n1420#" "X6/XM4/a_111_n100#" -0.00193983
+cap "X6/XM3/a_n33_n100#" "X6/XM4/a_111_n100#" -58.5211
+cap "X6/XC6/c2_n451_n200#" "X6/XM4/a_111_n100#" -0.00126171
+cap "X7/ctrll5" "X7/m1_4820_n460#" -0.00989861
+cap "X7/ctrll5" "X1/X2/VDD" 62.1419
+cap "X1/X2/BIAS" "X1/X2/XR17/a_n415_n1322#" 17.6008
+cap "X1/X2/BIAS" "X6/XM5/a_159_n100#" 77.6071
+cap "X7/m1_4820_n1420#" "X6/XM2/a_n73_n100#" 10.8326
+cap "X7/m1_4820_n1420#" "X1/X2/GND" 0.415061
+cap "X6/XM3/a_n33_n100#" "X1/X2/VDD" 241.17
+cap "X1/X2/VDD" "X6/m1_4820_n1420#" 4.59699
+cap "X7/ctrll5" "X1/X2/BIAS" 88.6388
+cap "X6/XC6/c2_n451_n200#" "X1/X2/VDD" 0.0206344
+cap "X7/m1_4820_n1420#" "X6/XM4/a_111_n100#" 0.780154
+cap "X7/XC6/c2_n451_n200#" "X6/XM2/a_n73_n100#" 0.766004
+cap "X6/XM4/a_111_n100#" "X7/XC6/c2_n451_n200#" 20.1484
+cap "X6/XM3/a_n33_n100#" "X1/X2/BIAS" 81.7071
+cap "X1/X2/BIAS" "X6/m1_4820_n1420#" 17.9692
+cap "X6/XC6/c2_n451_n200#" "X1/X2/BIAS" 1.1638
+cap "X6/XM5/a_159_n100#" "X1/X2/XR17/a_n415_n1322#" -1.62923
+cap "X7/XM2/a_n73_n100#" "X1/X2/XR17/a_n415_n1322#" 0.088087
+cap "X7/m1_4820_n1420#" "X1/X2/VDD" 3.45684
+cap "X7/XM2/a_n73_n100#" "X6/XM5/a_159_n100#" 10.4042
+cap "X7/m1_4820_n890#" "X6/XM4/a_111_n100#" 11.3406
+cap "X1/X2/XM4/a_927_n100#" "X6/XM2/a_n73_n100#" 0.110531
+cap "X7/ctrll5" "X1/X2/XR17/a_n415_n1322#" 11.0418
+cap "X7/ctrll5" "X6/XM5/a_159_n100#" 31.4244
+cap "X1/X2/VDD" "X6/XM1/a_n73_n100#" 4.45185e-06
+cap "X1/X2/GND" "X6/XM2/a_n73_n100#" 3.05314
+cap "X7/m1_4820_n1420#" "X1/X2/BIAS" 1.07296
+cap "X6/XM4/a_111_n100#" "X6/XM2/a_n73_n100#" -8.24926
+cap "X1/X2/GND" "X6/XM4/a_111_n100#" 2.49374
+cap "X7/m1_4820_n890#" "X7/m1_4820_n460#" -1.61977
+cap "X7/m1_4820_n890#" "X1/X2/VDD" 21.9141
+cap "X6/XM3/a_n33_n100#" "X1/X2/XR17/a_n415_n1322#" 2.25244
+cap "X6/m1_4820_n1420#" "X1/X2/XR17/a_n415_n1322#" 0.344273
+cap "X6/XM3/a_n33_n100#" "X6/XM5/a_159_n100#" -5.72292
+cap "X1/X2/BIAS" "X7/XC6/c2_n451_n200#" 1.76083
+cap "X1/X2/BIAS" "X6/XM1/a_n73_n100#" 0.0232338
+cap "X6/XM3/a_n33_n100#" "X7/ctrll5" 0.421094
+cap "X7/ctrll5" "X6/m1_4820_n1420#" 0.523636
+cap "X1/X2/VDD" "X6/XM2/a_n73_n100#" 34.851
+cap "X7/m1_4820_n890#" "X1/X2/BIAS" 12.4201
+cap "X1/X2/VDD" "X6/XM4/a_111_n100#" 55.6943
+cap "X7/m1_4820_n1420#" "X1/X2/XR17/a_n415_n1322#" 23.215
+cap "X7/m1_4820_n1420#" "X6/XM5/a_159_n100#" 271.154
+cap "X6/XC6/c2_n451_n200#" "X6/m1_4820_n1420#" -0.200737
+cap "X7/ctrll4" "X1/X2/BIAS" 0.27098
+cap "X1/X2/BIAS" "X6/XM2/a_n73_n100#" 37.4789
+cap "X1/X2/GND" "X1/X2/BIAS" 0.125705
+cap "X7/m1_4820_n1420#" "X7/ctrll5" 41.1584
+cap "X1/X2/XR17/a_n415_n1322#" "X7/XC6/c2_n451_n200#" 6.59965
+cap "X6/XM5/a_159_n100#" "X7/XC6/c2_n451_n200#" 88.8871
+cap "X1/X2/VDD" "X7/XM3/a_n33_n100#" 547.032
+cap "X7/XM3/a_n33_n100#" "X7/XM4/a_111_n100#" -95.2611
+cap "X7/m1_4820_n1420#" "X6/XM4/a_111_n100#" 1.09374
+cap "X7/XC6/c2_n451_n200#" "X6/XM3/a_n33_n100#" 71.2518
+cap "X7/XM2/a_n73_n100#" "X7/XM3/a_n33_n100#" -7.91451
+cap "X7/ctrll3" "X7/ctrll5" -0.0590604
+cap "X7/m1_4820_n1420#" "X6/XM5/a_159_n100#" 27.8447
+cap "X6/XM1/a_n175_n274#" "X7/XM3/a_n33_n100#" 3.14678
+cap "X7/XM3/a_n33_n100#" "X7/ctrll5" -4.6383
+cap "X7/XC6/c2_n451_n200#" "X8/XC6/c2_n451_n200#" 14.3177
+cap "X1/X2/VDD" "X6/XM5/a_159_n100#" 0.0464769
+cap "X7/m1_4820_n1420#" "X1/X2/VDD" 41.4243
+cap "X8/m1_4820_n890#" "X7/XM3/a_n33_n100#" 0.926244
+cap "X7/m1_4820_n1420#" "X7/XM4/a_111_n100#" -0.0314044
+cap "X6/XM5/a_159_n100#" "X7/XM4/a_111_n100#" 12.4221
+cap "X7/XC6/c2_n451_n200#" "X1/X2/BIAS" 42.6499
+cap "X7/XM2/a_n73_n100#" "X6/XM5/a_159_n100#" 10.0799
+cap "X7/XM2/a_n73_n100#" "X7/m1_4820_n1420#" -0.231054
+cap "X7/XC6/c2_n451_n200#" "X1/X2/GND" 0.0500404
+cap "X1/X2/VDD" "X7/XM4/a_111_n100#" 40.5179
+cap "X7/XM2/a_n73_n100#" "X1/X2/VDD" 10.9249
+cap "X6/XM1/a_n175_n274#" "X6/XM5/a_159_n100#" 0.454882
+cap "X7/m1_4820_n1420#" "X6/XM1/a_n175_n274#" 2.85038
+cap "X7/XM2/a_n73_n100#" "X7/XM4/a_111_n100#" -7.82081
+cap "X7/m1_4820_n1420#" "X7/ctrll5" 5.94614
+cap "X7/XM5/a_159_n100#" "X1/X2/BIAS" 1.20397
+cap "X6/XM1/a_n175_n274#" "X1/X2/VDD" 10.7656
+cap "X1/X2/GND" "X7/XM5/a_159_n100#" 0.00535131
+cap "X1/X2/VDD" "X7/ctrll5" 115.854
+cap "X6/XM1/a_n175_n274#" "X7/XM4/a_111_n100#" -2.83486
+cap "X8/m1_4820_n890#" "X1/X2/VDD" 0.0049208
+cap "X7/ctrll5" "X7/XM4/a_111_n100#" -5.13957
+cap "X7/XM2/a_n73_n100#" "X6/XM1/a_n175_n274#" 0.530983
+cap "X8/m1_4820_n890#" "X7/XM4/a_111_n100#" 8.28503
+cap "X7/XM2/a_n73_n100#" "X7/ctrll5" 1.30546
+cap "X7/XC6/c2_n451_n200#" "X7/XM5/a_159_n100#" -0.0506698
+cap "X6/XM1/a_n175_n274#" "X7/ctrll5" 3.90069
+cap "X8/XC6/c2_n451_n200#" "X7/XM3/a_n33_n100#" 0.952745
+cap "X7/XM3/a_n33_n100#" "X1/X2/BIAS" 111.829
+cap "X7/XC6/c2_n451_n200#" "X6/XM2/a_n73_n100#" 34.257
+cap "X1/X2/VDD" "X7/XM1/a_n73_n100#" 1.56649e-05
+cap "X7/XC6/c2_n451_n200#" "X7/XM3/a_n33_n100#" -53.0412
+cap "X7/m1_4820_n1420#" "X8/XC6/c2_n451_n200#" 2.02861
+cap "X7/m1_4820_n1420#" "X1/X2/BIAS" 38.3049
+cap "X8/XC6/c2_n451_n200#" "X7/XM4/a_111_n100#" 20.1484
+cap "X7/m1_4820_n1420#" "X1/X2/GND" 9.40902
+cap "X7/XC6/c2_n451_n200#" "X6/XM4/a_111_n100#" 58.3365
+cap "X1/X2/VDD" "X1/X2/BIAS" -29.0803
+cap "X7/XM4/a_111_n100#" "X1/X2/BIAS" 25.6844
+cap "X7/m1_4820_n1420#" "X7/XC6/c2_n451_n200#" -2.43037
+cap "X7/XC6/c2_n451_n200#" "X6/XM5/a_159_n100#" 145.507
+cap "X7/XM2/a_n73_n100#" "X1/X2/BIAS" 17.3033
+cap "X7/ctrll4" "X1/X2/BIAS" 0.27098
+cap "X7/XC6/c2_n451_n200#" "X6/m1_4820_n1420#" 16.8755
+cap "X6/XM1/a_n175_n274#" "X8/XC6/c2_n451_n200#" 0.640377
+cap "X7/XM2/a_n73_n100#" "X1/X2/GND" 1.71703
+cap "X8/XC6/c2_n451_n200#" "X7/ctrll5" 2.46195
+cap "X7/XC6/c2_n451_n200#" "X1/X2/VDD" 38.0393
+cap "X6/XM1/a_n175_n274#" "X1/X2/BIAS" 5.52399
+cap "X7/XC6/c2_n451_n200#" "X7/XM4/a_111_n100#" -0.188649
+cap "X7/ctrll5" "X1/X2/BIAS" 38.2543
+cap "X6/XM1/a_n175_n274#" "X1/X2/GND" 0.819068
+cap "X7/m1_4820_n1420#" "X8/m1_4820_n1420#" 1.42479
+cap "X7/XM2/a_n73_n100#" "X7/XC6/c2_n451_n200#" -0.0355327
+cap "X7/ctrll5" "X1/X2/GND" 13.622
+cap "X7/XC6/c2_n451_n200#" "X6/XM1/a_n175_n274#" 15.6925
+cap "X1/X2/VDD" "X7/XM5/a_159_n100#" 0.740249
+cap "X7/XM4/a_111_n100#" "X8/m1_4820_n1420#" 0.780154
+cap "X7/XC6/c2_n451_n200#" "X7/ctrll5" 23.3649
+cap "X7/XC6/c2_n451_n200#" "X8/m1_4820_n890#" 2.11779
+cap "X7/m1_4820_n1420#" "X6/XM2/a_n73_n100#" 6.91338
+cap "X6/XM1/a_n175_n274#" "X8/m1_4820_n1420#" 1.44923
+cap "X7/XC6/c2_n451_n200#" "X6/XC2/c2_n551_n200#" 14.3177
+cap "X7/ctrll5" "X8/m1_4820_n1420#" 4.4928
+cap "X1/X2/BIAS" "X7/XM1/a_n73_n100#" 0.273443
+cap "X7/m1_4820_n1420#" "X7/XM3/a_n33_n100#" -0.000336683
+cap "X7/XM3/a_n33_n100#" "X6/XM5/a_159_n100#" 6.2986
+cap "X1/X3/BIAS" "X7/XM3/a_n33_n100#" 15.251
+cap "X7/XM2/a_n73_n100#" "X1/X3/GND" 0.425677
+cap "X7/ctrll5" "X8/XM4/a_111_n100#" -0.181126
+cap "X1/X3/VDD" "X8/XC6/c2_n451_n200#" 66.3818
+cap "X8/m1_4820_n1420#" "X7/XM2/a_n73_n100#" 17.2458
+cap "X1/X3/VDD" "X8/XM3/a_n33_n100#" 323.715
+cap "X7/ctrll5" "X1/X3/BIAS" 71.0648
+cap "X8/m1_4820_n1420#" "X1/X3/GND" 8.72721
+cap "X1/X2/XR16/a_n415_n1322#" "X8/XM4/a_111_n100#" 0.0558756
+cap "X7/XM2/a_n73_n100#" "X7/XM3/a_n33_n100#" -2.06797
+cap "X7/XM5/a_159_n100#" "X8/XM4/a_111_n100#" 12.5158
+cap "X1/X2/XR16/a_n415_n1322#" "X1/X3/BIAS" 7.28412
+cap "X7/XM5/a_159_n100#" "X1/X3/BIAS" 69.6364
+cap "X7/ctrll4" "X1/X3/BIAS" 0.27098
+cap "X8/XC6/c2_n451_n200#" "X9/XC6/c2_n451_n200#" 14.3177
+cap "X7/ctrll5" "X7/XM2/a_n73_n100#" 0.828339
+cap "X8/XC6/c2_n451_n200#" "X8/XM4/a_111_n100#" -0.0811427
+cap "X7/XM4/a_111_n100#" "X1/X3/BIAS" 0.876193
+cap "X8/XM3/a_n33_n100#" "X8/XM4/a_111_n100#" -38.1953
+cap "X8/XC6/c2_n451_n200#" "X1/X3/BIAS" 62.918
+cap "X8/m1_4820_n1420#" "X7/XM3/a_n33_n100#" 24.1762
+cap "X8/XM3/a_n33_n100#" "X1/X3/BIAS" 101.317
+cap "X7/ctrll5" "X1/X3/GND" 14.1431
+cap "X7/XM2/a_n73_n100#" "X1/X2/XR16/a_n415_n1322#" 0.0499776
+cap "X7/ctrll5" "X8/m1_4820_n1420#" 41.5318
+cap "X1/X3/VDD" "X1/X3/BIAS" -12.5532
+cap "X8/m1_4820_n1420#" "X7/m1_4820_n1420#" 4.2698
+cap "X1/X2/XR16/a_n415_n1322#" "X1/X3/GND" 1.3899
+cap "X7/XM5/a_159_n100#" "X1/X3/GND" 2.28599
+cap "X7/XM2/a_n73_n100#" "X8/XC6/c2_n451_n200#" 35.023
+cap "X7/ctrll5" "X7/XM3/a_n33_n100#" 0.893425
+cap "X8/m1_4820_n1420#" "X1/X2/XR16/a_n415_n1322#" 24.3141
+cap "X8/m1_4820_n1420#" "X1/X3/XM4/a_927_n100#" 1.19377
+cap "X8/m1_4820_n1420#" "X7/XM5/a_159_n100#" 294.302
+cap "X8/XC6/c2_n451_n200#" "X8/XM5/a_159_n100#" -0.0506698
+cap "X8/XC6/c2_n451_n200#" "X1/X3/GND" 0.0397739
+cap "X7/XC6/c2_n451_n200#" "X8/XC6/c2_n451_n200#" 14.3177
+cap "X8/XM3/a_n33_n100#" "X1/X3/GND" 1.95194
+cap "X8/XM3/a_n33_n100#" "X7/XC6/c2_n451_n200#" 0.355912
+cap "X8/m1_4820_n1420#" "X7/XM4/a_111_n100#" 1.09374
+cap "X8/XC6/c2_n451_n200#" "X9/m1_4820_n890#" 2.11779
+cap "X1/X2/XR16/a_n415_n1322#" "X7/XM3/a_n33_n100#" 0.16523
+cap "X7/XM5/a_159_n100#" "X7/XM3/a_n33_n100#" -5.72292
+cap "X1/X3/VDD" "X7/XM2/a_n73_n100#" 12.8099
+cap "X8/m1_4820_n1420#" "X8/XC6/c2_n451_n200#" -2.16348
+cap "X9/XC6/c2_n451_n200#" "X1/X3/BIAS" 0.00160203
+cap "X1/X3/BIAS" "X8/XM4/a_111_n100#" 12.9368
+cap "X7/ctrll5" "X1/X2/XR16/a_n415_n1322#" 10.6274
+cap "X7/ctrll5" "X7/XM5/a_159_n100#" 32.8149
+cap "X7/ctrll5" "X1/X3/XM4/a_927_n100#" 0.437621
+cap "X1/X3/VDD" "X8/XM1/a_n73_n100#" 5.04086e-05
+cap "X8/XC6/c2_n451_n200#" "X7/XM3/a_n33_n100#" 87.7239
+cap "X8/XM3/a_n33_n100#" "X7/XM3/a_n33_n100#" 45.6109
+cap "X7/ctrll5" "X7/ctrll4" -1.71111
+cap "X8/m1_4820_n1420#" "X1/X3/VDD" 52.0521
+cap "X1/X2/XR16/a_n415_n1322#" "X8/XM2/a_n73_n100#" 0.088087
+cap "X7/XM5/a_159_n100#" "X8/XM2/a_n73_n100#" 10.4042
+cap "X7/ctrll5" "X8/XC6/c2_n451_n200#" 33.5367
+cap "X7/XM5/a_159_n100#" "X1/X2/XR16/a_n415_n1322#" -1.03075
+cap "X7/ctrll5" "X8/XM3/a_n33_n100#" -5.46386
+cap "X8/XC6/c2_n451_n200#" "X7/m1_4820_n1420#" 16.8755
+cap "X1/X3/VDD" "X7/XM3/a_n33_n100#" 40.7946
+cap "X7/XM2/a_n73_n100#" "X1/X3/BIAS" 10.6586
+cap "X8/XC6/c2_n451_n200#" "X1/X2/XR16/a_n415_n1322#" 21.6553
+cap "X8/XC6/c2_n451_n200#" "X7/XM5/a_159_n100#" 234.394
+cap "X8/XM5/a_159_n100#" "X1/X3/BIAS" 0.245364
+cap "X8/XC6/c2_n451_n200#" "X1/X3/XM4/a_927_n100#" 0.000530688
+cap "X8/XM3/a_n33_n100#" "X7/XM5/a_159_n100#" 140.619
+cap "X8/XM3/a_n33_n100#" "X1/X3/XM4/a_927_n100#" 3.34249
+cap "X8/XM3/a_n33_n100#" "X1/X2/XR16/a_n415_n1322#" 2.19638
+cap "X7/ctrll5" "X1/X3/VDD" 75.9829
+cap "X8/XM1/a_n73_n100#" "X1/X3/BIAS" 0.263078
+cap "X7/XC6/c2_n451_n200#" "X1/X3/BIAS" 0.128428
+cap "X8/XC6/c2_n451_n200#" "X7/XM4/a_111_n100#" 58.3365
+cap "X9/m1_4820_n890#" "X1/X3/BIAS" 0.019738
+cap "X8/m1_4820_n1420#" "X1/X3/BIAS" 28.5095
+cap "X8/XM3/a_n33_n100#" "X7/XM4/a_111_n100#" 3.0556
+cap "X8/XM3/a_n33_n100#" "X8/XC6/c2_n451_n200#" -53.0411
+cap "X1/X3/VDD" "X1/X2/XR16/a_n415_n1322#" 17.4438
+cap "X1/X3/VDD" "X7/XM5/a_159_n100#" 114.534
+cap "X8/XM4/a_111_n100#" "X8/XM3/a_n33_n100#" -58.6856
+cap "X8/XM4/a_111_n100#" "X8/XM2/a_n73_n100#" -8.24049
+cap "X9/XM1/a_n73_n100#" "X1/X3/BIAS" 0.0128679
+cap "X7/XM2/a_n175_n274#" "X7/ctrll5" 11.2001
+cap "X9/m1_4820_n460#" "X7/XM2/a_n175_n274#" 0.0558756
+cap "X7/XM2/a_n175_n274#" "X8/m1_4820_n1420#" 0.372841
+cap "X7/XM5/a_159_n100#" "X8/m1_4820_n1420#" 4.69726
+cap "X9/m1_4820_n1420#" "X1/X3/BIAS" 1.07296
+cap "X8/XM4/a_111_n100#" "X7/XM2/a_n175_n274#" -2.62536
+cap "X1/X3/GND" "X8/XM3/a_n33_n100#" 1.32236
+cap "X7/XM5/a_159_n100#" "X8/XM4/a_111_n100#" 12.4221
+cap "X1/X3/GND" "X8/XM2/a_n73_n100#" 2.9522
+cap "X8/XC6/c2_n451_n200#" "X1/X3/BIAS" 0.695382
+cap "X7/XM2/a_n175_n274#" "X9/XM2/a_n73_n100#" 0.088087
+cap "X1/X3/XM4/a_927_n100#" "X8/XM3/a_n33_n100#" 1.11698
+cap "X1/X3/VDD" "X8/XM3/a_n33_n100#" 261.8
+cap "X9/m1_4820_n890#" "X8/XM3/a_n33_n100#" 46.5372
+cap "X1/X3/GND" "X7/XM2/a_n175_n274#" 3.16918
+cap "X1/X3/VDD" "X8/XM2/a_n73_n100#" 36.8043
+cap "X7/ctrll5" "X1/X3/BIAS" 55.4935
+cap "X9/m1_4820_n460#" "X1/X3/BIAS" 0.949668
+cap "X1/X3/BIAS" "X8/m1_4820_n1420#" 16.6882
+cap "X9/m1_4820_n1420#" "X7/ctrll5" 41.1583
+cap "X9/m1_4820_n1420#" "X8/m1_4820_n1420#" 5.69459
+cap "X1/X3/VDD" "X7/XM2/a_n175_n274#" 31.2247
+cap "X8/XM3/a_n33_n100#" "X8/XM5/a_159_n100#" -5.72292
+cap "X8/XM4/a_111_n100#" "X1/X3/BIAS" 43.7007
+cap "X9/XC6/c2_n451_n200#" "X8/XM3/a_n33_n100#" 17.4248
+cap "X8/XM4/a_111_n100#" "X9/m1_4820_n1420#" 0.780154
+cap "X9/XC6/c2_n451_n200#" "X8/XM2/a_n73_n100#" 0.766004
+cap "X1/X3/VDD" "X7/XM5/a_159_n100#" 0.000433282
+cap "X8/XC6/c2_n451_n200#" "X8/m1_4820_n1420#" -0.266893
+cap "X7/XM2/a_n175_n274#" "X8/XM5/a_159_n100#" -1.82571
+cap "X8/XM4/a_111_n100#" "X8/XC6/c2_n451_n200#" -0.107506
+cap "X7/ctrll5" "X8/m1_4820_n1420#" 1.03032
+cap "X9/XC6/c2_n451_n200#" "X7/XM2/a_n175_n274#" 6.59965
+cap "X9/m1_4820_n460#" "X7/ctrll5" -0.00991075
+cap "X1/X3/GND" "X9/m1_4820_n1420#" 0.245642
+cap "X8/XM4/a_111_n100#" "X7/ctrll5" -4.58027
+cap "X8/XM4/a_111_n100#" "X8/m1_4820_n1420#" -0.0314044
+cap "X1/X3/VDD" "X1/X3/BIAS" -11.0863
+cap "X9/m1_4820_n890#" "X1/X3/BIAS" 9.4368
+cap "X1/X3/VDD" "X9/m1_4820_n1420#" 0.18141
+cap "X1/X3/GND" "X7/ctrll5" 16.023
+cap "X1/X3/GND" "X8/m1_4820_n1420#" 0.755633
+cap "X1/X3/BIAS" "X8/XM5/a_159_n100#" 73.2313
+cap "X9/m1_4820_n890#" "X8/XC6/c2_n451_n200#" 0.355912
+cap "X1/X3/VDD" "X8/XC6/c2_n451_n200#" 0.317029
+cap "X9/m1_4820_n1420#" "X8/XM5/a_159_n100#" 271.154
+cap "X9/XC6/c2_n451_n200#" "X1/X3/BIAS" 0.235646
+cap "X1/X3/XM4/a_927_n100#" "X7/ctrll5" 0.0680865
+cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n1420#" 0.0809108
+cap "X9/m1_4820_n890#" "X7/ctrll5" -0.104275
+cap "X9/m1_4820_n890#" "X9/m1_4820_n460#" -1.32644
+cap "X1/X3/VDD" "X7/ctrll5" 116.44
+cap "X1/X3/VDD" "X9/m1_4820_n460#" 0.441231
+cap "X8/XM1/a_n73_n100#" "X1/X3/BIAS" 0.0232338
+cap "X1/X3/VDD" "X8/m1_4820_n1420#" 4.59699
+cap "X9/m1_4820_n890#" "X8/XM4/a_111_n100#" 11.3406
+cap "X1/X3/VDD" "X8/XM4/a_111_n100#" 53.1722
+cap "X7/ctrll5" "X8/XM5/a_159_n100#" 31.0362
+cap "X8/XM2/a_n73_n100#" "X8/XM3/a_n33_n100#" -9.98248
+cap "X9/m1_4820_n460#" "X8/XM5/a_159_n100#" 12.5158
+cap "X9/XC6/c2_n451_n200#" "X7/ctrll5" 12.5371
+cap "X9/XC6/c2_n451_n200#" "X8/m1_4820_n1420#" 2.02861
+cap "X7/XM2/a_n175_n274#" "X8/XM3/a_n33_n100#" 2.25507
+cap "X7/XM2/a_n175_n274#" "X8/XM2/a_n73_n100#" 0.580961
+cap "X9/XC6/c2_n451_n200#" "X8/XM4/a_111_n100#" 20.1484
+cap "X7/XM2/a_n73_n100#" "X8/m1_4820_n1420#" 0.500093
+cap "X9/m1_4820_n890#" "X1/X3/GND" 1.14551
+cap "X7/XM5/a_159_n100#" "X8/XM3/a_n33_n100#" 6.2986
+cap "X7/XM5/a_159_n100#" "X8/XM2/a_n73_n100#" 10.0799
+cap "X9/XM2/a_n73_n100#" "X8/XM5/a_159_n100#" 10.4042
+cap "X1/X3/GND" "X8/XM5/a_159_n100#" 3.41067
+cap "X1/X3/VDD" "X9/m1_4820_n890#" 18.539
+cap "X7/XM5/a_159_n100#" "X7/XM2/a_n175_n274#" 0.454882
+cap "X1/X3/VDD" "X8/XM5/a_159_n100#" 120.27
+cap "X9/m1_4820_n890#" "X8/XM5/a_159_n100#" 140.619
+cap "X1/X3/BIAS" "X8/XM3/a_n33_n100#" 72.3304
+cap "X1/X3/BIAS" "X8/XM2/a_n73_n100#" 37.1818
+cap "X1/X3/VDD" "X9/XC6/c2_n451_n200#" 3.48289
+cap "X7/ctrll4" "X1/X3/BIAS" 0.27098
+cap "X9/m1_4820_n1420#" "X8/XM3/a_n33_n100#" 24.1762
+cap "X9/m1_4820_n1420#" "X8/XM2/a_n73_n100#" 10.8326
+cap "X1/X3/VDD" "X8/XM1/a_n73_n100#" 4.45185e-06
+cap "X7/XM2/a_n175_n274#" "X1/X3/BIAS" 12.8081
+cap "X8/XC6/c2_n451_n200#" "X8/XM3/a_n33_n100#" -7.82535e-05
+cap "X9/XC6/c2_n451_n200#" "X8/XM5/a_159_n100#" 88.8871
+cap "X7/XM2/a_n175_n274#" "X9/m1_4820_n1420#" 23.1855
+cap "X8/XC6/c2_n451_n200#" "X8/XM2/a_n73_n100#" -0.0355327
+cap "X7/XM5/a_159_n100#" "X1/X3/BIAS" 0.120417
+cap "X7/ctrll5" "X8/XM3/a_n33_n100#" 1.02819
+cap "X7/ctrll4" "X7/ctrll5" -1.73556
+cap "X7/ctrll5" "X8/XM2/a_n73_n100#" 2.1338
+cap "X8/m1_4820_n1420#" "X8/XM3/a_n33_n100#" -0.000336683
+cap "X7/XM2/a_n175_n274#" "X8/XC6/c2_n451_n200#" -0.00474772
+cap "X8/XM2/a_n73_n100#" "X8/m1_4820_n1420#" -0.231054
+cap "X9/XC6/c2_n451_n200#" "X9/XM3/a_n33_n100#" -43.3495
+cap "X1/X3/BIAS" "X9/ctrll5" 11.6491
+cap "X9/ctrll2" "X9/ctrll5" 0.462147
+cap "X9/ctrll2" "X8/XM1/a_n175_n274#" 0.160548
+cap "X9/ctrll3" "X9/ctrll5" 0.876381
+cap "X1/X3/GND" "X9/XM3/a_n33_n100#" 0.517328
+cap "X9/XM2/a_n73_n100#" "X9/XM3/a_n33_n100#" -9.50806e-05
+cap "X9/ctrll3" "X8/XM1/a_n175_n274#" 0.437779
+cap "X9/ctrll5" "CTRL1" 0.0237312
+cap "X1/X3/BIAS" "X9/ctrll4" 0.0683548
+cap "X9/XM4/a_111_n100#" "X1/X3/VDD" 0.385982
+cap "X1/X3/BIAS" "X8/XM3/a_n33_n100#" 0.0492582
+cap "X9/ctrll5" "X9/XM3/a_n33_n100#" 0.500387
+cap "X8/XM5/a_159_n100#" "X9/XM4/a_111_n100#" 12.4221
+cap "X9/XM3/a_n33_n100#" "X8/XM1/a_n175_n274#" -5.16766e-05
+cap "X9/XC6/c2_n451_n200#" "X8/XM5/a_159_n100#" 145.507
+cap "X9/XC6/c2_n451_n200#" "X1/X3/VDD" 13.7379
+cap "X9/XM3/a_n33_n100#" "X9/ctrll4" 0.38985
+cap "X1/X3/BIAS" "X9/XM5/a_159_n100#" 0.315802
+cap "X9/XM2/a_n73_n100#" "X8/XM5/a_159_n100#" 10.0799
+cap "X1/X3/BIAS" "X8/XM4/a_111_n100#" 0.479319
+cap "X9/XM4/a_111_n100#" "X9/m1_4820_n1420#" -0.0314044
+cap "X8/XC2/c2_n551_n200#" "X1/X3/BIAS" 3.05662e-05
+cap "X9/ctrll5" "X1/X3/VDD" 4.39174
+cap "X1/X3/BIAS" "X9/XM3/a_n33_n100#" 46.8049
+cap "X9/XC6/c2_n451_n200#" "X9/m1_4820_n1420#" -2.43037
+cap "X8/XM5/a_159_n100#" "X8/XM1/a_n175_n274#" 0.454882
+cap "X1/X3/GND" "X9/m1_4820_n1420#" 0.0955275
+cap "X9/XM2/a_n73_n100#" "X9/m1_4820_n1420#" -0.231054
+cap "X9/ctrll5" "X9/m1_4820_n1420#" 5.86525
+cap "X9/XC6/c2_n451_n200#" "X8/m1_4820_n1420#" 16.8755
+cap "X8/XM1/a_n175_n274#" "X9/m1_4820_n1420#" 2.19184
+cap "X8/XM5/a_159_n100#" "X1/X3/BIAS" 0.729328
+cap "X9/XC6/c2_n451_n200#" "X9/XM4/a_111_n100#" -0.107506
+cap "X9/m1_4820_n1420#" "X9/ctrll4" 0.936411
+cap "X9/XM2/a_n73_n100#" "X9/XM4/a_111_n100#" -0.00253309
+cap "X9/XM3/a_n33_n100#" "X1/X3/VDD" 15.0177
+cap "X8/XM5/a_159_n100#" "X9/XM3/a_n33_n100#" 6.2986
+cap "X9/ctrll2" "X9/m1_4820_n1420#" 0.021615
+cap "X1/X3/BIAS" "X9/m1_4820_n1420#" 11.8334
+cap "X9/XC6/c2_n451_n200#" "X9/XM2/a_n73_n100#" -0.0355327
+cap "X9/ctrll5" "X9/XM4/a_111_n100#" 2.69774
+cap "X8/XM1/a_n175_n274#" "X9/XM4/a_111_n100#" 0.159989
+cap "X9/ctrll3" "X9/m1_4820_n1420#" 0.19926
+cap "X8/XM4/a_111_n100#" "X9/m1_4820_n1420#" 1.09374
+cap "X8/XM2/a_n73_n100#" "X9/m1_4820_n1420#" 6.91338
+cap "X9/XC6/c2_n451_n200#" "X9/ctrll5" 26.3858
+cap "X9/XM4/a_111_n100#" "X9/ctrll4" 3.25053
+cap "X1/X3/BIAS" "X9/XM1/a_n73_n100#" 0.126231
+cap "X9/XC6/c2_n451_n200#" "X8/XM1/a_n175_n274#" 15.69
+cap "X9/XM3/a_n33_n100#" "X9/m1_4820_n1420#" -0.000336683
+cap "X1/X3/GND" "X9/ctrll5" 0.152979
+cap "X9/XM2/a_n73_n100#" "X9/ctrll5" 1.30546
+cap "X9/XC6/c2_n451_n200#" "X9/ctrll4" 0.28773
+cap "X9/XM2/a_n73_n100#" "X8/XM1/a_n175_n274#" 0.298844
+cap "X9/ctrll2" "X9/XM4/a_111_n100#" 1.1574
+cap "X1/X3/BIAS" "X9/XM4/a_111_n100#" 4.18807
+cap "X9/XC6/c2_n451_n200#" "X8/XM3/a_n33_n100#" 71.2518
+cap "X9/ctrll5" "X8/XM1/a_n175_n274#" 0.618711
+cap "X9/ctrll3" "X9/XM4/a_111_n100#" 2.24545
+cap "X9/XC6/c2_n451_n200#" "X1/X3/BIAS" 26.9599
+cap "X9/XM4/a_111_n100#" "CTRL1" 0.0243517
+cap "X9/ctrll5" "X9/ctrll4" 1.03763
+cap "X9/XC6/c2_n451_n200#" "X8/XM2/a_n73_n100#" 34.257
+cap "X9/XC6/c2_n451_n200#" "X8/XM4/a_111_n100#" 58.3365
+cap "X9/m1_4820_n1420#" "X1/X3/VDD" 2.01071
+cap "X9/XM3/a_n33_n100#" "X9/XM4/a_111_n100#" -78.0394
+cap "X8/XM1/a_n175_n274#" "X9/ctrll4" 0.702655
+cap "X9/XC6/c2_n451_n200#" "X8/XC2/c2_n551_n200#" 14.3177
+cap "X8/XM5/a_159_n100#" "X9/m1_4820_n1420#" 27.8447
+cap "X9/ctrll3" "X9/ctrll5" 2.75437
+cap "X9/m1_4820_n1420#" "X9/ctrll4" 3.06383
+cap "X9/ctrll4" "X1/X3/XR16/a_n415_n1322#" 12.9557
+cap "X9/XM4/a_111_n100#" "X9/ctrll4" 3.01762
+cap "X9/XM5/a_159_n100#" "X9/ctrll2" 32.3393
+cap "X9/XM5/a_159_n100#" "X9/ctrll5" 14.065
+cap "X9/ctrll3" "X9/m1_4820_n1420#" 0.36422
+cap "X9/ctrll3" "X1/X3/XR16/a_n415_n1322#" 7.79015
+cap "X9/ctrll3" "X9/XM4/a_111_n100#" 1.4388
+cap "X9/XC6/c2_n451_n200#" "X9/ctrll4" 0.0163879
+cap "X9/XM2/a_n73_n100#" "CTRL1" 0.0800706
+cap "X9/XM3/a_n33_n100#" "CTRL1" 1.73201
+cap "X9/XM2/a_n73_n100#" "X9/ctrll4" 16.3319
+cap "X9/XM3/a_n33_n100#" "X9/ctrll4" 17.1978
+cap "X9/ctrll5" "X9/ctrll2" 1.74601
+cap "X9/ctrll3" "X9/XM2/a_n73_n100#" 5.5501
+cap "X9/XM3/a_n33_n100#" "X9/ctrll3" 10.6659
+cap "X9/XM5/a_159_n100#" "CTRL1" 16.1312
+cap "X9/m1_4820_n1420#" "X9/ctrll2" 0.0128724
+cap "X9/ctrll2" "X1/X3/XR16/a_n415_n1322#" 5.47105
+cap "X9/XM4/a_111_n100#" "X9/ctrll2" 0.609051
+cap "X9/XM5/a_159_n100#" "X9/ctrll4" 63.8552
+cap "X9/m1_4820_n1420#" "X9/ctrll5" 0.669528
+cap "X9/ctrll5" "X1/X3/XR16/a_n415_n1322#" -3.49623
+cap "X9/XM5/a_159_n100#" "X1/X3/BIAS" 0.140492
+cap "X9/XM4/a_111_n100#" "X9/ctrll5" 7.93994
+cap "X9/XM5/a_159_n100#" "X9/ctrll3" 42.5929
+cap "X9/ctrll5" "CTRL1" 0.330003
+cap "X9/XC6/c2_n451_n200#" "X9/ctrll5" 1.0919
+cap "X9/XM2/a_n73_n100#" "X9/ctrll2" 2.95337
+cap "X9/XM3/a_n33_n100#" "X9/ctrll2" 6.34863
+cap "X9/XM2/a_n73_n100#" "X9/ctrll5" 0.206924
+cap "X9/ctrll5" "X9/ctrll4" 30.7688
+cap "X9/XM3/a_n33_n100#" "X9/ctrll5" 41.7011
+cap "X1/X3/XR16/a_n415_n1322#" "CTRL1" 2.25878
+cap "CTRL1" "X9/XM5/a_159_n100#" 4.72629
+cap "CTRL1" "X9/XM2/a_n73_n100#" 0.641946
+cap "CTRL1" "X9/XM4/a_111_n100#" 0.00650122
+cap "CTRL1" "X9/ctrll5" 0.438578
+cap "CTRL1" "X9/XM2/a_n175_n274#" 0.964434
+cap "X9/XM3/a_n33_n100#" "CTRL1" 0.584353
+cap "bias_calc_0/XM39/a_n465_n188#" "bias_calc_0/GND" 0.599056
+cap "bias_calc_0/w_17930_210#" "bias_calc_0/GND" 0.424979
+cap "bias_calc_0/XM37/a_1763_n100#" "bias_calc_0/GND" 0.0130147
+cap "bias_calc_0/XM37/a_1821_n197#" "bias_calc_0/GND" 0.168858
+cap "bias_calc_0/GND" "bias_calc_0/XM37/a_1763_n100#" 0.224655
+cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" 19.868
+cap "bias_calc_0/GND" "bias_calc_0/XM37/a_1821_n197#" 2.30926e-14
+cap "bias_calc_0/GND" "bias_calc_0/XM39/a_n465_n188#" 6.73432
+cap "bias_calc_0/GND" "bias_calc_0/XM38/a_1821_n197#" 19.9274
+cap "bias_calc_0/GND" "bias_calc_0/w_17930_210#" 1.77583
+cap "bias_calc_0/GND" "bias_calc_0/XM1/w_n1127_n319#" 30.4817
+cap "bias_calc_0/XM38/a_1821_n197#" "bias_calc_0/BIASOUT" 40.5344
+cap "bias_calc_0/GND" "bias_calc_0/XM1/a_931_n100#" 6.60431
+cap "bias_calc_0/GND" "bias_calc_0/BIASOUT" 84.2988
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/BIASOUT" 0.104042
+cap "bias_calc_0/GND" "bias_calc_0/XM1/a_861_n197#" 7.69735
+cap "bias_calc_0/GND" "bias_calc_0/XM38/a_1763_n100#" 0.00359782
+cap "bias_calc_0/GND" "bias_calc_0/w_17930_210#" 0.00294839
+cap "bias_calc_0/GND" "bias_calc_0/XM38/a_1821_n197#" 139.113
+cap "bias_calc_0/XM40/a_399_122#" "bias_calc_0/GND" 2.09959
+cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/GND" 5.50949
+cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n415_n1702#" -38.9101
+cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/XR20/a_n415_n1702#" 95.5103
+cap "bias_calc_0/XR20/a_n415_n1702#" "X3/X6/XC2/c1_n2050_n3000#" 456.328
+cap "bias_calc_0/VOP" "X3/X6/XC2/c1_n2050_n3000#" 0.0547249
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XR20/a_n415_n1702#" 386.812
+cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/BIASOUT" 35.8769
+cap "bias_calc_0/BIASOUT" "X3/X6/XC2/c1_n2050_n3000#" 62.9217
+cap "X3/X5/XC1/c1_n2050_n3000#" "bias_calc_0/XR20/a_n415_n1702#" 0.0231722
+cap "X3/X6/GND" "bias_calc_0/VOP" -23.3721
+cap "X3/X6/XC2/c1_n2050_n3000#" "bias_calc_0/VOP" 0.0534384
+cap "X3/X6/GND" "X3/X5/XC1/c1_n2050_n3000#" 0.015792
+cap "X3/X6/GND" "X3/BIAS" 1.00436
+cap "X3/X6/XC2/c1_n2050_n3000#" "X3/X6/GND" 661.043
+cap "X3/X6/GND" "X3/VOP" -1.77636e-15
+cap "X3/X6/XC2/c1_n2050_n3000#" "X3/X6/GND" 4.9738e-14
+cap "VDD" "X3/X2/GND" 0.518816
+cap "VDD" "X6/m1_4820_n890#" 0.102909
+cap "X1/X2/BIAS" "X1/X2/VDD" 5.45907
+cap "VDD" "X1/X2/VDD" 3.33596
+cap "VDD" "X1/X2/XR17/a_n415_n1322#" 37.9032
+cap "VDD" "X6/XC3/m4_n651_n500#" 0.43162
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" 115.371
+cap "X1/X2/XR17/a_n415_n1322#" "VDD" 5.72262
+cap "X6/IN" "X1/X2/BIAS" 81.7286
+cap "X6/IN" "X7/IN" 25.7911
+cap "X1/X2/GND" "X6/m1_4820_n1420#" 0.452964
+cap "VDD" "X1/X2/VDD" 2.05059
+cap "VDD" "X6/m1_4820_n460#" 0.549859
+cap "X1/X2/XR17/a_n415_n1322#" "X6/m1_4820_n1420#" 0.913048
+cap "X6/m1_4820_n890#" "X6/IN" -3.97242
+cap "X6/m1_4820_n1420#" "X1/X2/VDD" 0.169049
+cap "X6/m1_4820_n890#" "X1/X2/BIAS" 8.2703
+cap "X6/IN" "X1/X2/GND" 635.598
+cap "X1/X2/GND" "X1/X2/BIAS" 67.4555
+cap "X7/XC3/m4_n651_n500#" "X6/IN" 1.77257
+cap "X6/IN" "X1/X2/XR17/a_n415_n1322#" 59.9874
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/BIAS" 10.3501
+cap "X1/X2/XR17/a_n415_n1322#" "X7/IN" 0.0224039
+cap "X6/IN" "X1/X2/VDD" 284.675
+cap "X1/X2/BIAS" "X1/X2/VDD" 45.9165
+cap "X1/X2/XM4/a_927_n100#" "X6/IN" 38.1413
+cap "X6/m1_4820_n890#" "X1/X2/GND" 98.4654
+cap "X6/IN" "X6/m1_4820_n460#" -16.5601
+cap "X1/X2/BIAS" "X6/m1_4820_n460#" 37.5147
+cap "X6/m1_4820_n890#" "X1/X2/XR17/a_n415_n1322#" 30.3625
+cap "X6/XM5/a_159_n100#" "X6/IN" -0.0724921
+cap "X6/m1_4820_n890#" "X1/X2/VDD" 428.749
+cap "X1/X2/GND" "X1/X2/VDD" 348.162
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/GND" 120.995
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/GND" 16.8897
+cap "X7/XC3/m4_n651_n500#" "X1/X2/XR17/a_n415_n1322#" 0.100109
+cap "X7/ctrll5" "X1/X2/BIAS" 0.657321
+cap "X1/X2/GND" "X6/m1_4820_n460#" 780.227
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" 214.796
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/XR17/a_n415_n1322#" 0.698719
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/VDD" 1.42109e-14
+cap "X1/X2/XR17/a_n415_n1322#" "X6/m1_4820_n460#" 67.2303
+cap "X6/m1_4820_n460#" "X1/X2/VDD" 423.216
+cap "X6/XM5/a_159_n100#" "X1/X2/XR17/a_n415_n1322#" 0.75729
+cap "X6/m1_4820_n1420#" "X1/X2/BIAS" 0.0173339
+cap "X6/m1_4820_n890#" "VDD" 0.131101
+cap "X7/ctrll5" "X1/X2/GND" 0.104962
+cap "X6/XM5/a_159_n100#" "X1/X2/VDD" 1.8782
+cap "X7/m1_4820_n890#" "X6/IN" 1.26809
+cap "X7/ctrll5" "X1/X2/XR17/a_n415_n1322#" 0.877868
+cap "X7/ctrll5" "X1/X2/VDD" 5.35941
+cap "X7/IN" "X6/m1_4820_n890#" 0.490752
+cap "X6/IN" "X1/X2/VDD" 0.59017
+cap "X1/X2/GND" "X6/m1_4820_n460#" 713.041
+cap "X1/X2/SUB" "X1/X2/BIAS" 4.66713
+cap "X1/X2/XM4/a_927_n100#" "X6/XM5/a_255_n100#" 0.016743
+cap "X1/X2/SUB" "X6/XM5/a_159_n100#" 29.6197
+cap "X6/IN" "X7/m1_4820_n460#" 0.909441
+cap "X1/X2/VDD" "X7/m1_4820_n460#" 17.9889
+cap "X1/X2/GND" "X6/m1_4820_n890#" 12.2545
+cap "X1/X2/SUB" "X6/m1_4820_n460#" 73.2842
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/VDD" 0.16632
+cap "X1/X2/XM4/a_927_n100#" "X6/IN" 6.98497
+cap "X1/X2/SUB" "X6/m1_4820_n890#" 11.55
+cap "X1/X2/XM4/a_927_n100#" "X7/m1_4820_n460#" 46.4048
+cap "X1/X2/BIAS" "X6/XM5/a_159_n100#" 67.9578
+cap "X1/X2/GND" "X7/m1_4820_n1420#" 0.105888
+cap "X1/X2/BIAS" "X6/m1_4820_n460#" 276.634
+cap "X1/X2/GND" "X6/XM5/a_255_n100#" 1.20603
+cap "X6/XM5/a_159_n100#" "X6/m1_4820_n460#" -13.2111
+cap "X1/X2/SUB" "X7/m1_4820_n1420#" 0.0445942
+cap "X1/X2/VDD" "X7/IN" 0.0592175
+cap "X1/X2/BIAS" "X6/m1_4820_n890#" 27.9717
+cap "X7/m1_4820_n890#" "X6/IN" 0.202982
+cap "X7/m1_4820_n890#" "X1/X2/VDD" 41.1999
+cap "X1/X2/SUB" "X6/XM5/a_255_n100#" 0.333869
+cap "X7/ctrll5" "X1/X2/VDD" 0.661492
+cap "X1/X2/GND" "X1/X2/VDD" -0.000322882
+cap "X1/X2/GND" "X6/IN" 4.8428
+cap "X1/X2/SUB" "X6/IN" 3.67765
+cap "X1/X2/GND" "X7/m1_4820_n460#" 198.583
+cap "X1/X2/XM4/a_927_n100#" "X7/IN" 3.40036
+cap "X1/X2/SUB" "X1/X2/VDD" 0.112869
+cap "X1/X2/GND" "X6/m1_4820_n1420#" 0.191988
+cap "X6/XM5/a_255_n100#" "X1/X2/BIAS" 0.236436
+cap "X7/ctrll5" "X1/X2/XM4/a_927_n100#" 0.133215
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/GND" 1.54019
+cap "X1/X2/SUB" "X7/m1_4820_n460#" 26.7136
+cap "X1/X2/SUB" "X6/m1_4820_n1420#" 0.0805173
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/SUB" 0.58415
+cap "X6/IN" "X1/X2/BIAS" 38.3321
+cap "X1/X2/VDD" "X1/X2/BIAS" 5.48583
+cap "X1/X2/VDD" "X6/XM5/a_159_n100#" 234.711
+cap "X1/X2/GND" "X7/IN" 37.6263
+cap "X1/X2/BIAS" "X7/m1_4820_n460#" 95.8278
+cap "X1/X2/GND" "X7/m1_4820_n890#" 1.57328
+cap "X1/X2/VDD" "X6/m1_4820_n460#" 361.264
+cap "X7/m1_4820_n460#" "X6/XM5/a_159_n100#" 213.203
+cap "X7/ctrll5" "X1/X2/GND" 1.35893
+cap "X1/X2/BIAS" "X6/m1_4820_n1420#" 0.138701
+cap "X7/m1_4820_n460#" "X6/m1_4820_n460#" 93.3804
+cap "X1/X2/VDD" "X6/m1_4820_n890#" 63.7799
+cap "X1/X2/SUB" "X7/IN" 5.83536
+cap "X1/X2/SUB" "X7/m1_4820_n890#" 1.05426
+cap "X7/ctrll5" "X1/X2/SUB" 1.7481
+cap "X1/X2/XM4/a_927_n100#" "X6/XM5/a_159_n100#" 56.5741
+cap "X1/X2/SUB" "X1/X2/GND" 0.732511
+cap "X1/X2/XM4/a_927_n100#" "X6/m1_4820_n460#" 734.726
+cap "X1/X2/XM4/a_927_n100#" "X6/m1_4820_n890#" 6.36474
+cap "X7/IN" "X1/X2/BIAS" 38.7941
+cap "X7/IN" "X6/XM5/a_159_n100#" 67.4778
+cap "X1/X2/VDD" "X7/m1_4820_n1420#" 0.0153314
+cap "X7/m1_4820_n890#" "X1/X2/BIAS" 6.17962
+cap "X7/ctrll5" "X1/X2/BIAS" 10.9372
+cap "X1/X2/GND" "X1/X2/BIAS" 9.33828
+cap "X7/m1_4820_n890#" "X6/XM5/a_159_n100#" 47.9307
+cap "X7/IN" "X6/m1_4820_n460#" 94.0777
+cap "X1/X2/GND" "X6/XM5/a_159_n100#" 497.592
+cap "X1/X2/GND" "X1/X2/SUB" 0.3124
+cap "X7/m1_4820_n460#" "X1/X2/XM4/a_927_n100#" 0.557094
+cap "X7/IN" "X1/X2/XM4/a_927_n100#" 65.2846
+cap "X1/X2/VDD" "X1/X2/SUB" -0.00179663
+cap "X7/m1_4820_n890#" "X1/X2/SUB" 32.3777
+cap "X6/XM5/a_159_n100#" "X1/X2/SUB" 1.26505
+cap "X1/X2/VDD" "X8/XC3/m4_n651_n500#" 0.0919259
+cap "X7/m1_4820_n460#" "X7/IN" -7.42132
+cap "X8/m1_4820_n890#" "X1/X2/VDD" 0.0184306
+cap "X7/m1_4820_n1420#" "X1/X2/SUB" 0.94925
+cap "X7/ctrll5" "X1/X2/SUB" 1.71098
+cap "X7/IN" "X7/XM5/a_159_n100#" -0.0724921
+cap "X7/m1_4820_n460#" "X7/XM5/a_159_n100#" -13.2111
+cap "X1/X2/GND" "X1/X2/VDD" -0.00512934
+cap "X8/IN" "X7/IN" 25.7911
+cap "X6/m1_4820_n890#" "X7/IN" 2.28838
+cap "X7/IN" "X6/m1_4820_n460#" 162.524
+cap "X7/m1_4820_n460#" "X8/IN" 94.0777
+cap "X6/XM5/a_159_n100#" "X1/X2/GND" 1.19207
+cap "X1/X2/GND" "X7/m1_4820_n890#" 34.1324
+cap "X1/X2/VDD" "X1/X2/BIAS" -0.00176887
+cap "X7/m1_4820_n890#" "X1/X2/BIAS" 0.49947
+cap "X1/X2/GND" "X7/m1_4820_n1420#" 2.02615
+cap "X6/XM5/a_159_n100#" "X1/X2/VDD" 1.33279
+cap "X1/X2/VDD" "X7/m1_4820_n890#" 457.593
+cap "X1/X2/GND" "X7/ctrll5" 1.30842
+cap "X7/m1_4820_n1420#" "X1/X2/VDD" 0.221857
+cap "X7/ctrll5" "X1/X2/VDD" 11.2048
+cap "X7/IN" "X1/X2/SUB" 64.372
+cap "X7/m1_4820_n460#" "X1/X2/SUB" 117.051
+cap "X7/m1_4820_n460#" "X8/XC3/m4_n651_n500#" 82.5588
+cap "X8/XC3/m4_n651_n500#" "X7/IN" 1.77257
+cap "X7/XM5/a_255_n100#" "X1/X2/SUB" 0.250444
+cap "X7/XM5/a_159_n100#" "X1/X2/SUB" 3.40956
+cap "X8/m1_4820_n890#" "X7/IN" 1.26809
+cap "X8/IN" "X1/X2/SUB" 0.744146
+cap "X6/m1_4820_n460#" "X1/X2/SUB" 0.379832
+cap "X1/X2/GND" "X7/IN" 274.234
+cap "X1/X2/BIAS" "X7/IN" 77.1828
+cap "X7/m1_4820_n460#" "X1/X2/BIAS" 64.0903
+cap "X1/X2/GND" "X7/m1_4820_n460#" 817.304
+cap "X1/X3/XM4/a_927_n100#" "X7/IN" 0.587597
+cap "X6/XM5/a_159_n100#" "X7/IN" 69.1502
+cap "X1/X2/GND" "X7/XM5/a_255_n100#" 1.05737
+cap "X1/X2/GND" "X7/XM5/a_159_n100#" 11.8727
+cap "X1/X2/VDD" "X7/m1_4820_n460#" 892.819
+cap "X1/X2/VDD" "X7/IN" 388.455
+cap "X6/XM5/a_159_n100#" "X7/m1_4820_n460#" 13.4541
+cap "X7/m1_4820_n890#" "X7/IN" -0.081819
+cap "X7/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 59.2804
+cap "X1/X2/GND" "X6/m1_4820_n460#" 0.591271
+cap "X1/X2/GND" "X8/IN" 0.15337
+cap "X1/X2/VDD" "X7/XM5/a_159_n100#" 34.3555
+cap "X1/X2/VDD" "X7/XM5/a_255_n100#" 0.0104063
+cap "X8/XC3/m4_n651_n500#" "X1/X2/SUB" 1.28847
+cap "X6/IN" "X7/IN" 25.7911
+cap "X7/m1_4820_n890#" "X8/IN" 0.490752
+cap "X8/XM5/a_159_n100#" "X1/X3/SUB" 0.591593
+cap "X7/m1_4820_n890#" "X8/IN" 2.28838
+cap "X8/m1_4820_n460#" "X8/IN" -7.2764
+cap "X8/m1_4820_n890#" "X7/XM5/a_159_n100#" 47.9307
+cap "X9/XC3/m4_n651_n500#" "X1/X3/SUB" 0.00387195
+cap "X9/XC3/m4_n651_n500#" "X1/X3/BIAS" 0.290689
+cap "X1/X3/XM4/a_927_n100#" "X7/IN" 0.027973
+cap "X1/X3/VDD" "X1/X3/GND" -0.001384
+cap "X8/IN" "X8/XM5/a_159_n100#" -0.0724921
+cap "X1/X3/VDD" "X7/IN" 7.62631
+cap "X1/X3/VDD" "X1/X3/BIAS" -0.169369
+cap "X1/X3/VDD" "X1/X3/SUB" 0.000221315
+cap "X1/X3/GND" "X7/m1_4820_n460#" 107.033
+cap "X8/IN" "X9/XC3/m4_n651_n500#" 1.77257
+cap "X1/X3/GND" "X8/m1_4820_n1420#" 2.17403
+cap "X7/m1_4820_n460#" "X1/X3/BIAS" 63.4364
+cap "X1/X3/SUB" "X7/m1_4820_n460#" 12.8801
+cap "X7/XM5/a_255_n100#" "X1/X3/VDD" 0.00211981
+cap "X9/m1_4820_n890#" "X1/X3/BIAS" 0.0464829
+cap "X1/X3/SUB" "X8/m1_4820_n1420#" 0.913048
+cap "X7/XM5/a_159_n100#" "X1/X3/GND" 287.443
+cap "X8/IN" "X1/X3/XM4/a_927_n100#" 40.1769
+cap "X9/IN" "X1/X3/BIAS" 0.0605051
+cap "X8/m1_4820_n1420#" "X1/X3/BIAS" 0.875152
+cap "X8/m1_4820_n890#" "X1/X3/GND" 51.1083
+cap "X8/IN" "X1/X3/VDD" 334.085
+cap "X7/ctrll5" "X1/X3/XM4/a_927_n100#" 0.121893
+cap "X7/XM5/a_159_n100#" "X1/X3/SUB" 34.1705
+cap "X8/m1_4820_n890#" "X1/X3/BIAS" 50.8439
+cap "X7/XM5/a_159_n100#" "X1/X3/BIAS" 70.2172
+cap "X8/m1_4820_n890#" "X7/IN" 0.202982
+cap "X8/m1_4820_n890#" "X1/X3/SUB" 24.0241
+cap "X8/IN" "X7/m1_4820_n460#" 162.524
+cap "X8/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 880.311
+cap "X7/ctrll5" "X1/X3/VDD" 3.52344
+cap "X8/IN" "X9/m1_4820_n890#" 1.26809
+cap "X8/IN" "X9/IN" 25.7911
+cap "X7/m1_4820_n890#" "X1/X3/VDD" 2.58747
+cap "X8/m1_4820_n460#" "X1/X3/VDD" 81.9041
+cap "X8/m1_4820_n890#" "X8/IN" -0.081819
+cap "X8/IN" "X7/XM5/a_159_n100#" 136.628
+cap "X8/XM5/a_159_n100#" "X1/X3/XM4/a_927_n100#" 0.0406833
+cap "X8/m1_4820_n460#" "X7/m1_4820_n460#" 10.8216
+cap "X7/IN" "X1/X3/GND" 8.10772
+cap "X1/X3/GND" "X1/X3/SUB" 0.424104
+cap "X1/X3/GND" "X1/X3/BIAS" -0.192944
+cap "X8/m1_4820_n460#" "X7/XM5/a_159_n100#" 213.203
+cap "X1/X3/SUB" "X1/X3/BIAS" -0.00262679
+cap "X7/IN" "X1/X3/SUB" 0.936844
+cap "X7/IN" "X1/X3/BIAS" 2.5075
+cap "X7/XM5/a_255_n100#" "X1/X3/GND" 0.505447
+cap "X7/XM5/a_255_n100#" "X1/X3/SUB" 0.082983
+cap "X1/X3/XM4/a_927_n100#" "X7/m1_4820_n460#" 6.44007
+cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n1420#" 0.266797
+cap "X8/IN" "X1/X3/GND" 230.532
+cap "X8/IN" "X1/X3/SUB" 63.1628
+cap "X8/IN" "X1/X3/BIAS" 133.481
+cap "X8/IN" "X7/IN" 25.7911
+cap "X7/XM5/a_159_n100#" "X1/X3/XM4/a_927_n100#" 45.2098
+cap "X7/ctrll5" "X1/X3/GND" 1.3558
+cap "X8/m1_4820_n890#" "X1/X3/XM4/a_927_n100#" 61.31
+cap "X7/XM5/a_159_n100#" "X1/X3/VDD" 270.078
+cap "X8/m1_4820_n890#" "X1/X3/VDD" 347.815
+cap "X7/ctrll5" "X1/X3/SUB" 1.70969
+cap "X8/m1_4820_n460#" "X1/X3/GND" 395.587
+cap "X7/ctrll5" "X1/X3/BIAS" 7.83321
+cap "X7/m1_4820_n890#" "X1/X3/BIAS" 0.424162
+cap "X7/m1_4820_n890#" "X1/X3/SUB" 1.99002
+cap "X8/m1_4820_n460#" "X1/X3/SUB" 39.4436
+cap "X8/m1_4820_n460#" "X1/X3/BIAS" 143.188
+cap "X8/m1_4820_n460#" "X7/IN" 0.909441
+cap "X8/XM5/a_159_n100#" "X1/X3/GND" 0.0276832
+cap "X8/XM5/a_159_n100#" "X1/X3/BIAS" 5.08032
+cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n890#" 4.98254
+cap "X9/m1_4820_n460#" "X8/m1_4820_n460#" 93.3804
+cap "X1/X3/VDD" "X8/m1_4820_n460#" 436.208
+cap "X8/m1_4820_n460#" "X1/X3/GND" 1017.71
+cap "X8/XM5/a_255_n100#" "X1/X3/GND" 1.20603
+cap "X1/X3/VDD" "X8/XM5/a_255_n100#" 0.00930001
+cap "X8/IN" "X1/X3/SUB" 3.65823
+cap "X1/X3/BIAS" "X8/m1_4820_n460#" 141.886
+cap "X9/IN" "X1/X3/GND" 28.3629
+cap "X8/XM5/a_159_n100#" "X8/m1_4820_n460#" -13.2111
+cap "X1/X3/VDD" "X9/IN" 27.8365
+cap "X1/X3/XM4/a_927_n100#" "X7/ctrll5" 0.0116245
+cap "X1/X3/BIAS" "X9/IN" 4.94577
+cap "X1/X3/SUB" "X8/m1_4820_n460#" 65.5666
+cap "X1/X3/SUB" "X8/XM5/a_255_n100#" 0.333427
+cap "X8/XM5/a_159_n100#" "X9/IN" 67.4778
+cap "X7/XM5/a_159_n100#" "X1/X3/GND" 1.48036
+cap "X1/X3/VDD" "X7/XM5/a_159_n100#" 0.0129752
+cap "X9/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 4.64713
+cap "X1/X3/VDD" "X8/m1_4820_n890#" 73.8069
+cap "X8/m1_4820_n1420#" "X1/X3/XM4/a_927_n100#" 0.0227027
+cap "X8/m1_4820_n890#" "X1/X3/GND" 10.1516
+cap "X1/X3/BIAS" "X7/XM5/a_159_n100#" 2.45872
+cap "X8/IN" "X8/m1_4820_n460#" -0.14492
+cap "X9/IN" "X1/X3/SUB" 11.993
+cap "X1/X3/BIAS" "X8/m1_4820_n890#" 11.6382
+cap "X7/m1_4820_n460#" "X1/X3/GND" 0.0628654
+cap "X1/X3/SUB" "X7/XM5/a_159_n100#" 0.290769
+cap "X8/XM5/a_159_n100#" "X1/X3/XM4/a_927_n100#" 22.3843
+cap "X1/X3/SUB" "X8/m1_4820_n890#" 11.571
+cap "X9/m1_4820_n890#" "X1/X3/GND" 4.97459
+cap "X7/ctrll5" "X1/X3/GND" 1.51033
+cap "X1/X3/VDD" "X7/ctrll5" 8.07147
+cap "X1/X3/VDD" "X9/m1_4820_n890#" 56.2308
+cap "X1/X3/BIAS" "X9/m1_4820_n890#" 1.21569
+cap "X1/X3/BIAS" "X7/ctrll5" 3.7613
+cap "X9/IN" "X8/m1_4820_n460#" 94.0777
+cap "X9/m1_4820_n460#" "X1/X3/GND" 89.9596
+cap "X8/IN" "X1/X3/XM4/a_927_n100#" 0.660062
+cap "X9/m1_4820_n1420#" "X1/X3/GND" 0.292347
+cap "X9/m1_4820_n460#" "X1/X3/VDD" 87.1325
+cap "X8/m1_4820_n1420#" "X1/X3/GND" 0.192573
+cap "X9/m1_4820_n460#" "X1/X3/BIAS" 13.6785
+cap "X8/XM5/a_159_n100#" "X9/m1_4820_n890#" 47.9307
+cap "X1/X3/VDD" "X9/m1_4820_n1420#" 0.676344
+cap "X1/X3/BIAS" "X8/m1_4820_n1420#" 0.132882
+cap "X1/X3/VDD" "X1/X3/GND" -0.00406625
+cap "X8/m1_4820_n460#" "X7/XM5/a_159_n100#" 13.4541
+cap "X1/X3/SUB" "X7/ctrll5" 1.56949
+cap "X1/X3/BIAS" "X1/X3/VDD" -1.97167
+cap "X1/X3/BIAS" "X1/X3/GND" -0.0834247
+cap "X9/m1_4820_n460#" "X8/XM5/a_159_n100#" 213.203
+cap "X9/m1_4820_n460#" "X1/X3/SUB" 43.344
+cap "X8/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 67.448
+cap "X1/X3/SUB" "X8/m1_4820_n1420#" 0.0805173
+cap "X8/XM5/a_159_n100#" "X1/X3/VDD" 308.851
+cap "X8/XM5/a_159_n100#" "X1/X3/GND" 257.398
+cap "X1/X3/BIAS" "X8/XM5/a_159_n100#" 36.8299
+cap "X8/IN" "X9/m1_4820_n890#" 0.202982
+cap "X1/X3/SUB" "X1/X3/GND" 0.487726
+cap "X1/X3/VDD" "X1/X3/SUB" 0.000148845
+cap "X9/IN" "X8/m1_4820_n890#" 0.490752
+cap "X9/IN" "X1/X3/XM4/a_927_n100#" 0.189154
+cap "X1/X3/BIAS" "X1/X3/SUB" -0.00115558
+cap "X9/m1_4820_n460#" "X8/IN" 0.909441
+cap "X1/X3/VDD" "X8/IN" 21.361
+cap "X8/XM5/a_159_n100#" "X1/X3/SUB" 21.8998
+cap "X8/IN" "X1/X3/GND" 10.6422
+cap "X1/X3/BIAS" "X8/IN" 14.965
+cap "X9/IN" "X9/m1_4820_n890#" -0.0298098
+cap "X9/m1_4820_n460#" "CTRL4" 10.3873
+cap "X1/X3/VDD" "X9/m1_4820_n1420#" 2.56377
+cap "X9/m1_4820_n460#" "X1/X3/GND" 34.4608
+cap "X8/m1_4820_n890#" "X1/X3/VDD" 0.100962
+cap "CTRL4" "X9/m1_4820_n890#" 0.200786
+cap "X9/m1_4820_n460#" "X9/ctrll5" 12.8229
+cap "X9/m1_4820_n890#" "X1/X3/GND" 1.97011
+cap "X9/IN" "X8/XM5/a_159_n100#" 69.1502
+cap "X9/m1_4820_n890#" "X9/ctrll5" 0.412876
+cap "X9/IN" "X8/IN" 25.7911
+cap "X1/X3/XM1/a_927_n100#" "X9/IN" 5.59146
+cap "X1/X3/BIAS" "X1/X3/VDD" -1.89722
+cap "CTRL4" "X9/IN" 0.707959
+cap "X9/IN" "X1/X3/GND" 77.2617
+cap "X1/X3/BIAS" "X9/XM5/a_159_n100#" 2.76327
+cap "X9/IN" "X9/ctrll5" 3.02147
+cap "X8/m1_4820_n460#" "X1/X3/BIAS" 1.29524
+cap "X9/XM5/a_159_n100#" "X1/X3/VDD" 0.107839
+cap "X9/ctrll5" "X1/X3/GND" 0.0549929
+cap "X9/m1_4820_n460#" "X1/X3/BIAS" 141.337
+cap "X8/m1_4820_n460#" "X1/X3/VDD" 2.58486
+cap "X9/m1_4820_n890#" "X1/X3/BIAS" 24.7012
+cap "X9/m1_4820_n460#" "X1/X3/VDD" 64.7193
+cap "X8/m1_4820_n890#" "X9/IN" 2.28838
+cap "X9/m1_4820_n890#" "X1/X3/VDD" 51.8914
+cap "X1/X3/GND" "X9/m1_4820_n1420#" 0.11369
+cap "X1/X3/BIAS" "X8/XM5/a_159_n100#" 1.10016
+cap "X8/IN" "X1/X3/BIAS" 3.51386e-05
+cap "X1/X3/SUB" "X9/m1_4820_n460#" 1.69903
+cap "X9/IN" "X1/X3/BIAS" 55.3679
+cap "X8/XM5/a_159_n100#" "X1/X3/VDD" 2.45376
+cap "CTRL1" "X9/m1_4820_n460#" 0.0243517
+cap "X8/IN" "X1/X3/VDD" 0.0487416
+cap "X9/IN" "X1/X3/VDD" 216.964
+cap "X9/m1_4820_n460#" "CTRL3" 6.98826
+cap "X9/ctrll5" "X1/X3/VDD" 0.549945
+cap "X8/m1_4820_n460#" "X9/IN" 162.524
+cap "X9/m1_4820_n460#" "X8/XM5/a_159_n100#" 13.4541
+cap "X1/X3/SUB" "X9/IN" 19.5339
+cap "X9/m1_4820_n460#" "X9/IN" -7.30405
+cap "X9/m1_4820_n460#" "CTRL2" 3.34604
+cap "X8/m1_4820_n890#" "X1/X3/BIAS" 0.0400794
+cap "X9/XM5/a_159_n100#" "CTRL3" 16.755
+cap "X9/IN" "CTRL4" 0.0717654
+cap "X9/IN" "X9/ctrll5" 3.9467
+cap "CTRL4" "X9/m1_4820_n890#" 0.081229
+cap "X9/ctrll5" "X9/m1_4820_n890#" 1.82079
+cap "CTRL4" "X9/m1_4820_n460#" 5.61071
+cap "X9/m1_4820_n460#" "X9/ctrll5" 49.1848
+cap "X9/XM5/a_159_n100#" "CTRL1" 7.26764
+cap "CTRL2" "X9/m1_4820_n460#" 0.973789
+cap "X9/XM5/a_159_n100#" "X1/X3/VDD" 0.385906
+cap "X9/XM5/a_159_n100#" "CTRL4" 19.0378
+cap "X9/XM5/a_159_n100#" "X9/ctrll5" 34.9847
+cap "CTRL2" "X9/XM5/a_159_n100#" 12.9639
+cap "X9/m1_4820_n460#" "CTRL3" 3.36229
+cap "X9/XM5/a_159_n100#" "X1/X3/BIAS" 0.893304
+cap "CTRL1" "X9/m1_4820_n460#" 0.00658575
+cap "X9/XM5/a_159_n100#" "CTRL1" 1.26662
+cap "bias_calc_0/XM37/a_1763_n100#" "bias_calc_0/SUB" 8.81299e-07
+cap "bias_calc_0/XM37/a_1821_n197#" "bias_calc_0/SUB" -6.21725e-15
+cap "bias_calc_0/w_17930_210#" "bias_calc_0/SUB" -1.77636e-15
+cap "bias_calc_0/XM39/a_n465_n188#" "bias_calc_0/SUB" 8.88178e-16
+cap "bias_calc_0/BIASOUT" "bias_calc_0/SUB" -1.35003e-13
 cap "bias_calc_0/SUB" "bias_calc_0/XM39/a_n465_n188#" 2.66454e-15
-cap "bias_calc_0/SUB" "bias_calc_0/XM37/a_1763_n100#" -3.55271e-15
-cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/SUB" 1.77636e-15
-cap "bias_calc_0/SUB" "bias_calc_0/BIASOUT" -5.68434e-14
-cap "bias_calc_0/XM37/a_1763_n100#" "bias_calc_0/SUB" -3.19744e-14
-cap "bias_calc_0/SUB" "bias_calc_0/XM1/a_803_n100#" 4.44089e-16
-cap "bias_calc_0/XM38/a_1821_n197#" "bias_calc_0/SUB" -1.7053e-13
-cap "bias_calc_0/SUB" "bias_calc_0/XM39/a_n465_n188#" -8.88178e-16
-cap "bias_calc_0/w_17930_210#" "bias_calc_0/XM37/a_1763_n100#" 0.000289392
-cap "bias_calc_0/SUB" "bias_calc_0/XM37/a_1821_n197#" 1.06581e-14
-cap "bias_calc_0/SUB" "bias_calc_0/XM1/a_861_n197#" 4.13758
-cap "bias_calc_0/SUB" "bias_calc_0/XM38/a_1821_n197#" 7.72623
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_861_n197#" 73.4037
-cap "bias_calc_0/SUB" "bias_calc_0/XM1/w_n1127_n319#" 14.2149
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XM1/a_803_n100#" 3.02014
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/BIASOUT" 2.0124
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/XM1/a_803_n100#" 22.776
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/VOP" 4.44639
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_803_n100#" 5.28766
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XM1/a_861_n197#" 7.31038
-cap "bias_calc_0/VOP" "bias_calc_0/XM38/a_1821_n197#" 20.0632
-cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM1/a_803_n100#" 6.3242
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/XM1/a_861_n197#" 92.9809
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 16.9753
-cap "bias_calc_0/SUB" "bias_calc_0/XM1/a_803_n100#" 6.23235
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/XM1/w_n1127_n319#" 24.5323
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_803_n100#" 26.9696
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/SUB" 6.86534
-cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n285_n1572#" 134.504
-cap "X3/X5/XC1/c1_n2050_n3000#" "bias_calc_0/XR20/a_n415_n1702#" 33.4857
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/BIASOUT" 2.0124
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_803_n100#" 5.28766
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 16.9753
-cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/BIASOUT" 2.08622
-cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/BIASOUT" 7.31038
-cap "bias_calc_0/VOP" "bias_calc_0/BIASOUT" -0.235014
-cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/XR20/a_n415_n1702#" 22.2841
-cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n415_n1702#" -132.93
-cap "bias_calc_0/BIASOUT" "bias_calc_0/XR20/a_n415_n1702#" 36.7581
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_931_n100#" 4.44639
-cap "X3/X5/XC1/c1_n2050_n3000#" "X3/X6/GND" -75.0207
-cap "bias_calc_0/VOP" "X3/X6/GND" -213.218
-cap "m1_36045_25020#" "X3/X6/GND" 1.27022
-cap "bias_calc_0/VOP" "bias_calc_0/XM30/a_483_n100#" 0.459584
-cap "bias_calc_0/XM30/a_483_n100#" "X3/X6/GND" 2.82821
-cap "X3/X2/XR1/a_n703_n3602#" "X3/X3/BIAS" 0.0228776
-cap "X3/X2/BIAS" "X3/X2/GND" 4.79612
-cap "X3/X2/GND" "X3/X2/XM1/a_n417_n100#" 5.64186
-cap "X3/X2/BIAS" "X3/X2/GND" 40.4221
-cap "VDD" "X3/X2/GND" -167.883
-cap "X3/X2/GND" "X3/X2/OUTB" -8.88178e-16
-cap "X3/X2/GND" "X3/I2B" -7.77156e-16
-cap "X3/X2/BIAS" "X3/X2/XM1/a_n417_n100#" 65.194
-cap "X3/I2A" "X3/X2/GND" -1.77636e-15
-cap "X6/XC3/m4_n651_n500#" "X1/X2/XR17/a_n415_n1322#" 1.33283
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/BIAS" -2129.22
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/VDD" 27.3248
-cap "X1/X2/XR17/a_n415_n1322#" "X6/IN" 66.5453
-cap "X1/X2/XM4/a_n225_n100#" "X1/X2/BIAS" 0.435544
-cap "VDD" "X1/X2/BIAS" 50.1748
-cap "X1/X2/XR17/a_n415_n1322#" "X3/X2/XM1/a_n417_n100#" 2.13163e-14
-cap "X1/X2/XR17/a_n415_n1322#" "X3/X2/OUTA" 3.46093
-cap "X1/X2/XR17/a_n415_n1322#" "VDD" 646.598
-cap "X1/X2/BIAS" "X1/X2/VDD" 16.0312
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/GND" 216.72
-cap "X1/X2/XM4/a_927_n100#" "X6/m1_4820_n460#" -388.494
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/BIAS" 11.0529
-cap "X1/X2/BIAS" "X6/m1_4820_n460#" -14.0198
-cap "X6/IN" "X1/X2/GND" -30.8381
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/XR17/a_n415_n1322#" 2.77435
-cap "X6/m1_4700_270#" "X6/IN" 18.1405
-cap "X1/X2/XR17/a_n415_n1322#" "X6/m1_4820_n460#" -210.6
-cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/BIAS" 112.832
-cap "X3/X2/OUTA" "X1/X2/GND" 3.18589
-cap "X6/m1_4700_270#" "X1/X2/GND" -118.142
-cap "X7/XC3/m4_n651_n500#" "X6/m1_4820_n460#" 0.88673
-cap "X1/X2/GND" "X1/X2/VDD" 19.5238
-cap "X6/m1_4820_n460#" "X6/IN" 15.0405
-cap "X1/X2/XM4/a_927_n100#" "X1/X2/GND" 3.20378
-cap "X1/X2/BIAS" "X6/IN" -700.087
-cap "X6/m1_4820_n460#" "X1/X2/GND" 516.323
-cap "X1/X2/BIAS" "X1/X2/GND" 16.0572
-cap "X7/IN" "X6/IN" 17.8813
-cap "X1/X2/XR17/a_n415_n1322#" "X6/IN" 96.3517
-cap "X6/m1_4820_n460#" "X1/X2/GND" -2314.12
-cap "X6/m1_4820_n460#" "X1/X2/BIAS" -1345.09
-cap "X1/X2/GND" "X1/X2/BIAS" -5864.48
-cap "X7/m1_4820_n460#" "X7/IN" 1.77889
-cap "X6/m1_4700_270#" "X7/IN" 14.8029
-cap "X6/m1_4700_270#" "X1/X2/XM4/a_927_n100#" 1588.96
-cap "X6/m1_4700_270#" "X1/X2/SUB" 28.1307
-cap "X6/m1_4820_n460#" "X7/m1_4820_n460#" 10.0551
-cap "X7/XC4/m4_n951_n500#" "X6/m1_4700_270#" 25.075
-cap "X6/m1_4700_270#" "X1/X2/BIAS" 14.0341
-cap "X6/m1_4700_270#" "X1/X2/GND" 1886.58
-cap "X7/IN" "X6/IN" 90.0233
-cap "X1/X2/SUB" "X6/IN" 7.1764
-cap "X6/m1_4700_270#" "X7/m1_4820_n460#" 27.669
-cap "X1/X2/BIAS" "X6/IN" -5334.45
-cap "X1/X2/GND" "X6/IN" -1875.38
-cap "X1/X2/SUB" "X1/X2/XM4/a_927_n100#" 2.77435
-cap "X6/m1_4820_n460#" "X7/IN" 7.61022
-cap "X6/m1_4700_270#" "X6/IN" -59.2613
-cap "X7/XC4/m4_n951_n500#" "X7/IN" 0.76129
-cap "X6/m1_4820_n460#" "X1/X2/XM4/a_927_n100#" 270.855
-cap "X1/X2/SUB" "X1/X2/BIAS" 9.79566
-cap "X1/X2/BIAS" "X1/X2/XM4/a_927_n100#" 4.26477
-cap "X1/X2/GND" "X1/X2/XM4/a_927_n100#" 7.96947
-cap "X1/X2/GND" "X1/X2/SUB" 2.77435
-cap "X7/IN" "X6/m1_4700_270#" 1.81538
-cap "X7/m1_4820_n460#" "X6/m1_4820_n460#" 4.81055
-cap "X8/XC4/m4_n951_n500#" "X7/m1_4700_270#" 18.7
-cap "X7/m1_4820_n460#" "X8/XC3/m4_n651_n500#" 5.02757
-cap "X7/m1_4820_n460#" "X6/m1_4700_270#" 12.3067
-cap "X7/m1_4700_270#" "X6/m1_4700_270#" 25.075
-cap "X1/X2/SUB" "X7/IN" 172.658
-cap "X1/S3B" "X7/IN" 5.15763
-cap "X6/IN" "X7/IN" 94.7599
-cap "X6/m1_4820_n460#" "X7/IN" 7.61022
-cap "X7/m1_4820_n460#" "X7/IN" 34.4949
-cap "X1/X2/SUB" "X7/m1_4820_n460#" 34.844
-cap "X1/S3B" "X7/m1_4820_n460#" 7.61022
-cap "X1/X2/SUB" "X7/m1_4700_270#" -66.4978
-cap "X7/m1_4700_270#" "X7/IN" 40.2176
-cap "X1/S3B" "X7/m1_4700_270#" 25.8587
-cap "X1/S3B" "X1/S3A" 19.1006
-cap "X7/m1_4700_270#" "X8/m1_4700_270#" 25.075
-cap "X1/S3A" "X1/GND" 0.000775378
-cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n460#" 392.074
-cap "X7/m1_4700_270#" "X7/IN" -128.309
-cap "X1/X3/XM4/a_927_n100#" "X1/S3B" 556.911
-cap "X1/S3B" "X1/X3/SUB" 6.01516
-cap "X1/X3/XM4/a_927_n100#" "X1/GND" -0.00207678
-cap "X8/m1_4820_n460#" "X1/BIAS" 1.65493
-cap "X1/S3B" "X1/BIAS" 8.12087
-cap "X1/S3B" "X8/m1_4700_270#" 8.96003
-cap "X7/m1_4820_n460#" "X8/m1_4820_n460#" 5.02757
-cap "X7/m1_4700_270#" "X8/m1_4820_n460#" 27.669
-cap "X1/GND" "X1/BIAS" -0.00105451
-cap "X1/S3B" "X7/m1_4820_n460#" 7.61022
-cap "X1/S3B" "X7/IN" 110.001
-cap "X1/S3B" "X7/m1_4700_270#" -1358.69
-cap "X1/X3/XM4/a_927_n100#" "X1/S3A" 0.0166068
-cap "X9/XC3/m4_n651_n500#" "X8/m1_4820_n460#" 0.88673
-cap "X1/S3B" "X8/m1_4820_n460#" 18.6108
-cap "X1/X3/XM4/a_927_n100#" "X1/X3/SUB" -0.205672
-cap "X8/m1_4820_n460#" "X1/GND" 100.787
-cap "X1/S3B" "X1/GND" 402.638
-cap "X7/m1_4700_270#" "X1/X3/SUB" 308.533
-cap "X8/m1_4820_n460#" "X1/X3/SUB" 12.5953
-cap "X1/S3A" "X1/GND" 59.7465
-cap "X1/S3A" "X1/S3B" 80.8145
-cap "X1/S3A" "X8/m1_4820_n460#" 7.61022
-cap "X1/S3A" "X9/m1_4820_n460#" 2.00567
-cap "X1/GND" "X8/m1_4700_270#" 1109.77
-cap "X1/S3A" "X9/XC4/m4_n951_n500#" 1.33226
-cap "X1/S3B" "X8/m1_4700_270#" -78.7554
-cap "X1/S3B" "X7/XC4/c2_n851_n400#" 34.3675
-cap "X1/S3B" "X1/BIAS" -1053.81
-cap "X8/m1_4700_270#" "X9/m1_4820_n460#" 27.669
-cap "X7/m1_4700_270#" "X8/m1_4700_270#" 25.075
-cap "X1/S3A" "X1/X3/SUB" 15.0811
-cap "X9/XC4/m4_n951_n500#" "X8/m1_4700_270#" 25.075
-cap "X1/X3/XM4/a_927_n100#" "X1/S3B" -8535.67
-cap "X8/m1_4820_n460#" "X1/BIAS" -354.751
-cap "X8/m1_4820_n460#" "X7/m1_4820_n460#" 2.61538
-cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n460#" -1840.24
-cap "X1/X3/SUB" "X8/m1_4700_270#" 75.9881
-cap "X1/S3B" "X1/GND" -3618.05
-cap "X1/GND" "X9/m1_4820_n460#" 18.1062
-cap "X8/m1_4820_n460#" "X1/GND" -691.753
-cap "X1/S3A" "X8/m1_4700_270#" 366.534
-cap "X1/X3/XM4/a_927_n100#" "X1/X3/SUB" 0.109548
-cap "X8/m1_4820_n460#" "X7/m1_4700_270#" 3.91033
-cap "X8/m1_4820_n460#" "X9/m1_4820_n460#" 10.0551
-cap "X1/X3/XM4/a_927_n100#" "X1/S3A" 0.0301887
-cap "X1/S3B" "X1/X3/SUB" -237.493
-cap "X9/m1_4700_270#" "X1/S3A" 18.4251
-cap "X1/VDD" "X1/S3A" 77.03
-cap "X8/IN" "X1/S3A" 98.9272
-cap "X1/X3/XM1/a_927_n100#" "X1/S3A" 0.756838
-cap "X9/m1_4820_n460#" "X1/S3A" 15.409
-cap "X8/m1_4700_270#" "X9/m1_4700_270#" 25.075
-cap "X8/m1_4700_270#" "X1/S3A" 14.5231
-cap "X9/m1_4820_n460#" "X1/VDD" 15.5319
-cap "X8/m1_4700_270#" "X9/m1_4820_n460#" 12.3067
-cap "X8/m1_4820_n460#" "X1/S3A" 7.61022
-cap "X1/GND" "X1/S3A" -300.869
-cap "X9/m1_4820_n460#" "X8/m1_4820_n460#" 4.81055
-cap "X1/BIAS" "X1/S3A" 186.825
-cap "X1/GND" "X9/m1_4820_n460#" -11.0277
-cap "X9/m1_4820_n460#" "X1/BIAS" 30.1946
-cap "X9/m1_4700_270#" "X9/IN" -92.7051
-cap "m1_36045_25020#" "bias_calc_0/XM1/a_803_n100#" 10.6673
-cap "m1_36045_25020#" "bias_calc_0/XM1/a_861_n197#" 19.4943
-cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM1/a_803_n100#" 119.074
-cap "bias_calc_0/XM1/a_931_n100#" "m1_36045_25020#" 6.05418
-cap "m1_36045_25020#" "bias_calc_0/XM38/a_1437_n197#" 2.46328
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/XM1/a_803_n100#" 26.7425
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_803_n100#" -109.864
-cap "bias_calc_0/VOP" "m1_36045_25020#" 27.187
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/XM1/a_861_n197#" 18.6251
-cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM1/w_n1127_n319#" 98.0276
-cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/XM38/a_1437_n197#" -152.525
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_803_n100#" 163.115
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 2.17106
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/XM1/w_n1127_n319#" 22.5139
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM38/a_1437_n197#" -92.9949
-cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/VOP" 0.813122
-cap "bias_calc_0/XR20/a_n285_1140#" "bias_calc_0/VOP" 0.011865
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/w_n1127_n319#" 64.561
-cap "bias_calc_0/VOP" "bias_calc_0/XM38/a_1437_n197#" -323.528
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_931_n100#" 0.813122
-cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n285_1140#" 0.011865
-cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n285_n1572#" -105.887
-cap "m1_36045_25020#" "bias_calc_0/XR20/a_n285_n1572#" 22.7504
-cap "bias_calc_0/XM1/a_931_n100#" "m1_36045_25020#" 6.05418
-cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/XM1/w_n1127_n319#" 34.0008
-cap "GND" "X3/X5/XC1/c1_n2050_n3000#" 907.518
-cap "bias_calc_0/VOP" "m1_36045_25020#" 107.531
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/w_n1127_n319#" -120.22
-cap "GND" "X3/X6/GND" 382.562
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 2.17106
-cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/XR20/a_n285_n1572#" 34.8165
-cap "m1_36045_25020#" "bias_calc_0/XM1/a_861_n197#" 19.4943
-cap "bias_calc_0/XM1/a_803_n100#" "m1_36045_25020#" 22.5598
-cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/XM1/w_n1127_n319#" 29.3112
-cap "X3/X6/GND" "GND" -171.273
-cap "X3/X5/XC1/c1_n2050_n3000#" "GND" 1100.7
-cap "X3/X3/GND" "X3/X3/OUTA" 8.88178e-14
-cap "X3/X4/OUTB" "X3/X4/SUB" -1.13687e-13
-cap "X3/X4/SUB" "X3/I2A" -5.68434e-14
-cap "X3/X2/XM1/a_n417_n100#" "X3/X2/BIAS" 6.37421
-cap "X3/X2/BIAS" "X3/X4/SUB" 2.84217e-13
-cap "VDD" "X3/X4/SUB" -67.1532
-cap "X3/X2/XM1/a_n417_n100#" "X3/X4/SUB" 1.93268e-12
-cap "VDD" "X3/X4/OUTB" -56.9328
-cap "X3/X4/SUB" "X3/I2B" 1.7053e-13
-cap "X3/X4/SUB" "X3/X4/OUTB" -642.686
-cap "X3/I4B" "X3/X4/SUB" -1.55431e-15
-cap "X3/X4/OUTA" "X4/IN" 1.69601
-cap "X3/I3A" "X1/X1/XR17/a_n415_n1322#" -21.0297
-cap "VDD" "X3/I3A" 58.0453
-cap "X6/XC4/m4_n951_n500#" "X1/X1/XR17/a_n415_n1322#" 10.3229
-cap "X6/IN" "VDD" 56.9146
-cap "X3/I3B" "X1/X1/XR17/a_n415_n1322#" -20.6404
-cap "VDD" "X3/I3B" 31.82
-cap "X6/IN" "X1/X1/XR17/a_n415_n1322#" -155.747
-cap "X3/X4/OUTA" "X3/I3B" -284.709
-cap "X6/IN" "X3/X4/OUTA" 12.9245
-cap "X3/I2A" "X1/X1/XR17/a_n415_n1322#" -162.794
-cap "X3/I2A" "VDD" 57.4753
-cap "VDD" "X1/X1/XR17/a_n415_n1322#" 151.546
-cap "X3/X4/OUTA" "X1/X1/XR17/a_n415_n1322#" 208.997
-cap "X3/X4/OUTA" "VDD" 632.425
-cap "X1/X1/XR17/a_n415_n1322#" "X4/IN" 2.82101
-cap "X4/IN" "X1/S3B" -0.298051
-cap "X6/IN" "X7/IN" -8.38221
-cap "X6/IN" "X1/S4B" 7.10543e-15
-cap "X1/X1/XR17/a_n415_n1322#" "X1/S3B" -0.243499
-cap "X3/X4/OUTA" "X1/X2/XM4/a_351_n100#" 13.0384
-cap "X1/X2/GND" "X1/X1/XR17/a_n415_n1322#" -65.5355
-cap "X4/IN" "X6/m1_4700_270#" -51.0042
-cap "X1/X2/GND" "X1/S3B" 12.1897
-cap "X6/IN" "X3/X4/OUTA" 115.475
-cap "X1/S2A" "X1/S4B" 3.55271e-15
-cap "X6/IN" "X3/I3A" 5.68434e-14
-cap "X1/S2A" "X6/IN" -6.51667
-cap "X4/IN" "X6/IN" -11.8541
-cap "X1/X2/GND" "X6/m1_4700_270#" 13.0609
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X2/XM4/a_351_n100#" 0.792673
-cap "X4/IN" "X3/X4/OUTA" 32.1861
-cap "X4/IN" "X3/I3A" -0.358737
-cap "X1/X1/XR17/a_n415_n1322#" "X6/IN" 92.3337
-cap "X1/S2A" "X4/IN" -17.0846
-cap "X6/IN" "X1/S3B" 2.84217e-14
-cap "X1/S3B" "X1/S4B" 7.10543e-15
-cap "X1/X2/GND" "X6/IN" 3.18299
-cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/OUTA" 56.8428
-cap "X1/X1/XR17/a_n415_n1322#" "X3/I3A" -0.293078
-cap "X1/X2/GND" "X3/X4/OUTA" -1331
-cap "X1/S2A" "X1/X1/XR17/a_n415_n1322#" -0.342618
-cap "X1/X2/GND" "X3/I3A" 11.1264
-cap "X6/IN" "X6/m1_4700_270#" -144.937
-cap "X1/S2A" "X1/X2/GND" -99.0922
-cap "X6/m1_4700_270#" "X7/XC4/m4_n951_n500#" 39.7911
-cap "X6/m1_4700_270#" "X7/IN" 665.103
-cap "X6/m1_4700_270#" "X1/X2/GND" 13.0609
-cap "X6/IN" "X4/XC4/m4_n951_n500#" 29.2709
-cap "X3/X2/XR2/a_n573_n3472#" "X1/X2/XM4/a_351_n100#" 13.0384
-cap "X6/m1_4700_270#" "X6/IN" 809.064
-cap "X6/IN" "X1/X2/XM4/a_351_n100#" 10.2377
-cap "X4/IN" "X1/X2/XM4/a_351_n100#" -0.0314846
-cap "X6/m1_4700_270#" "X4/IN" 124.453
-cap "X4/IN" "X1/S3A" 311.276
-cap "X1/SUB" "X7/IN" -2.27374e-13
-cap "X7/XC4/m4_n951_n500#" "X7/IN" 0.951613
-cap "X6/IN" "X1/SUB" 30.5972
-cap "X4/IN" "X1/SUB" 2.82101
-cap "X6/IN" "X7/IN" 79.396
-cap "X6/m1_4700_270#" "X4/XC4/m4_n951_n500#" 95.445
-cap "X6/IN" "X1/X2/GND" 2.67168
-cap "X4/IN" "X7/IN" 1215.33
-cap "X3/X2/XR2/a_n573_n3472#" "X6/IN" 15.4764
-cap "X6/m1_4700_270#" "X1/X2/XM4/a_351_n100#" 1142.44
-cap "X4/IN" "X3/X2/XR2/a_n573_n3472#" 16.3513
-cap "X4/IN" "X6/IN" 120.108
-cap "X6/m1_4700_270#" "X5/IN" 240.354
-cap "X4/IN" "X1/S3B" 311.276
-cap "X6/m1_4700_270#" "X1/SUB" 147.563
-cap "X1/X2/XM4/a_351_n100#" "X1/SUB" 0.792709
-cap "X5/IN" "X7/m1_4700_270#" 20.6707
-cap "X8/XC4/m4_n951_n500#" "X7/m1_4700_270#" 17.3298
-cap "X7/IN" "X6/IN" 3.08024
-cap "X7/IN" "X5/XC4/m4_n951_n500#" 20.6707
-cap "X7/IN" "X6/m1_4700_270#" 2.26923
-cap "X5/XC4/m4_n951_n500#" "X7/m1_4700_270#" 59.6381
-cap "X1/X3/INB" "X1/S3B" 317.203
-cap "X6/m1_4700_270#" "X7/m1_4700_270#" 39.7911
-cap "X1/X3/INB" "X7/m1_4700_270#" -31.5956
-cap "X7/IN" "X1/S3B" -435.502
-cap "X1/S3B" "X1/X3/XM4/a_351_n100#" 1778.67
-cap "X1/S3B" "X7/m1_4700_270#" -165.788
-cap "X7/IN" "X1/SUB" 18.1283
-cap "X7/IN" "X7/m1_4700_270#" 8.26778
-cap "X7/m1_4700_270#" "X1/SUB" -762.766
-cap "X1/S3B" "X1/S3A" -1.34175
-cap "X1/SUB" "X7/m1_4700_270#" -170.758
-cap "X7/IN" "X5/XC4/m4_n951_n500#" 8.60017
-cap "X5/IN" "X7/m1_4700_270#" 8.60017
-cap "X7/m1_4700_270#" "X1/S2B" 631.559
-cap "X7/m1_4700_270#" "X5/XC4/m4_n951_n500#" 35.8069
-cap "X8/m1_4700_270#" "X7/IN" -49.5417
-cap "X8/m1_4700_270#" "X7/m1_4700_270#" 39.7911
-cap "X7/IN" "X7/m1_4700_270#" 115.145
-cap "X8/XC3/m4_n651_n500#" "X1/S3B" 8.90953
-cap "X1/S3A" "X1/S3B" -6.39675
-cap "X1/S3A" "X1/X3/XM4/a_351_n100#" -0.76075
-cap "X1/S3B" "X1/X3/XM4/a_351_n100#" 583.835
-cap "X1/SUB" "X1/S3B" 32.0342
-cap "X1/SUB" "X1/X3/XM4/a_351_n100#" -0.770417
-cap "X1/S3B" "X1/S2B" 6.60602
-cap "X1/X3/XM4/a_351_n100#" "X1/S2B" -1.68267
-cap "X8/m1_4700_270#" "X1/S3B" 35.4907
-cap "X7/IN" "X1/S3B" -948.201
-cap "X1/S4B" "X1/S3B" -3.28175
-cap "X7/m1_4700_270#" "X1/S3B" -551.125
-cap "X7/IN" "X1/X3/XM4/a_351_n100#" -0.19832
-cap "X1/S3A" "X1/X3/XM4/a_351_n100#" 64.0737
-cap "X9/XC4/m4_n951_n500#" "X1/S3A" 1.14194
-cap "X8/m1_4700_270#" "X10/XC4/m4_n951_n500#" 95.445
-cap "X10/XC4/m4_n951_n500#" "X1/S3B" 29.2709
-cap "X1/S3A" "X1/S2A" -0.00205313
-cap "X8/m1_4700_270#" "X1/S2B" 377.038
-cap "X8/m1_4700_270#" "X1/X3/SUB" 123.336
-cap "X1/S2A" "X1/X3/XM4/a_351_n100#" 22.7101
-cap "X1/X3/SUB" "X1/S3B" -225.854
-cap "X8/m1_4700_270#" "X1/S3A" 1859.62
-cap "X1/S3A" "X1/S3B" -577.608
-cap "X8/m1_4700_270#" "X1/X4/OUTB" 29.2709
-cap "X8/m1_4700_270#" "X9/XC4/m4_n951_n500#" 39.7911
-cap "X1/S3A" "X1/GND" 88.0031
-cap "X1/X3/XM4/a_351_n100#" "X1/S3B" -532.739
-cap "X8/m1_4700_270#" "X1/S2A" 47.419
-cap "X8/m1_4700_270#" "X1/S3B" -9.18942
-cap "X8/m1_4700_270#" "X7/m1_4700_270#" 39.7911
-cap "X7/XC4/c2_n851_n400#" "X1/S3B" 4.27953
-cap "X1/X3/SUB" "X1/S3A" 50.5537
-cap "X1/X3/SUB" "X1/X3/XM4/a_351_n100#" 8.68398
-cap "X1/S3A" "X8/m1_4700_270#" 2.72308
-cap "X11/XC4/m4_n951_n500#" "X9/m1_4700_270#" 59.6381
-cap "X1/X3/XM1/a_351_n100#" "X1/S3A" 0.705524
-cap "X9/m1_4700_270#" "X8/m1_4700_270#" 39.7911
-cap "X1/VDD" "X1/S3A" 85.1661
-cap "X11/XC4/m4_n951_n500#" "X1/S3A" 20.6707
-cap "X1/S4A" "X9/m1_4700_270#" 20.6707
-cap "X9/m1_4700_270#" "X1/S3A" 180.721
-cap "X1/S3A" "X1/BIAS" 172.046
-cap "X1/GND" "X1/S3A" -475.717
-cap "X8/IN" "X1/S3A" 31.4733
-cap "X9/IN" "X11/XC4/m4_n951_n500#" 8.60017
-cap "X9/m1_4700_270#" "X11/XC4/m4_n951_n500#" 35.8069
-cap "X9/IN" "X9/m1_4700_270#" 117.895
-cap "X9/m1_4700_270#" "X11/IN" 8.60017
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/AMP" 44.5606
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_547_n100#" 9.37317
-cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XM1/a_605_n197#" 99.0579
-cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XM1/a_675_n100#" 13.7072
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XR19/a_n285_760#" -74.648
-cap "bias_calc_0/AMP" "m1_36045_25020#" 19.9623
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_547_n100#" -78.9044
-cap "bias_calc_0/XR19/a_n285_760#" "m1_36045_25020#" 1.97063
-cap "bias_calc_0/XM1/a_675_n100#" "bias_calc_0/XM1/a_605_n197#" 4.55874
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/AMP" -95.1177
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/VOP" 1.7617
-cap "m1_36045_25020#" "bias_calc_0/XM1/a_547_n100#" 11.3908
-cap "bias_calc_0/AMP" "bias_calc_0/XM1/a_547_n100#" 130.87
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_605_n197#" 77.127
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_675_n100#" 11.5398
-cap "bias_calc_0/VOP" "m1_36045_25020#" 1.31066
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/XM1/a_547_n100#" -97.4168
-cap "m1_36045_25020#" "bias_calc_0/XM1/a_605_n197#" 19.4943
-cap "m1_36045_25020#" "bias_calc_0/XM1/a_675_n100#" 4.03579
-cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/AMP" -93.3701
-cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/AMP" -1.86517e-14
-cap "bias_calc_0/VOP" "m1_36045_25020#" 5.48196
-cap "m1_36045_25020#" "bias_calc_0/XR19/a_n285_760#" 18.3113
-cap "bias_calc_0/XM1/a_675_n100#" "m1_36045_25020#" 4.03579
-cap "m1_36045_25020#" "bias_calc_0/AMP" 83.4945
-cap "X3/X5/XR18/a_n415_n4762#" "GND" 382.562
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/AMP" -88.9474
-cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XM1/w_n1127_n319#" 13.4443
-cap "bias_calc_0/XM1/a_605_n197#" "m1_36045_25020#" 19.4943
-cap "bias_calc_0/XM1/a_547_n100#" "m1_36045_25020#" 23.2534
-cap "GND" "bias_calc_0/AMP" 907.518
-cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XR19/a_n285_760#" 20.6103
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/XM1/w_n1127_n319#" 27.205
-cap "bias_calc_0/VOP" "bias_calc_0/XM1/w_n1127_n319#" -6.13036
-cap "bias_calc_0/AMP" "GND" 1100.7
-cap "GND" "X3/X5/XR18/a_n415_n4762#" -171.273
-cap "X3/X5/IN1" "m1_46335_31170#" 0.982992
-cap "X3/X3/SUB" "X3/X1/OUTA" 8.88178e-14
-cap "X3/X4/GND" "X3/X4/OUTB" -2.27374e-13
-cap "X3/X4/GND" "X3/I4B" -8.52651e-14
-cap "X3/X4/GND" "X3/I2A" -1.55431e-15
-cap "X3/X4/OUTB" "GND" -642.686
-cap "X3/X4/GND" "X3/I4A" 8.52651e-14
-cap "X3/X4/OUTB" "X3/X4/GND" -9.09495e-13
-cap "X3/X4/GND" "X3/X4/BIAS" -4.54747e-13
-cap "X3/X4/XM2/a_n73_n100#" "X3/X4/GND" -3.63798e-12
-cap "VDD" "X3/X4/GND" -67.1532
-cap "VDD" "X3/X4/OUTB" -56.9328
-cap "X4/IN" "GND" -156.334
-cap "X1/S4B" "GND" -291.913
-cap "X3/X4/OUTA" "X1/X1/XR17/a_n415_n1322#" 18.9093
-cap "X3/X4/OUTA" "X1/S1A" -284.709
-cap "X1/S1A" "GND" -19.7075
-cap "X3/I4A" "GND" -159.241
-cap "X1/X1/XR17/a_n415_n1322#" "GND" -127.153
-cap "X3/X4/OUTA" "VDD" 627.433
-cap "X3/X4/OUTA" "X1/X1/INB" 0.217061
-cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 3.4758
-cap "X4/IN" "VDD" 59.4121
-cap "X1/S4B" "VDD" 63.9759
-cap "X4/XC4/m4_n951_n500#" "GND" 10.3229
-cap "X1/S1A" "VDD" 23.919
-cap "X3/I4A" "VDD" 59.7473
-cap "X1/X1/XR17/a_n415_n1322#" "VDD" 168.078
-cap "X3/X4/OUTA" "GND" 172.872
-cap "X3/X4/OUTA" "X4/IN" 10.7073
-cap "X3/X4/OUTA" "X1/X1/GND" 3.17295
-cap "X3/X4/OUTA" "GND" -1334.63
-cap "X4/IN" "X3/X4/OUTA" 94.9554
-cap "X1/S4B" "X1/X1/XR17/a_n415_n1322#" -0.149228
-cap "X5/IN" "X1/X1/XR17/a_n415_n1322#" -0.205749
-cap "X3/X4/OUTA" "X1/X1/INB" 28.621
-cap "X1/X1/INB" "X1/X1/XR17/a_n415_n1322#" 2.75767
-cap "GND" "X1/X1/XR17/a_n415_n1322#" -62.2101
-cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 109.382
-cap "X4/XC4/m4_n951_n500#" "GND" 13.0609
-cap "X4/IN" "X4/XC4/m4_n951_n500#" -186.313
-cap "X1/S4B" "X4/XC4/m4_n951_n500#" -28.4059
-cap "X1/X1/INB" "X4/XC4/m4_n951_n500#" -43.0381
-cap "X3/X4/OUTA" "X1/X1/XM3/a_n129_n100#" 13.1534
-cap "X4/IN" "X1/X1/GND" -8.75603
-cap "X1/X1/XM3/a_n129_n100#" "X1/X1/XR17/a_n415_n1322#" 0.792673
-cap "X6/IN" "X5/IN" 7.10543e-15
-cap "X6/IN" "X1/S4B" 7.10543e-15
-cap "X5/IN" "GND" -6.90852
-cap "X6/IN" "X4/IN" -7.10543e-15
-cap "X4/IN" "X5/IN" -12.3211
-cap "X4/IN" "GND" 36.5187
-cap "X1/S4B" "GND" 240.91
-cap "X4/IN" "X1/S4B" -0.182659
-cap "X3/X4/OUTA" "X1/X1/XR17/a_n415_n1322#" 57.8755
-cap "X1/X1/INB" "GND" -97.2517
-cap "X4/IN" "X1/X1/INB" -29.0669
-cap "X1/S4B" "X1/S3B" 7.10543e-15
-cap "X4/IN" "X1/S3B" 2.84217e-14
-cap "X1/S4B" "X1/S2A" 3.55271e-15
-cap "X6/m1_4700_270#" "X4/IN" 29.2709
-cap "X3/X4/XR2/a_n573_n3472#" "X4/IN" 18.2618
-cap "X4/XC4/m4_n951_n500#" "X4/IN" 586.449
-cap "X1/SUB" "X4/IN" 4.89709
-cap "X4/IN" "X1/S4A" -156.09
-cap "X1/X1/XM3/a_n129_n100#" "X4/IN" 0.323144
-cap "X5/IN" "X4/XC4/m4_n951_n500#" 842.771
-cap "GND" "X4/IN" 2.72158
-cap "X5/XC4/m4_n951_n500#" "X5/IN" 0.76129
-cap "X1/S4B" "X4/IN" 937.541
-cap "X6/IN" "X4/XC4/m4_n951_n500#" 29.2709
-cap "X6/m1_4700_270#" "X4/XC4/m4_n951_n500#" 95.445
-cap "X1/SUB" "X4/XC4/m4_n951_n500#" 123.011
-cap "X3/X4/XR2/a_n573_n3472#" "X1/S4A" 15.9392
-cap "X1/SUB" "X1/S4A" 2.83255
-cap "X4/XC4/m4_n951_n500#" "X1/S4A" 123.868
-cap "X5/XC4/m4_n951_n500#" "X4/XC4/m4_n951_n500#" 40.5957
-cap "X1/X1/XM3/a_n129_n100#" "X3/X4/XR2/a_n573_n3472#" 13.1534
-cap "X1/X1/XM3/a_n129_n100#" "X4/XC4/m4_n951_n500#" 1154.5
-cap "X1/SUB" "X1/X1/XM3/a_n129_n100#" 1.02436
-cap "X1/X1/XM3/a_n129_n100#" "X1/S4A" 0.205126
-cap "GND" "X4/XC4/m4_n951_n500#" 13.0609
-cap "X5/IN" "X4/IN" 1240.25
-cap "X1/S4B" "X4/XC4/m4_n951_n500#" 641.079
-cap "X5/IN" "X4/IN" 3.08024
-cap "X5/XC4/m4_n951_n500#" "X5/IN" 115.562
-cap "X1/S4B" "X1/SUB" -0.114332
-cap "X5/XC4/m4_n951_n500#" "X7/IN" 20.6707
-cap "X1/S4B" "X5/IN" -435.446
-cap "X7/m1_4700_270#" "X5/IN" 20.6707
-cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 17.9298
-cap "X5/XC4/m4_n951_n500#" "X1/S4B" -601.71
-cap "X5/XC4/m4_n951_n500#" "X7/m1_4700_270#" 59.6381
-cap "X1/S4B" "X1/X4/XM3/a_n129_n100#" 1778.67
-cap "X1/X4/XM2/a_n221_n100#" "X1/S4B" -1.34175
-cap "X1/S3B" "X1/S4B" 317.203
-cap "X5/IN" "X4/XC4/m4_n951_n500#" 1.81538
-cap "X5/XC4/m4_n951_n500#" "X4/XC4/m4_n951_n500#" 40.5957
-cap "X1/SUB" "X5/IN" 21.4165
-cap "X5/XC4/m4_n951_n500#" "X1/SUB" -772.448
-cap "X5/XC4/m4_n951_n500#" "X7/m1_4700_270#" 35.8069
-cap "X10/XC4/m4_n951_n500#" "X1/S3A" -50.1111
-cap "X1/S4A" "X1/X4/XM3/a_n129_n100#" -0.699933
-cap "X5/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 40.5957
-cap "X1/S4B" "X5/IN" -914.582
-cap "X1/S4B" "X1/S3B" -6.33382
-cap "X1/S4B" "X1/SUB" 57.1893
-cap "X7/IN" "X5/XC4/m4_n951_n500#" 8.60017
-cap "X1/S4B" "X1/X4/XM3/a_n129_n100#" 569.253
-cap "X5/IN" "X7/m1_4700_270#" 8.60017
-cap "X5/XC4/m4_n951_n500#" "X1/S4B" -457.173
-cap "X1/S4B" "X10/XC4/m4_n951_n500#" 27.7146
-cap "X1/S4A" "X1/S4B" -5.81622
-cap "X1/S3B" "X1/X4/XM3/a_n129_n100#" -1.07668
-cap "X10/XC3/m4_n651_n500#" "X1/S4B" 8.23954
-cap "X5/XC4/m4_n951_n500#" "X5/IN" 169.135
-cap "X1/SUB" "X1/X4/XM3/a_n129_n100#" -0.636993
-cap "X5/XC4/m4_n951_n500#" "X1/SUB" -203.744
-cap "X1/S3A" "X1/X4/XM3/a_n129_n100#" -0.201848
-cap "X1/X4/XM3/a_n129_n100#" "X1/S4A" 44.7253
-cap "X10/XC4/m4_n951_n500#" "X1/X4/SUB" 113.796
-cap "X1/X4/XM3/a_n129_n100#" "X1/X4/OUTB" -769.186
-cap "X1/X4/XM3/a_n129_n100#" "X1/X4/SUB" 7.22923
-cap "X8/m1_4700_270#" "X1/X4/OUTB" 29.2709
-cap "X11/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 40.5957
-cap "X10/XC4/m4_n951_n500#" "X1/S3B" 29.2709
-cap "X1/S3A" "X1/S4A" 0.0190697
-cap "X1/S4A" "X1/GND" 106.723
-cap "X1/S4B" "X10/XC4/m4_n951_n500#" 359.955
-cap "X10/XC4/m4_n951_n500#" "X8/m1_4700_270#" 95.445
-cap "X1/S4A" "X1/X4/OUTB" -580.815
-cap "X1/X4/OUTB" "X5/XC4/c2_n851_n400#" 5.52975
-cap "X1/S3A" "X10/XC4/m4_n951_n500#" 191.175
-cap "X1/S4A" "X1/X4/SUB" 54.7641
-cap "X1/X4/OUTB" "X1/X4/SUB" -241.007
-cap "X1/S3A" "X1/X4/XM3/a_n129_n100#" 16.6162
-cap "X11/XC4/m4_n951_n500#" "X1/S4A" 1.14194
-cap "X1/S4A" "X10/XC4/m4_n951_n500#" 1964.45
-cap "X10/XC4/m4_n951_n500#" "X1/X4/OUTB" 138.592
-cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 40.5957
-cap "X1/S4A" "X1/GND" -483.94
-cap "X10/XC4/m4_n951_n500#" "X1/S4A" 5.9
-cap "X11/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 40.5957
-cap "X1/X4/XM2/a_n129_n100#" "X1/S4A" 0.503945
-cap "X1/S4A" "X1/BIAS" 202.609
-cap "X10/IN" "X1/S4A" 34.4145
-cap "X11/XC4/m4_n951_n500#" "X1/S4A" 231.078
-cap "X9/m1_4700_270#" "X1/S4A" 20.6707
-cap "X11/XC4/m4_n951_n500#" "X9/m1_4700_270#" 59.6381
-cap "X11/XC4/m4_n951_n500#" "X1/S3A" 20.6707
-cap "X1/VDD" "X1/S4A" 106.61
-cap "X11/IN" "X11/XC4/m4_n951_n500#" 153.617
-cap "X9/IN" "X11/XC4/m4_n951_n500#" 8.60017
-cap "X9/m1_4700_270#" "X11/XC4/m4_n951_n500#" 35.8069
-cap "X9/m1_4700_270#" "X11/IN" 8.60017
-cap "bias_calc_0/VDD" "bias_calc_0/XM36/a_803_n100#" -1.81899e-12
-cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" -5.36602e-11
-cap "bias_calc_0/VDD" "bias_calc_0/XR20/a_n415_n1702#" -3.55271e-15
-cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" -3.63798e-12
-cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/VDD" -3.41061e-13
-cap "bias_calc_0/VDD" "bias_calc_0/XM36/a_803_n100#" 7.95808e-13
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" 9.90493
-cap "bias_calc_0/VDD" "bias_calc_0/XM1/a_n733_n100#" 3.33067e-15
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/XM1/a_n733_n100#" -45.2725
-cap "bias_calc_0/BIAS2V" "bias_calc_0/XM1/a_n733_n100#" 43.6291
-cap "bias_calc_0/XM1/a_n733_n100#" "bias_calc_0/VDD" 41.877
-cap "X3/BIAS" "bias_calc_0/AMP" 8.28902
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/AMP" -208.856
-cap "bias_calc_0/BIAS2V" "bias_calc_0/AMP" 11.8633
-cap "bias_calc_0/VDD" "bias_calc_0/AMP" 30.9149
-cap "bias_calc_0/XR19/a_n285_760#" "X3/BIAS" 0.492657
-cap "bias_calc_0/BIAS2V" "X3/BIAS" 19.4943
-cap "X3/BIAS" "bias_calc_0/VDD" 4.02581
-cap "X3/X5/GND" "bias_calc_0/XR19/a_n285_760#" 9.26398
-cap "X3/X5/GND" "bias_calc_0/VDD" 1.56069
-cap "bias_calc_0/XM1/a_n733_n100#" "bias_calc_0/AMP" 51.6526
-cap "bias_calc_0/BIAS2V" "bias_calc_0/XR19/a_n285_760#" -2.35368
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" -19.0569
-cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" 133.856
-cap "bias_calc_0/XM1/a_n733_n100#" "X3/BIAS" 5.62821
-cap "X3/X5/GND" "bias_calc_0/XM1/a_n733_n100#" 1.25723
-cap "bias_calc_0/AMP" "bias_calc_0/XR19/a_n285_760#" 91.4526
-cap "bias_calc_0/VDD" "bias_calc_0/AMP" 9.17939
-cap "bias_calc_0/PSUB" "bias_calc_0/XR19/a_n285_760#" 6.80126
-cap "X3/BIAS" "bias_calc_0/BIAS2V" 19.4943
-cap "bias_calc_0/AMP" "X3/BIAS" 29.5433
-cap "bias_calc_0/AMP" "bias_calc_0/XM1/a_n733_n100#" 10.6499
-cap "bias_calc_0/XM1/a_n733_n100#" "bias_calc_0/PSUB" 11.7263
-cap "X3/X5/GND" "bias_calc_0/XR19/a_n285_760#" 26.3477
-cap "X3/BIAS" "X3/X5/GND" 58.5375
-cap "bias_calc_0/XM1/a_n733_n100#" "X3/X5/GND" 1.25723
-cap "bias_calc_0/VDD" "X3/BIAS" 4.02581
-cap "X3/BIAS" "bias_calc_0/XR19/a_n285_760#" 4.4391
-cap "bias_calc_0/AMP" "bias_calc_0/BIAS2V" 11.8633
-cap "bias_calc_0/AMP" "bias_calc_0/PSUB" -120.304
-cap "bias_calc_0/XM1/a_n733_n100#" "bias_calc_0/XR19/a_n285_760#" 13.9288
-cap "bias_calc_0/XM1/a_n733_n100#" "X3/BIAS" 10.3888
-cap "bias_calc_0/AMP" "X3/X5/GND" 565.876
-cap "X3/X5/GND" "bias_calc_0/PSUB" 1.56069
-cap "X3/X5/GND" "bias_calc_0/XM31/a_483_n100#" 1.4141
-cap "bias_calc_0/AMP" "X3/X5/GND" 411.917
-cap "X3/X5/GND" "X3/BIAS" 3.26584
-cap "GND" "X3/m2_20210_20620#" 53.0904
-cap "X3/m2_20210_20620#" "GND" 86.5931
-cap "X3/m2_20210_20620#" "GND" 131.133
-cap "X3/X5/VDD" "X3/X1/GND" -32.7116
-cap "GND" "X3/X1/GND" 49.5497
-cap "X3/X5/XR18/a_n285_4200#" "X3/X1/XM1/a_447_n100#" 3.40774
-cap "X3/OUT180" "m1_46335_31170#" 0.982992
-cap "X3/BIAS" "m1_46335_31170#" 385.773
-cap "X3/X1/XM1/a_447_n100#" "X3/BIAS" -91.8087
-cap "X3/X1/XM1/a_447_n100#" "m1_46335_31170#" 312.069
-cap "X3/X5/XR18/a_n285_4200#" "m1_46335_31170#" 17.7246
-cap "X3/X1/GND" "X3/X1/BIAS" 536.585
-cap "X3/X4/BIAS" "X3/X4/GND" 0.963127
-cap "X3/X4/BIAS" "X3/X4/GND" 38.5524
-cap "X3/I4B" "X3/X4/GND" 1.77636e-15
-cap "X3/X4/XM2/a_n73_n100#" "X3/X4/GND" -7.27596e-12
-cap "X3/X4/GND" "VDD" -167.883
-cap "X3/X4/GND" "X3/X4/OUTB" -1.42109e-14
-cap "X1/X1/XM4/a_n945_n188#" "VDD" 49.4107
-cap "X1/X1/XR17/a_n415_n1322#" "GND" -42.9347
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XR17/a_n285_n1192#" 32.2623
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XM4/a_n945_n188#" -2059.95
-cap "X1/X1/XM4/a_n945_n188#" "GND" 24.9756
-cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/XM2/a_n73_n100#" 1.77636e-14
-cap "X1/X1/XR17/a_n415_n1322#" "X4/IN" 0.325581
-cap "X1/X1/XM4/a_n225_n100#" "X1/X1/XM4/a_n945_n188#" 0.360544
-cap "GND" "X4/IN" 89.4758
-cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/OUTA" 3.62949
-cap "X1/X1/XR17/a_n415_n1322#" "VDD" 606.814
-cap "X4/XC3/m4_n651_n500#" "X1/X1/BIAS" -18.0203
-cap "X4/XC3/m4_n651_n500#" "X1/X1/XR17/a_n415_n1322#" -207.957
-cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" 545.209
-cap "X1/X1/BIAS" "X1/X1/XR17/a_n285_n1192#" 16.1544
-cap "X3/X4/OUTA" "X1/X1/GND" 3.17295
-cap "X4/XC3/m4_n651_n500#" "GND" 5.84316
-cap "X4/XC4/m4_n951_n500#" "X1/X1/GND" -118.142
-cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/GND" 20.679
-cap "X1/X1/BIAS" "X1/X1/XM3/a_n129_n100#" 11.0529
-cap "X4/IN" "X5/IN" 20.0541
-cap "X4/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 0.88673
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XM3/a_n129_n100#" 2.83264
-cap "X1/X1/XM3/a_n129_n100#" "X1/X1/GND" 3.71903
-cap "X4/IN" "X1/X1/BIAS" -709.158
-cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 77.0673
-cap "X4/IN" "X1/X1/GND" -291.045
-cap "X4/IN" "GND" 306.069
-cap "X4/XC3/m4_n651_n500#" "X1/X1/XM3/a_n129_n100#" -388.494
-cap "X4/IN" "X4/XC3/m4_n651_n500#" 25.3213
-cap "X4/IN" "X4/XC4/m4_n951_n500#" 12.5576
-cap "X1/X1/BIAS" "X1/X1/XR17/a_n415_n1322#" 107.976
-cap "X1/X1/BIAS" "X1/X1/GND" -41.2334
-cap "X1/X1/BIAS" "GND" 12.9593
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/GND" 130.471
-cap "X1/X1/XR17/a_n415_n1322#" "GND" 72.413
-cap "X1/X1/GND" "GND" -147.126
-cap "GND" "X4/XC3/m4_n651_n500#" 5.84316
-cap "X4/XC4/m4_n951_n500#" "X5/XC3/m4_n651_n500#" 33.7724
-cap "X5/XC4/m4_n951_n500#" "X5/IN" 0.951613
-cap "X4/XC4/m4_n951_n500#" "X1/X1/GND" 1924.91
-cap "X4/IN" "GND" 34.6492
-cap "X4/XC4/m4_n951_n500#" "X4/IN" -63.9452
-cap "X1/X1/XM3/a_n129_n100#" "X4/XC3/m4_n651_n500#" 363.857
-cap "X4/XC4/m4_n951_n500#" "X5/IN" 8.45878
-cap "X1/X1/XM3/a_n129_n100#" "X1/X1/GND" 5.24646
-cap "X5/XC3/m4_n651_n500#" "X4/XC3/m4_n651_n500#" 12.2732
-cap "X1/X1/GND" "X4/XC3/m4_n651_n500#" -2424.84
-cap "X1/X1/BIAS" "X4/XC4/m4_n951_n500#" 19.9949
-cap "X5/IN" "X5/XC3/m4_n651_n500#" 1.77889
-cap "X4/IN" "X1/X1/GND" -1907
-cap "X1/X1/XM3/a_n129_n100#" "X1/SUB" 2.83264
-cap "X5/IN" "X4/XC3/m4_n651_n500#" 9.27669
-cap "X4/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 24.2705
-cap "X1/X1/BIAS" "X1/X1/XM3/a_n129_n100#" 0.812963
-cap "X1/SUB" "X1/X1/GND" 2.77435
-cap "X4/IN" "X5/IN" 83.582
-cap "X1/X1/BIAS" "X4/XC3/m4_n651_n500#" -1909.97
-cap "X1/X1/BIAS" "X1/X1/GND" -7811.73
-cap "X1/X1/BIAS" "X4/IN" -5334.45
-cap "X4/XC4/m4_n951_n500#" "X1/X1/XM3/a_n129_n100#" 1425.53
-cap "X1/X1/BIAS" "X1/SUB" 10.1911
-cap "X5/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 18.1
-cap "X4/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 5.8717
-cap "X1/SUB" "X5/XC3/m4_n651_n500#" 43.2899
-cap "X5/XC4/m4_n951_n500#" "X4/XC4/m4_n951_n500#" 24.2705
-cap "X5/IN" "X1/S4B" 6.11117
-cap "X5/XC4/m4_n951_n500#" "X1/S4B" -0.847283
-cap "X4/XC3/m4_n651_n500#" "X5/IN" 9.27669
-cap "X5/XC4/m4_n951_n500#" "X5/IN" 41.5446
-cap "X1/SUB" "X5/IN" 171.279
-cap "X5/XC4/m4_n951_n500#" "X1/SUB" -72.553
-cap "X5/XC3/m4_n651_n500#" "X4/XC4/m4_n951_n500#" 15.0215
-cap "X5/XC3/m4_n651_n500#" "X1/S4B" 9.27669
-cap "X4/IN" "X5/IN" 95.7134
-cap "X5/XC3/m4_n651_n500#" "X5/IN" 44.5556
-cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 6.13659
-cap "X4/XC4/m4_n951_n500#" "X5/IN" 2.26923
-cap "X1/S4A" "X1/GND" 0.120004
-cap "X1/GND" "X1/S4B" 403.868
-cap "X1/S4B" "X10/XC3/m4_n651_n500#" 28.9926
-cap "X5/IN" "X1/S4B" 104.948
-cap "X1/S4A" "X1/S4B" 20.0541
-cap "X10/XC4/m4_n951_n500#" "X1/S4B" 8.96003
-cap "X1/SUB" "X5/XC4/m4_n951_n500#" 305.106
-cap "X1/BIAS" "X10/XC3/m4_n651_n500#" 0.769519
-cap "X1/BIAS" "X1/GND" 0.0932498
-cap "X10/XC3/m4_n651_n500#" "X5/XC4/m4_n951_n500#" 33.7724
-cap "X5/IN" "X5/XC4/m4_n951_n500#" -134.417
-cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 6.13659
-cap "X10/XC3/m4_n651_n500#" "X1/X4/XM3/a_n129_n100#" 437.292
-cap "X1/GND" "X1/X4/XM3/a_n129_n100#" 0.183649
-cap "X1/SUB" "X1/GND" 0.00183671
-cap "X11/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 0.88673
-cap "X1/BIAS" "X1/S4B" 12.2395
-cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 24.2705
-cap "X1/S4B" "X5/XC4/m4_n951_n500#" -1199.05
-cap "X1/S4A" "X1/X4/XM3/a_n129_n100#" 0.48561
-cap "X1/GND" "X10/XC3/m4_n651_n500#" 115.405
-cap "X1/S4B" "X5/XC3/m4_n651_n500#" 9.27669
-cap "X1/S4B" "X1/X4/XM3/a_n129_n100#" 543.043
-cap "X11/XC3/m4_n651_n500#" "X1/S4A" 2.14762
-cap "X1/S4A" "X10/XC4/m4_n951_n500#" 247.318
-cap "X1/S4A" "X1/X4/SUB" 12.3363
-cap "X11/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 24.2705
-cap "X10/XC3/m4_n651_n500#" "X1/GND" -648.393
-cap "X1/BIAS" "X1/X4/OUTB" -1055.85
-cap "X10/XC4/m4_n951_n500#" "X1/X4/OUTB" -84.2197
-cap "X11/XC3/m4_n651_n500#" "X10/XC4/m4_n951_n500#" 33.7724
-cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 3.19231
-cap "X1/X4/SUB" "X10/XC4/m4_n951_n500#" 50.0704
-cap "X1/X4/XM3/a_n129_n100#" "X1/S4A" 0.773635
-cap "X10/XC3/m4_n651_n500#" "X1/S4A" 9.27669
-cap "X1/X4/XM3/a_n129_n100#" "X1/X4/OUTB" -8212.28
-cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 24.2705
-cap "X11/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 12.2732
-cap "X10/XC3/m4_n651_n500#" "X1/BIAS" -354.385
-cap "X10/XC3/m4_n651_n500#" "X1/X4/SUB" 18.1466
-cap "X5/XC4/c2_n851_n400#" "X1/X4/OUTB" 33.1173
-cap "X1/S4A" "X1/GND" 64.5464
-cap "X10/XC3/m4_n651_n500#" "X5/XC4/m4_n951_n500#" 4.7729
-cap "X1/GND" "X1/X4/OUTB" -3491.19
-cap "X10/XC3/m4_n651_n500#" "X1/X4/XM3/a_n129_n100#" -3099.54
-cap "X11/XC3/m4_n651_n500#" "X1/GND" 21.7415
-cap "X1/GND" "X10/XC4/m4_n951_n500#" 1108.04
-cap "X1/S4A" "X11/XC4/m4_n951_n500#" 1.52258
-cap "X1/S4A" "X1/X4/OUTB" 77.8733
-cap "X1/S4A" "X1/X4/XM2/a_n129_n100#" 0.946047
-cap "X1/S4A" "X1/BIAS" 178.326
-cap "X1/S4A" "X1/VDD" 72.7223
-cap "X10/XC3/m4_n651_n500#" "X11/XC3/m4_n651_n500#" 5.8717
-cap "X10/XC4/m4_n951_n500#" "X11/XC4/m4_n951_n500#" 24.2705
-cap "X11/XC3/m4_n651_n500#" "X1/GND" -10.2508
-cap "X1/S4A" "X10/XC4/m4_n951_n500#" 22.2385
-cap "X1/S4A" "X11/XC3/m4_n651_n500#" 21.745
-cap "X1/VDD" "X11/XC3/m4_n651_n500#" 17.5154
-cap "X11/XC3/m4_n651_n500#" "X1/BIAS" 35.4125
-cap "X1/S4A" "X10/IN" 96.9395
-cap "X10/XC4/m4_n951_n500#" "X11/XC3/m4_n651_n500#" 15.0215
-cap "X1/S4A" "X10/XC3/m4_n651_n500#" 9.27669
-cap "X1/S4A" "X11/XC4/m4_n951_n500#" -4.62719
-cap "X1/S4A" "X1/GND" -307.88
-cap "X11/IN" "X11/XC4/m4_n951_n500#" -91.2285
-cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/VDD" 8.88178e-16
-cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" 10.9047
-cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/VDD" 8.95845
-cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/BIAS2V" 9.02079
-cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" 1.33227e-15
-cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/BIAS2V" 9.65109
-cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/BIAS2V" 8.00768
-cap "bias_calc_0/BIAS2V" "bias_calc_0/XR19/a_n285_760#" 39.9277
-cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/VDD" 33.8654
-cap "bias_calc_0/VDD" "bias_calc_0/XM36/a_803_n100#" 17.3242
-cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" 54.6311
-cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" 365.831
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" 17.0303
-cap "X3/X5/GND" "bias_calc_0/VDD" 3.35235
-cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" -2.31716
-cap "bias_calc_0/BIAS2V" "bias_calc_0/XM3/a_803_n100#" -2.22045e-16
-cap "X3/X5/GND" "bias_calc_0/XR19/a_n285_760#" 2.79933
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/BIAS2V" -3.39719
-cap "bias_calc_0/BIAS2V" "X3/BIAS" 9.05094
-cap "X3/BIAS" "X3/X5/GND" 49.3863
-cap "bias_calc_0/AMP" "X3/X5/GND" 11.6228
-cap "bias_calc_0/BIAS2V" "X3/BIAS" 9.05094
-cap "bias_calc_0/XR19/a_n285_760#" "X3/X5/GND" 10.137
-cap "bias_calc_0/AMP" "X3/X5/GND" 6.98869
-cap "X3/BIAS" "X3/X5/GND" 3.26584
-cap "X3/X5/XR18/a_n415_n4762#" "GND" 363.479
-cap "X3/m2_20210_20620#" "GND" 53.0904
-cap "GND" "X3/X5/XR18/a_n415_n4762#" 363.479
-cap "X3/m2_20210_20620#" "GND" 86.5931
-cap "X3/BIAS" "GND" -102.555
-cap "X3/m2_20210_20620#" "GND" 131.133
-cap "X3/X5/XR18/a_n415_n4762#" "GND" 665.448
-cap "GND" "X3/SUB" 547.747
-cap "X3/BIAS" "GND" 301.854
-cap "GND" "X3/X1/GND" 49.5497
-cap "X3/BIAS" "X3/SUB" 62.9389
-cap "X3/X1/GND" "X3/X1/BIAS" 704.6
-cap "X1/X1/XR17/a_n415_n1322#" "GND" -97.8682
-cap "X1/X1/XR17/a_n285_n1192#" "X4/IN" -242.642
-cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/m1_3550_1144#" 0.307197
-cap "X1/X1/XR17/a_n285_n1192#" "VDD" -2.44899
-cap "X1/X1/XR17/a_n285_n1192#" "X4/XC3/m4_n651_n500#" -115.109
-cap "VDD" "X1/X1/XR17/a_n415_n1322#" 50.4206
-cap "GND" "X4/IN" 89.4758
-cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/XR17/a_n415_n1322#" -394.671
-cap "X1/X1/XR17/a_n285_n1192#" "GND" -10.5993
-cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/XM4/a_n945_n188#" 7.6695
-cap "X4/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 0.88673
-cap "X4/IN" "X1/X1/XR17/a_n285_n1192#" 185.933
-cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/XR17/a_n415_n1322#" 298.528
-cap "X5/IN" "X4/IN" 44.333
-cap "X4/XC3/m4_n651_n500#" "X4/IN" -46.5146
-cap "X4/XC3/m4_n651_n500#" "X1/X1/XR17/a_n415_n1322#" 70.3498
-cap "X4/IN" "GND" 306.069
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XM4/a_n989_n100#" 1.52706
-cap "X4/XC3/m4_n651_n500#" "X1/X1/XR17/a_n285_n1192#" 507.521
-cap "X1/X1/GND" "X1/X1/XR17/a_n415_n1322#" 100.708
-cap "X4/IN" "X4/XC2/m4_n651_n300#" -8.83551
-cap "X1/X1/GND" "X4/IN" 75.88
-cap "GND" "X1/X1/XR17/a_n285_n1192#" -32.5047
-cap "X4/XC3/m4_n651_n500#" "GND" 29.2158
-cap "X1/X1/BIAS" "X1/X1/XR17/a_n415_n1322#" 4.44119
-cap "X1/X1/GND" "X1/X1/XR17/a_n285_n1192#" -10.9335
-cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" 18.5231
-cap "X1/X1/XR17/a_n285_n1192#" "X4/XC2/m4_n651_n300#" 283.799
-cap "GND" "X4/XC2/m4_n651_n300#" 8.29238
-cap "X1/X1/GND" "X1/X1/XM4/a_n989_n100#" 14.0825
-cap "X1/X1/XR17/a_n285_n1192#" "X5/ctrll5" 4.62123
-cap "X1/X1/BIAS" "X1/X1/XR17/a_n285_n1192#" 18.7048
-cap "X5/XC2/m4_n651_n300#" "X4/XC2/m4_n651_n300#" 0.88673
-cap "X4/XC3/m4_n651_n500#" "X1/X1/BIAS" -65.2741
-cap "X1/X1/GND" "X1/X1/BIAS" 0.914252
-cap "X1/X1/BIAS" "X4/XC2/m4_n651_n300#" -151.367
-cap "X1/X1/BIAS" "X5/ctrll5" 0.566803
-cap "X1/X1/VDD" "X4/XM5/a_n225_n100#" 239.055
-cap "X5/IN" "X4/XC3/m4_n651_n500#" 46.3835
-cap "X1/X1/SUB" "X4/XM5/a_n317_n100#" 1.83607
-cap "X1/X1/VDD" "X1/X1/GND" 0.515124
-cap "X1/X1/XM4/a_n989_n100#" "X1/X1/BIAS" -0.151908
-cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" -1546.68
-cap "X4/XM5/a_n225_n100#" "X1/X1/GND" 805.545
-cap "X1/X1/BIAS" "X5/ctrll5" 9.68539
-cap "X5/XC2/m4_n651_n300#" "X4/XC2/m4_n651_n300#" 19.0671
-cap "X1/X1/XM4/a_n989_n100#" "X5/ctrll5" 4.74992
-cap "X1/X1/SUB" "X1/X1/BIAS" 2.04822
-cap "X1/X1/VDD" "X5/XC2/m4_n651_n300#" -1435.45
-cap "X1/X1/XM4/a_n989_n100#" "X1/X1/SUB" 3.36313
-cap "X4/XC3/m4_n651_n500#" "X5/XC2/m4_n651_n300#" 27.302
-cap "X1/X1/BIAS" "X4/XC2/m4_n651_n300#" -519.018
-cap "GND" "X4/XC2/m4_n651_n300#" 8.29238
-cap "X4/XM5/a_n225_n100#" "X5/XC2/m4_n651_n300#" 48.0138
-cap "X1/X1/VDD" "X5/XC3/m4_n651_n500#" -581.758
-cap "X1/X1/VDD" "X1/X1/BIAS" 38.51
-cap "X4/XC3/m4_n651_n500#" "X1/X1/BIAS" -5104.13
-cap "X4/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 73.329
-cap "X1/X1/VDD" "X5/ctrll5" 0.570379
-cap "X4/XC3/m4_n651_n500#" "GND" 29.2158
-cap "X5/XC3/m4_n651_n500#" "X4/XM5/a_n225_n100#" 169.698
-cap "X1/X1/XM4/a_n989_n100#" "X4/XC3/m4_n651_n500#" 998.129
-cap "X1/X1/BIAS" "X4/XM5/a_n225_n100#" 18.8072
-cap "X1/X1/XM4/a_n989_n100#" "X4/XM5/a_n225_n100#" 1.78465
-cap "X5/XC3/m4_n651_n500#" "X1/X1/GND" -514.564
-cap "X1/X1/SUB" "X4/XC3/m4_n651_n500#" -253.108
-cap "X1/X1/BIAS" "X1/X1/GND" 238.199
-cap "X1/X1/XM4/a_n989_n100#" "X1/X1/GND" 13.9361
-cap "X1/X1/VDD" "X4/XC2/m4_n651_n300#" -127.491
-cap "X5/IN" "X4/XC2/m4_n651_n300#" 13.1651
-cap "X1/X1/SUB" "X1/X1/GND" 17.7008
-cap "X4/XM5/a_n317_n100#" "X1/X1/BIAS" 2.35191
-cap "X4/XC3/m4_n651_n500#" "X1/X1/VDD" 196.075
-cap "X1/X1/XM4/a_n989_n100#" "X4/XM5/a_n317_n100#" 0.355455
-cap "X5/IN" "X1/X1/VDD" -233.462
-cap "X1/X1/VDD" "X1/X1/SUB" -0.144337
-cap "X5/IN" "X4/XC2/m4_n651_n300#" 13.1651
-cap "X4/XC2/m4_n651_n300#" "X5/XC2/m4_n651_n300#" 9.08563
-cap "X5/XC3/m4_n651_n500#" "X1/X1/SUB" 90.6152
-cap "X1/X1/VDD" "X5/XM5/a_n225_n100#" -148.805
-cap "X4/XM5/a_n225_n100#" "X5/XC2/m4_n651_n300#" 21.3558
-cap "X5/XC3/m4_n651_n500#" "X4/XM5/a_n225_n100#" 75.491
-cap "X5/IN" "X4/IN" 44.333
-cap "X5/IN" "X1/X1/GND" 179.527
-cap "X5/IN" "X5/XC2/m4_n651_n300#" 24.4203
-cap "X5/XC3/m4_n651_n500#" "X1/X1/GND" 144.306
-cap "X1/X1/VDD" "X5/XC2/m4_n651_n300#" 1213.65
-cap "X1/X1/VDD" "X5/IN" 87.8442
-cap "X5/XC3/m4_n651_n500#" "X5/IN" 68.9613
-cap "X5/XC2/m4_n651_n300#" "X10/IN" 13.1651
-cap "X5/IN" "X10/IN" 44.333
-cap "X5/XC3/m4_n651_n500#" "X1/X1/VDD" 433.144
-cap "X5/XC3/m4_n651_n500#" "X10/IN" 46.3835
-cap "X1/X1/SUB" "X5/XM5/a_n317_n100#" 0.35309
-cap "X5/IN" "X4/XC3/m4_n651_n500#" 46.3835
-cap "X4/XC3/m4_n651_n500#" "X5/XC2/m4_n651_n300#" 12.7509
-cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 32.9122
-cap "X5/XC3/m4_n651_n500#" "X4/XC3/m4_n651_n500#" 34.7241
-cap "X10/XC2/m4_n651_n300#" "X5/XC2/m4_n651_n300#" 9.53354
-cap "X1/X1/VDD" "X5/ctrll5" 9.66151
-cap "X1/X1/SUB" "X1/X1/GND" -0.0113404
-cap "X5/XC3/m4_n651_n500#" "X10/XC2/m4_n651_n300#" 10.4849
-cap "X1/X4/XM4/a_n989_n100#" "X10/XC3/m4_n651_n500#" 858.358
-cap "X5/XM5/a_n225_n100#" "X1/X4/SUB" 157.97
-cap "X1/X4/BIAS" "X1/X4/GND" -0.541924
-cap "X11/IN" "X10/IN" 44.333
-cap "X5/IN" "X10/IN" 44.333
-cap "X1/X4/VDD" "X10/XC2/m4_n651_n300#" -46.8946
-cap "X1/X4/GND" "X11/XC3/m4_n651_n500#" -301.465
-cap "X1/X4/XM4/a_n989_n100#" "X10/IN" 5.80541
-cap "X1/X4/BIAS" "X10/XC3/m4_n651_n500#" 31.7032
-cap "X1/X4/VDD" "X10/XC3/m4_n651_n500#" -192.759
-cap "X5/XM5/a_n225_n100#" "X10/XC2/m4_n651_n300#" 48.0138
-cap "X5/XM5/a_n225_n100#" "X1/X4/GND" 274.934
-cap "X11/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 0.88673
-cap "X1/X4/BIAS" "X10/IN" 24.3935
-cap "X1/X4/VDD" "X10/IN" 118.195
-cap "X5/XM5/a_n225_n100#" "X10/XC3/m4_n651_n500#" 169.698
-cap "X1/X4/XM4/a_n989_n100#" "X5/ctrll5" 3.14172
-cap "X5/XC3/m4_n651_n500#" "X10/XC2/m4_n651_n300#" 27.302
-cap "X5/XC3/m4_n651_n500#" "X1/X4/GND" -264.87
-cap "X1/X4/BIAS" "X5/ctrll5" 6.88625
-cap "X1/X4/VDD" "X5/ctrll5" 3.03814
-cap "X5/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 52.8121
-cap "X1/X4/SUB" "X1/X4/GND" -0.0485596
-cap "X5/XC3/m4_n651_n500#" "X10/IN" 46.3835
-cap "X5/XC2/m4_n651_n300#" "X10/XC2/m4_n651_n300#" 9.53354
-cap "X1/X4/SUB" "X10/XC3/m4_n651_n500#" 27.9285
-cap "X1/X4/VDD" "X5/XM5/a_n225_n100#" 417.843
-cap "X10/IN" "X5/XC2/m4_n651_n300#" 13.1651
-cap "X11/XC2/m4_n651_n300#" "X10/XC2/m4_n651_n300#" 0.88673
-cap "X1/X4/GND" "X10/XC3/m4_n651_n500#" -100.655
-cap "X5/XM5/a_n317_n100#" "X1/X4/SUB" 1.48298
-cap "X10/IN" "X10/XC2/m4_n651_n300#" 24.4203
-cap "X1/X4/GND" "X10/IN" 154.686
-cap "X1/X4/VDD" "X5/XC3/m4_n651_n500#" -120.93
-cap "X10/IN" "X10/XC3/m4_n651_n500#" 68.9613
-cap "X1/X4/BIAS" "X1/X4/SUB" -1.42751
-cap "X1/X4/VDD" "X1/X4/SUB" -0.190779
-cap "X11/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 73.329
-cap "X5/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 17.2973
-cap "X1/X4/VDD" "X10/XC3/m4_n651_n500#" 560.735
-cap "X1/X4/VDD" "X1/X4/SUB" -0.331045
-cap "X1/X4/BIAS" "X10/XC3/m4_n651_n500#" -840.489
-cap "X1/X4/BIAS" "X1/X4/SUB" -0.615959
-cap "X5/XC3/m4_n651_n500#" "X10/XC2/m4_n651_n300#" 5.58748
-cap "X1/X4/GND" "X10/XM5/a_n225_n100#" 311.645
-cap "X1/X4/VDD" "X10/XC2/m4_n651_n300#" -7.16609
-cap "X1/X4/SUB" "X10/XC3/m4_n651_n500#" -194.817
-cap "X11/XC3/m4_n651_n500#" "X1/X4/GND" 80.3061
-cap "X1/X4/XM4/a_n989_n100#" "X5/ctrll5" 1.60821
-cap "X11/XC2/m4_n651_n300#" "X10/XM5/a_n225_n100#" 48.0138
-cap "X1/X4/GND" "X1/X4/BIAS" -0.243473
-cap "X5/XM5/a_n225_n100#" "X10/XC3/m4_n651_n500#" 24.0012
-cap "X11/IN" "X1/X4/VDD" -15.8688
-cap "X1/X4/GND" "X10/XC3/m4_n651_n500#" -889.653
-cap "X1/X4/SUB" "X10/XM5/a_n317_n100#" 1.83607
-cap "X11/XC2/m4_n651_n300#" "X1/X4/VDD" -3.38641
-cap "X1/X4/VDD" "X5/ctrll5" 6.63502
-cap "X1/X4/GND" "X1/X4/SUB" -0.0493443
-cap "X1/X4/BIAS" "X5/ctrll5" 3.36594
-cap "X11/IN" "X10/XC3/m4_n651_n500#" 46.3835
-cap "X5/XM5/a_n225_n100#" "X10/XC2/m4_n651_n300#" 6.78558
-cap "X11/XC2/m4_n651_n300#" "X10/XC3/m4_n651_n500#" 27.302
-cap "X11/IN" "X10/XC2/m4_n651_n300#" 13.1651
-cap "X11/XC2/m4_n651_n300#" "X10/XC2/m4_n651_n300#" 19.0671
-cap "X11/XC3/m4_n651_n500#" "X10/XM5/a_n225_n100#" 169.698
-cap "X10/XC2/m4_n651_n300#" "X5/XC2/m4_n651_n300#" 4.74808
-cap "X1/X4/VDD" "X10/XM5/a_n225_n100#" 509.511
-cap "X1/X4/XM4/a_n989_n100#" "X10/XC3/m4_n651_n500#" -7731.33
-cap "X11/XC2/m4_n651_n300#" "X10/XC3/m4_n651_n500#" 12.7509
-cap "X11/IN" "X1/X4/VDD" 14.9167
-cap "X11/XC3/m4_n651_n500#" "X1/X4/BIAS" 129.861
-cap "X10/XM5/a_n225_n100#" "X11/XC3/m4_n651_n500#" 75.491
-cap "X11/IN" "X10/XC3/m4_n651_n500#" 46.3835
-cap "X10/IN" "X11/IN" 44.333
-cap "X11/IN" "X11/XC3/m4_n651_n500#" -86.8192
-cap "X11/XC3/m4_n651_n500#" "X1/X4/VDD" 43.152
-cap "X11/XC2/m4_n651_n300#" "X1/X4/BIAS" 55.7337
-cap "X11/XC3/m4_n651_n500#" "X1/X4/GND" 4.28199
-cap "X11/XC2/m4_n651_n300#" "X10/XC2/m4_n651_n300#" 9.08563
-cap "X11/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 34.7241
-cap "X10/XM5/a_n225_n100#" "X11/XC2/m4_n651_n300#" 21.3558
-cap "X11/IN" "X11/XC2/m4_n651_n300#" -23.9884
-cap "X11/XC2/m4_n651_n300#" "X1/X4/VDD" 88.9402
-cap "X11/IN" "X1/X4/BIAS" 33.1912
-cap "X11/IN" "X10/XC2/m4_n651_n300#" 13.1651
-cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/VDD" 6.6015
-cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" 1.0743
-cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" 5.48522
-cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/VDD" 0.454386
-cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" 1.32893
-cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" 1.49468
-cap "X3/BIAS" "X3/SUB" 378.798
-cap "X3/X4/GND" "m1_50680_31080#" 704.6
-cap "X4/XC1/m4_n551_n300#" "GND" 19.4994
-cap "X3/X4/VDD" "X1/X1/XM4/a_n945_n188#" 0.289415
-cap "X4/XC2/m4_n651_n300#" "X3/X4/VDD" -114.944
-cap "X3/X4/VDD" "X4/XC3/c2_n551_n400#" -80.7643
-cap "GND" "X4/XC3/c2_n551_n400#" 89.4758
-cap "GND" "X3/X4/VDD" 7.79777
-cap "X3/X4/VDD" "X1/X1/XR17/a_n415_n1322#" 403.084
-cap "X4/XM3/a_n33_n100#" "GND" 12.771
-cap "X4/XM3/a_n33_n100#" "X5/XC2/m4_n651_n300#" 0.88673
-cap "X1/X1/BIAS" "X5/ctrll5" 0.566803
-cap "X4/m1_4820_n1420#" "X5/ctrll5" -0.00325358
-cap "GND" "X4/m1_4820_n1420#" 24.6981
-cap "X4/XM3/a_n33_n100#" "X4/XC3/c2_n551_n400#" -39.5943
-cap "X5/XC3/c2_n551_n400#" "X4/XC3/c2_n551_n400#" 8.41795
-cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/VDD" 12.7827
-cap "X4/XC3/c2_n551_n400#" "X4/m1_4820_n1420#" -145.536
-cap "X3/X4/VDD" "X5/ctrll5" 4.62123
-cap "GND" "X3/X4/VDD" -0.431196
-cap "X1/X1/BIAS" "X4/XM3/a_n33_n100#" 17.2475
-cap "X4/XM3/a_n33_n100#" "X3/X4/VDD" 239.215
-cap "X1/X1/GND" "X3/X4/VDD" 0.43242
-cap "GND" "X4/XC3/c2_n551_n400#" 306.069
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/GND" 229.072
-cap "X5/XC1/m4_n551_n300#" "X4/m1_4820_n1420#" 1.77346
-cap "X4/XM5/a_n225_n100#" "X1/X1/VDD" 57.798
-cap "X5/ctrll5" "X4/m1_4820_n1420#" 2.84395
-cap "X5/ctrll5" "X1/X1/BIAS" 9.68539
-cap "X1/X1/XR17/a_n415_n1322#" "X4/XM4/a_n81_n100#" 1.53995
-cap "X5/ctrll5" "X4/XM3/a_n33_n100#" -0.0290995
-cap "X4/XM4/a_n81_n100#" "X1/X1/VDD" 44.8246
-cap "X1/X1/XR17/a_n415_n1322#" "X4/m1_4820_n1420#" -1.30681
-cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/BIAS" 17.9919
-cap "X5/ctrll5" "X5/ctrll4" -1.43259
-cap "X1/X1/BIAS" "X1/X1/VDD" 32.8111
-cap "X5/ctrll5" "X1/X1/XM4/a_159_n100#" 4.74992
-cap "X1/X1/XR17/a_n415_n1322#" "X4/XM3/a_n33_n100#" 57.9599
-cap "X1/X1/GND" "X1/X1/VDD" 0.515124
-cap "X4/XM3/a_n33_n100#" "X1/X1/VDD" -272.421
-cap "X1/X1/VDD" "X5/XC2/m4_n651_n300#" -40.2977
-cap "X4/XM5/a_n225_n100#" "X5/XC1/m4_n551_n300#" 122.069
-cap "X4/XM5/a_n225_n100#" "X4/XM4/a_n81_n100#" 79.6215
-cap "X5/XC3/c2_n551_n400#" "X4/m1_4820_n1420#" 83.8969
-cap "X1/X1/XM4/a_159_n100#" "X1/X1/XR17/a_n415_n1322#" 2.19152
-cap "X5/XC1/m4_n551_n300#" "X4/XM4/a_n81_n100#" 69.4118
-cap "GND" "X4/m1_4820_n1420#" 24.6981
-cap "X4/XM5/a_n225_n100#" "X4/m1_4820_n1420#" -35.3611
-cap "X5/XC3/c2_n551_n400#" "X4/XM3/a_n33_n100#" 20.2754
-cap "X4/XM5/a_n225_n100#" "X1/X1/BIAS" 69.7245
-cap "X5/ctrll5" "X1/X1/XR17/a_n415_n1322#" -0.38787
-cap "X5/ctrll5" "X5/ctrll3" -0.704687
-cap "X5/XC1/m4_n551_n300#" "X4/m1_4820_n1420#" 60.8535
-cap "X5/ctrll5" "X1/X1/VDD" 0.570379
-cap "GND" "X4/XM3/a_n33_n100#" 12.771
-cap "X4/XM5/a_n225_n100#" "X5/XC2/m4_n651_n300#" 94.4298
-cap "X4/m1_4820_n1420#" "X4/XM4/a_n81_n100#" 94.0947
-cap "X1/X1/GND" "X4/XM5/a_n225_n100#" 3.85923
-cap "X1/X1/BIAS" "X4/XM4/a_n81_n100#" 56.706
-cap "X5/XC1/m4_n551_n300#" "X4/XM3/a_n33_n100#" 48.4932
-cap "X4/XM4/a_n81_n100#" "X5/XC2/m4_n651_n300#" 42.1098
-cap "X1/X1/XM4/a_159_n100#" "X4/XM5/a_n225_n100#" 0.466677
-cap "X4/XM3/a_n33_n100#" "X4/m1_4820_n1420#" 60.8827
-cap "X1/X1/BIAS" "X5/XC2/m4_n651_n300#" -64.3687
-cap "X1/X1/BIAS" "X4/XM3/a_n33_n100#" -370.88
-cap "X5/ctrll5" "X4/XM5/a_n225_n100#" 41.3005
-cap "X4/XM3/a_n33_n100#" "X5/XC2/m4_n651_n300#" 29.4192
-cap "X5/ctrll5" "X5/XC1/m4_n551_n300#" 3.62215
-cap "X5/ctrll5" "X4/XM4/a_n81_n100#" 1.18001
-cap "X5/XC3/c2_n551_n400#" "X1/X1/VDD" -35.8774
-cap "X1/X1/XR17/a_n415_n1322#" "X4/XM5/a_n225_n100#" 26.5612
-cap "X4/XM5/a_n225_n100#" "X5/m1_4820_n1420#" 54.2945
-cap "X4/XM1/a_n175_n274#" "X5/XM3/a_n33_n100#" 59.831
-cap "X5/XM5/a_n225_n100#" "X5/XM4/a_n81_n100#" -262.07
-cap "X4/XM1/a_n175_n274#" "X4/XM5/a_n225_n100#" 8.09189
-cap "X5/XC3/c2_n551_n400#" "X4/XC3/c2_n551_n400#" 8.41795
-cap "X5/XM4/a_n81_n100#" "X5/ctrll5" -58.8293
-cap "X1/X1/BIAS" "X10/XC3/c2_n551_n400#" -105.045
-cap "X1/X1/VDD" "X5/XM4/a_n81_n100#" -53.4649
-cap "X5/XM5/a_n225_n100#" "X5/m1_4820_n1420#" 6.2556
-cap "X10/XC1/m4_n551_n300#" "X5/XM4/a_n81_n100#" 26.6566
-cap "X4/XM4/a_n81_n100#" "X5/XM3/a_n33_n100#" 19.6667
-cap "X5/m1_4820_n1420#" "X5/ctrll5" 36.8724
-cap "X5/ctrll4" "X5/ctrll5" -0.303882
-cap "X1/X1/BIAS" "X10/XC2/m4_n651_n300#" -149.499
-cap "X1/X1/BIAS" "X5/XM4/a_n81_n100#" -40.4134
-cap "X4/XM1/a_n175_n274#" "X5/ctrll5" -0.369124
-cap "X5/XC3/c2_n551_n400#" "X10/XC3/c2_n551_n400#" 8.41795
-cap "X10/XC3/c2_n551_n400#" "X5/m1_4820_n1420#" 38.4368
-cap "X10/XC2/m4_n651_n300#" "X5/XM4/a_n81_n100#" 16.1717
-cap "X5/XM3/a_n33_n100#" "X4/XM5/a_n225_n100#" 53.3133
-cap "X10/XC1/m4_n551_n300#" "X5/m1_4820_n1420#" 37.1701
-cap "X4/XM1/a_n175_n274#" "X1/X1/VDD" 11.1862
-cap "X5/XC3/c2_n551_n400#" "X4/XM3/a_n33_n100#" 20.2754
-cap "X4/XM1/a_n175_n274#" "X1/X1/BIAS" 13.0133
-cap "X5/m1_4820_n1420#" "X5/XM4/a_n81_n100#" -47.4248
-cap "X4/XM3/a_n33_n100#" "X5/m1_4820_n1420#" 23.107
-cap "X4/XM1/a_n175_n274#" "X5/XM4/a_n81_n100#" -231.667
-cap "X5/XM3/a_n33_n100#" "X5/ctrll5" -0.000833203
-cap "X5/XC3/c2_n551_n400#" "X5/m1_4820_n1420#" -134.237
-cap "X5/XM3/a_n33_n100#" "X10/XC3/c2_n551_n400#" 20.2754
-cap "X1/X1/VDD" "X5/XM3/a_n33_n100#" 405.354
-cap "X4/XM1/a_n175_n274#" "X5/m1_4820_n1420#" -0.705417
-cap "X5/XM3/a_n33_n100#" "X10/XC1/m4_n551_n300#" 24.2466
-cap "X1/X1/BIAS" "X5/XM3/a_n33_n100#" -10.3123
-cap "X5/XM3/a_n33_n100#" "X10/XC2/m4_n651_n300#" 14.7096
-cap "X4/XM3/a_n33_n100#" "X5/XM3/a_n33_n100#" 14.0183
-cap "X4/XM4/a_n81_n100#" "X5/m1_4820_n1420#" 32.4176
-cap "X1/X1/VDD" "X5/XM5/a_n225_n100#" -29.138
-cap "X5/XC3/c2_n551_n400#" "X5/XM3/a_n33_n100#" 11.6121
-cap "X5/XM3/a_n33_n100#" "X5/m1_4820_n1420#" 60.8802
-cap "X1/X1/VDD" "X5/ctrll5" 9.66151
-cap "X5/XC3/c2_n551_n400#" "X4/m1_4820_n1420#" 83.8969
-cap "X5/m1_4820_n1420#" "X4/m1_4820_n1420#" 42.5006
-cap "X5/XM3/a_n33_n100#" "X10/m1_4820_n1420#" 24.2466
-cap "X1/X4/BIAS" "X5/XM5/a_n225_n100#" 70.1521
-cap "X1/X4/BIAS" "X5/ctrll5" 6.88625
-cap "X11/XC2/m4_n651_n300#" "X10/XM3/a_n33_n100#" 0.88673
-cap "X5/XM3/a_n33_n100#" "X10/XM3/a_n33_n100#" 14.7096
-cap "X1/X4/BIAS" "X1/X4/VDD" -5.36708
-cap "X5/ctrll5" "X5/XM5/a_n225_n100#" 42.8713
-cap "X10/XC3/c2_n551_n400#" "X10/m1_4820_n1420#" -134.237
-cap "X1/X4/BIAS" "X5/XM4/a_n81_n100#" -5.14177
-cap "X1/X4/BIAS" "X1/X1/XR16/a_n415_n1322#" 2.65085
-cap "X5/XM5/a_n225_n100#" "X5/m1_4820_n1420#" -35.1914
-cap "X5/XM5/a_n225_n100#" "X1/X4/VDD" 67.0361
-cap "X5/ctrll5" "X5/m1_4820_n1420#" 104.938
-cap "X10/XC3/c2_n551_n400#" "X10/XM3/a_n33_n100#" 11.6121
-cap "X5/ctrll5" "X1/X4/VDD" 3.03814
-cap "X5/XM4/a_n81_n100#" "X5/XM5/a_n225_n100#" 79.9032
-cap "X10/XC3/c2_n551_n400#" "X5/XM3/a_n33_n100#" 20.2754
-cap "X5/XM4/a_n81_n100#" "X5/ctrll5" 144.544
-cap "X1/X1/XR16/a_n415_n1322#" "X5/XM5/a_n225_n100#" 8.42492
-cap "X5/ctrll5" "X1/X1/XR16/a_n415_n1322#" 1316.11
-cap "X5/XM4/a_n81_n100#" "X5/m1_4820_n1420#" 94.0947
-cap "X5/XM4/a_n81_n100#" "X1/X4/VDD" 180.812
-cap "X1/X4/BIAS" "X10/XM3/a_n33_n100#" 170.865
-cap "X1/X1/XR16/a_n415_n1322#" "X1/X4/VDD" 2.27868
-cap "X11/XC1/m4_n551_n300#" "X10/m1_4820_n1420#" 1.77346
-cap "X5/XM5/a_n225_n100#" "X10/m1_4820_n1420#" 122.069
-cap "X5/XM4/a_n81_n100#" "X1/X1/XR16/a_n415_n1322#" -0.736229
-cap "X5/ctrll5" "X10/m1_4820_n1420#" 3.49446
-cap "X5/XM5/a_n225_n100#" "X10/XM3/a_n33_n100#" 94.4298
-cap "X5/ctrll5" "X5/ctrll4" -1.845
-cap "X5/m1_4820_n1420#" "X10/m1_4820_n1420#" 43.118
-cap "X5/XM4/a_n81_n100#" "X10/m1_4820_n1420#" 69.4118
-cap "X1/X4/VDD" "X10/XM3/a_n33_n100#" 179.102
-cap "X10/XC3/c2_n551_n400#" "X5/XC3/c2_n551_n400#" 8.41795
-cap "X5/ctrll5" "X5/ctrll3" -1.025
-cap "X5/XM4/a_n81_n100#" "X10/XM3/a_n33_n100#" 42.1098
-cap "X5/ctrll5" "X1/X4/XM4/a_159_n100#" 3.14172
-cap "X10/XC3/c2_n551_n400#" "X5/m1_4820_n1420#" 167.704
-cap "X10/XC3/c2_n551_n400#" "X11/XC3/c2_n551_n400#" 8.41795
-cap "X1/X4/GND" "X5/XM5/a_n225_n100#" 3.85923
-cap "X5/ctrll4" "X5/ctrll5" -1.51941
-cap "X5/m1_4820_n1420#" "X10/m1_4820_n1420#" 25.3826
-cap "X1/X4/BIAS" "X1/X4/VDD" -1.935
-cap "X1/X4/BIAS" "X5/ctrll5" 3.36594
-cap "X1/X4/XR17/a_n415_n1322#" "X1/X4/VDD" 9.9951
-cap "X1/X4/XR17/a_n415_n1322#" "X5/ctrll5" -0.812709
-cap "X11/XC1/m4_n551_n300#" "X10/XM4/a_n81_n100#" 69.4118
-cap "X10/XM5/a_n225_n100#" "X10/m1_4820_n1420#" -35.2035
-cap "X10/XM5/a_n225_n100#" "X1/X4/GND" 3.95441
-cap "X10/XM4/a_n81_n100#" "X1/X4/VDD" 45.1606
-cap "X10/XM5/a_n225_n100#" "X1/X4/BIAS" 70.9987
-cap "X5/ctrll3" "X5/ctrll5" -0.576562
-cap "X10/XM5/a_n225_n100#" "X11/XC2/m4_n651_n300#" 94.4298
-cap "X5/ctrll5" "X10/XM4/a_n81_n100#" 1.1999
-cap "X10/XM5/a_n225_n100#" "X1/X4/XR17/a_n415_n1322#" 8.42492
-cap "X10/XM3/a_n33_n100#" "X5/XM5/a_n225_n100#" 30.8406
-cap "X10/XM3/a_n33_n100#" "X11/XC3/c2_n551_n400#" 20.2754
-cap "X5/XM5/a_n225_n100#" "X10/m1_4820_n1420#" 17.2515
-cap "X11/XC3/c2_n551_n400#" "X10/m1_4820_n1420#" 83.8969
-cap "X10/XM3/a_n33_n100#" "X10/m1_4820_n1420#" 60.8802
-cap "X1/X4/XM4/a_159_n100#" "X5/ctrll5" 1.60821
-cap "X10/XM5/a_n225_n100#" "X10/XM4/a_n81_n100#" 79.8832
-cap "X10/XM3/a_n33_n100#" "X1/X4/BIAS" -52.456
-cap "X1/X4/XR17/a_n415_n1322#" "X5/XM5/a_n225_n100#" 8.09189
-cap "X10/XM3/a_n33_n100#" "X11/XC2/m4_n651_n300#" 29.4192
-cap "X1/X4/BIAS" "X11/XC3/c2_n551_n400#" -32.7921
-cap "X5/ctrll5" "X11/XC1/m4_n551_n300#" 3.62663
-cap "X1/X4/XR17/a_n415_n1322#" "X10/XM3/a_n33_n100#" 59.6049
-cap "X5/ctrll5" "X1/X4/VDD" 6.63502
-cap "X1/X4/XR17/a_n415_n1322#" "X10/m1_4820_n1420#" -0.768949
-cap "X10/XM3/a_n33_n100#" "X5/XM3/a_n33_n100#" 7.32469
-cap "X5/XM3/a_n33_n100#" "X10/m1_4820_n1420#" 12.0737
-cap "X1/X4/BIAS" "X11/XC2/m4_n651_n300#" -33.8049
-cap "X10/XM5/a_n225_n100#" "X11/XC1/m4_n551_n300#" 122.069
-cap "X1/X4/XR17/a_n415_n1322#" "X1/X4/BIAS" 15.64
-cap "X10/XM4/a_n81_n100#" "X10/m1_4820_n1420#" 94.0947
-cap "X10/XM5/a_n225_n100#" "X1/X4/VDD" 73.0645
-cap "X10/XM5/a_n225_n100#" "X5/ctrll5" 42.78
-cap "X1/X4/BIAS" "X10/XM4/a_n81_n100#" 54.6841
-cap "X11/XC2/m4_n651_n300#" "X10/XM4/a_n81_n100#" 42.1098
-cap "X1/X4/XR17/a_n415_n1322#" "X10/XM4/a_n81_n100#" -0.911973
-cap "X10/XM3/a_n33_n100#" "X5/XM4/a_n81_n100#" 8.61798
-cap "X10/XM3/a_n33_n100#" "X11/XC1/m4_n551_n300#" 48.4932
-cap "X5/XM4/a_n81_n100#" "X10/m1_4820_n1420#" 14.2055
-cap "X11/XC1/m4_n551_n300#" "X10/m1_4820_n1420#" 60.8535
-cap "X10/XM3/a_n33_n100#" "X1/X4/VDD" 262.581
-cap "X10/XM3/a_n33_n100#" "X5/ctrll5" -0.00932799
-cap "X5/ctrll5" "X10/m1_4820_n1420#" 112.735
-cap "X11/XC3/c2_n551_n400#" "X10/XC3/c2_n551_n400#" 8.41795
-cap "X11/m1_4820_n1420#" "X11/XC3/c2_n551_n400#" -134.237
-cap "X11/XM3/a_n33_n100#" "X10/XM4/a_n81_n100#" 19.6667
-cap "CTRL1" "X1/X4/XM1/a_n1091_n274#" 0.733598
-cap "X1/X4/XM1/a_n1091_n274#" "X11/ctrll5" 67.7761
-cap "X11/XC3/c2_n551_n400#" "X10/m1_4820_n1420#" 83.8969
-cap "X11/ctrll2" "X1/X4/XM1/a_n1091_n274#" 0.882775
-cap "X11/m1_4820_n1420#" "X11/ctrll5" 131.308
-cap "X11/ctrll4" "X11/ctrll5" 14.3328
-cap "X11/XM3/a_n33_n100#" "X11/XC3/c2_n551_n400#" -37.0855
-cap "X1/X4/BIAS" "X11/XM3/a_n33_n100#" 90.2452
-cap "X10/XM3/a_n33_n100#" "X11/XC3/c2_n551_n400#" 20.2754
-cap "X11/XM3/a_n33_n100#" "X11/ctrll5" -0.00012557
-cap "X11/m1_4820_n1420#" "X1/X4/XM1/a_n1091_n274#" -12.5904
-cap "X11/ctrll4" "X1/X4/XM1/a_n1091_n274#" 1.49797
-cap "X11/m1_4820_n1420#" "X10/m1_4820_n1420#" 42.5006
-cap "X11/ctrll3" "X11/ctrll5" 10.7587
-cap "X11/XM3/a_n33_n100#" "X1/X4/XM1/a_n1091_n274#" -0.268679
-cap "X11/XM3/a_n33_n100#" "X11/m1_4820_n1420#" -0.00251052
-cap "X10/XM5/a_n225_n100#" "X1/X4/XM1/a_n1091_n274#" 8.09189
-cap "X11/m1_4820_n1420#" "X10/XM5/a_n225_n100#" 54.2945
-cap "X11/XM4/a_n81_n100#" "X1/X4/XM1/a_n1091_n274#" -17.1993
-cap "X11/m1_4820_n1420#" "X10/XM3/a_n33_n100#" 23.107
-cap "CTRL1" "X11/ctrll5" 7.2936
-cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n1420#" 32.4176
-cap "X11/ctrll3" "X1/X4/XM1/a_n1091_n274#" 1.10259
-cap "X11/ctrll2" "X11/ctrll5" 8.71005
-cap "X11/XM3/a_n33_n100#" "X10/XM5/a_n225_n100#" 53.3133
-cap "X11/XM3/a_n33_n100#" "X10/XM3/a_n33_n100#" 14.0183
-cap "X11/XM5/a_n225_n100#" "X1/X4/XR16/a_n415_n1322#" 32.1421
-cap "X1/X4/XR16/a_n415_n1322#" "X11/ctrll3" 10.0047
-cap "X11/XM5/a_n225_n100#" "X11/ctrll3" 8.56607
-cap "X11/ctrll2" "X11/ctrll5" 28.6758
-cap "X1/X4/XR16/a_n415_n1322#" "X11/ctrll4" 14.3159
-cap "X1/X4/XR16/a_n415_n1322#" "X11/XM4/a_n81_n100#" 6.72914
-cap "X11/XM5/a_n225_n100#" "X11/ctrll4" 12.5139
-cap "CTRL1" "X11/ctrll5" 8.30618
-cap "X1/X4/XR16/a_n415_n1322#" "X11/ctrll2" 7.79575
-cap "X1/X4/XR16/a_n415_n1322#" "X11/ctrll5" 1722.87
-cap "X11/XM5/a_n225_n100#" "X11/ctrll2" 6.60138
-cap "X11/XM5/a_n225_n100#" "X11/ctrll5" 48.4505
-cap "X11/ctrll3" "X11/ctrll5" 37.2619
-cap "X1/X4/XR16/a_n415_n1322#" "CTRL1" 3.18208
-cap "X11/m1_4820_n1420#" "X11/ctrll5" 136.906
-cap "X11/XM5/a_n225_n100#" "CTRL1" 2.67491
-cap "X11/XM4/a_n81_n100#" "X11/ctrll5" 187.022
-cap "X11/ctrll4" "X11/ctrll5" 55.4958
-cap "CTRL1" "X11/XM5/a_n225_n100#" 2.67491
-cap "X11/ctrll5" "CTRL1" 14.8662
-cap "X11/XM5/a_n317_n100#" "CTRL1" 3.18208
-cap "X3/X1/VDD" "X3/X1/XR1/a_n703_n3602#" 7.01954
-cap "m1_46210_32690#" "X3/X1/XR1/a_n703_n3602#" 378.798
+cap "bias_calc_0/SUB" "bias_calc_0/XM37/a_1821_n197#" 9.05942e-14
+cap "bias_calc_0/w_17930_210#" "bias_calc_0/SUB" 1.13687e-13
+cap "bias_calc_0/XM38/a_1821_n197#" "bias_calc_0/SUB" -5.68434e-13
+cap "bias_calc_0/XM37/a_1763_n100#" "bias_calc_0/SUB" 8.81299e-07
+cap "bias_calc_0/VOP" "bias_calc_0/SUB" 0.0612706
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 17.7096
+cap "bias_calc_0/SUB" "bias_calc_0/XM1/a_803_n100#" 2.01809
+cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM1/a_803_n100#" -2.48892
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_803_n100#" 4.56537
+cap "bias_calc_0/XM1/a_803_n100#" "X3/X5/XC1/c1_n2050_n3000#" 0.0102753
+cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/BIASOUT" 0.371761
+cap "bias_calc_0/SUB" "bias_calc_0/XM1/w_n1127_n319#" 7.60575
+cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM1/w_n1127_n319#" -3.57323
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM38/a_1821_n197#" -3.42525
+cap "bias_calc_0/SUB" "bias_calc_0/XM1/a_931_n100#" 2.75679
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/w_n1127_n319#" 10.269
+cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/BIASOUT" 1.77181
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_931_n100#" 0.480226
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/BIASOUT" 2.13375
+cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/BIASOUT" 0.0259734
+cap "bias_calc_0/SUB" "bias_calc_0/XM1/a_861_n197#" 1.71777
+cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM38/a_1821_n197#" -4.81707
+cap "bias_calc_0/SUB" "bias_calc_0/XM38/a_1821_n197#" 3.41061e-13
+cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/BIASOUT" 88.7908
+cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/VOP" 10.0355
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XR20/a_n415_n1702#" 178.146
+cap "X3/X6/XC2/c1_n2050_n3000#" "bias_calc_0/VOP" 0.742488
+cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/XR20/a_n415_n1702#" 336.042
+cap "bias_calc_0/BIASOUT" "X3/X5/XC1/c1_n2050_n3000#" 8.68238
+cap "bias_calc_0/XR20/a_n285_n1572#" "X3/X5/XC1/c1_n2050_n3000#" 19.6874
+cap "bias_calc_0/XR20/a_n415_n1702#" "X3/X5/XC1/c1_n2050_n3000#" 194.585
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XM1/a_803_n100#" 2.07075
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XM1/a_861_n197#" 0.580028
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/XM1/a_803_n100#" 4.0785
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/XM1/a_861_n197#" 0.404381
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XM1/w_n1127_n319#" 2.6667
+cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/VOP" 181.259
+cap "X3/X5/XC1/c1_n2050_n3000#" "bias_calc_0/XM1/a_803_n100#" 0.0509665
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/VOP" 143.551
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/XM1/w_n1127_n319#" 5.07064
+cap "X3/X5/XC1/c1_n2050_n3000#" "bias_calc_0/VOP" 7.20766
+cap "X3/X5/XC1/c1_n2050_n3000#" "bias_calc_0/XM1/w_n1127_n319#" 0.035189
+cap "bias_calc_0/BIASOUT" "bias_calc_0/XM1/a_931_n100#" 0.185109
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_803_n100#" 18.9272
+cap "X3/X6/XC2/c1_n2050_n3000#" "bias_calc_0/XR20/a_n415_n1702#" -0.0128226
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 11.4903
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/w_n1127_n319#" 31.1463
+cap "X3/X6/GND" "X3/X6/XC2/c1_n2050_n3000#" -7.10543e-15
+cap "X3/X6/GND" "bias_calc_0/VOP" -122.382
+cap "X3/X5/XC1/c1_n2050_n3000#" "bias_calc_0/VOP" 0.298785
+cap "X3/X5/XC1/c1_n2050_n3000#" "X3/X6/GND" 328.779
+cap "X3/X6/GND" "X3/X6/XC2/c1_n2050_n3000#" -3.55271e-15
+cap "X3/X6/GND" "X3/X5/XC1/c1_n2050_n3000#" -2.27374e-13
+cap "X3/X3/BIAS" "X3/X2/XR1/a_n703_n3602#" 7.10543e-15
+cap "X3/X2/BIAS" "X3/X2/GND" -130.492
+cap "X3/X2/BIAS" "X3/X2/GND" -73.8392
+cap "X3/X2/GND" "X3/I2B" 2.22045e-16
+cap "X3/X2/BIAS" "VDD" 40.3915
+cap "X3/X2/GND" "VDD" 6.22458
+cap "X3/I2A" "X3/X2/GND" 5.55112e-16
+cap "X3/X2/OUTB" "X3/X2/GND" -2.77556e-15
+cap "X3/X2/XM1/a_n417_n100#" "X3/X2/GND" 0.403738
+cap "X3/X2/XM1/a_n417_n100#" "VDD" 3.18514
+cap "X6/IN" "VDD" 0.776697
+cap "X1/X2/BIAS" "X1/X2/VDD" 27.7873
+cap "X1/X2/BIAS" "VDD" 114.919
+cap "X1/X2/XR17/a_n415_n1322#" "X3/X2/XM1/a_n417_n100#" 7.63273
+cap "X6/IN" "X1/X2/XR17/a_n415_n1322#" 0.00125147
+cap "X3/X2/OUTA" "X1/X2/XR17/a_n415_n1322#" 23.3008
+cap "X1/X2/VDD" "X1/X2/XR17/a_n415_n1322#" 34.7417
+cap "X1/X2/BIAS" "X1/X2/XR17/a_n415_n1322#" 69.3684
+cap "X1/X2/XR17/a_n415_n1322#" "VDD" 482.696
+cap "X6/XC3/m4_n651_n500#" "VDD" 0.0679403
+cap "VDD" "X3/X2/XM1/a_n417_n100#" 112.482
+cap "X1/X2/GND" "X6/m1_4700_270#" 14.3397
+cap "X6/m1_4820_n460#" "VDD" 0.0421332
+cap "X1/X2/XR17/a_n415_n1322#" "X6/IN" 91.453
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/XR17/a_n415_n1322#" 1.26336
+cap "VDD" "X1/X2/BIAS" -0.548372
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/GND" 30.005
+cap "X6/IN" "X6/m1_4700_270#" 17.7472
+cap "X6/IN" "X1/X2/GND" 957.024
+cap "X1/X2/XM4/a_927_n100#" "X6/IN" 96.9987
+cap "X3/X2/OUTA" "X1/X2/GND" 21.5455
+cap "X6/XC2/m4_n651_n300#" "X1/X2/GND" 7.89824
+cap "X1/X2/XR17/a_n415_n1322#" "X6/m1_4820_n460#" 15.3775
+cap "X6/m1_4820_n460#" "X1/X2/GND" 435.204
+cap "X1/X2/XR17/a_n415_n1322#" "X7/IN" 0.0788175
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/BIAS" 95.356
+cap "X1/X2/GND" "X1/X2/BIAS" 161.833
+cap "X6/m1_4820_n460#" "X6/IN" 69.1446
+cap "X6/IN" "X1/X2/BIAS" 298.037
+cap "X6/IN" "X7/IN" 17.1717
+cap "X1/X2/GND" "X1/X2/VDD" 220.826
+cap "X1/S1B" "X6/m1_4820_n460#" 0.0266583
+cap "X1/X2/XR17/a_n415_n1322#" "X7/XC3/m4_n651_n500#" 0.021937
+cap "X6/IN" "X1/X2/VDD" -7.08962
+cap "X1/S1B" "X1/X2/BIAS" -0.0524081
+cap "X3/X2/OUTA" "X1/X2/BIAS" -2.14753
+cap "X1/X2/XR17/a_n415_n1322#" "VDD" 5.50229
+cap "X7/XC3/m4_n651_n500#" "X6/IN" 0.256625
+cap "X6/XC2/m4_n651_n300#" "X1/X2/BIAS" 0.0135105
+cap "X6/IN" "VDD" 0.425862
+cap "X6/m1_4820_n460#" "X1/X2/BIAS" 44.3847
+cap "X1/S2A" "X1/X2/BIAS" 2.77556e-17
+cap "X1/X2/VDD" "X1/X2/BIAS" 99.1963
+cap "X1/X2/XR17/a_n415_n1322#" "X6/m1_4700_270#" 8.19827
+cap "X1/X2/XR17/a_n415_n1322#" "X1/X2/GND" 217.011
+cap "X7/XC4/m4_n951_n500#" "X6/m1_4700_270#" 33.4885
+cap "X1/X3/XR17/a_n285_760#" "X6/m1_4700_270#" 0.344115
+cap "X7/m1_4820_n460#" "X1/X2/XM4/a_927_n100#" 7.43992
+cap "X6/IN" "X1/X2/XM4/a_927_n100#" 153.409
+cap "X1/X2/BIAS" "X1/X2/SUB" 4.41929
+cap "X6/m1_4820_n460#" "X1/X2/SUB" 17.454
+cap "X7/IN" "X1/X2/SUB" 30.7856
+cap "X1/X2/BIAS" "X6/m1_4820_n460#" 79.6113
+cap "X1/X2/BIAS" "X7/IN" 112.507
+cap "X6/m1_4820_n460#" "X7/IN" 13.4244
+cap "X1/X2/GND" "X1/X2/SUB" 4.82083
+cap "X1/X2/BIAS" "X1/X2/GND" 64.4605
+cap "X6/m1_4820_n460#" "X1/X2/GND" 108.572
+cap "X7/m1_4820_n460#" "X6/m1_4700_270#" 32.056
+cap "X6/IN" "X6/m1_4700_270#" -19.9664
+cap "X7/IN" "X1/X2/GND" 110.842
+cap "X1/X2/BIAS" "X6/XC2/m4_n651_n300#" 0.017351
+cap "X7/XC4/m4_n951_n500#" "X6/IN" 10.7978
+cap "X6/XC2/m4_n651_n300#" "X1/X2/GND" 0.0217724
+cap "X1/X3/XR17/a_n285_760#" "X6/IN" 0.0620182
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/SUB" 1.66215
+cap "X1/X2/BIAS" "X1/X2/XM4/a_927_n100#" -0.490282
+cap "X6/m1_4820_n460#" "X1/X2/XM4/a_927_n100#" 255.764
+cap "X1/X2/XM4/a_927_n100#" "X1/X2/GND" 7.10644
+cap "X7/IN" "X1/X2/XM4/a_927_n100#" 21.6839
+cap "X1/X2/BIAS" "X1/S1B" -0.00139741
+cap "X6/m1_4820_n460#" "X1/S1B" 0.0169866
+cap "X7/m1_4820_n460#" "X6/IN" 0.127827
+cap "X6/XC2/m4_n651_n300#" "X1/X2/XM4/a_927_n100#" 0.00166578
+cap "X6/m1_4700_270#" "X1/X2/SUB" 114.503
+cap "X1/X2/BIAS" "X6/m1_4700_270#" 233.821
+cap "X6/m1_4820_n460#" "X6/m1_4700_270#" -2.09239
+cap "X7/IN" "X1/X2/VDD" 0.226548
+cap "X7/IN" "X6/m1_4700_270#" 195.341
+cap "X7/XC4/m4_n951_n500#" "X1/X2/SUB" 7.11004
+cap "X6/m1_4700_270#" "X1/X2/GND" 988.102
+cap "X1/X2/BIAS" "X7/XC4/m4_n951_n500#" 16.4925
+cap "X7/XC4/m4_n951_n500#" "X1/X2/GND" 31.1829
+cap "X7/m1_4820_n460#" "X1/X2/SUB" 7.21021
+cap "X6/m1_4700_270#" "X1/X2/XM4/a_927_n100#" 1418.49
+cap "X1/X2/BIAS" "X7/m1_4820_n460#" 28.893
+cap "X6/IN" "X1/X2/SUB" 39.9454
+cap "X1/X2/BIAS" "X6/IN" 195.933
+cap "X6/m1_4820_n460#" "X7/m1_4820_n460#" 14.6988
+cap "X6/m1_4820_n460#" "X6/IN" 0.561045
+cap "X7/m1_4820_n460#" "X7/IN" 8.20665
+cap "X7/IN" "X6/IN" 140.278
+cap "X7/XC4/m4_n951_n500#" "X1/X2/XM4/a_927_n100#" 1.32595
+cap "X7/m1_4820_n460#" "X1/X2/GND" 32.7727
+cap "X6/IN" "X1/X2/GND" 161.568
+cap "X1/X2/GND" "X7/m1_4700_270#" 44.857
+cap "X1/X2/GND" "X7/m1_4820_n460#" 15.882
+cap "X1/X2/VDD" "X7/IN" 23.4197
+cap "X1/X2/BIAS" "X7/m1_4700_270#" 14.2318
+cap "X1/X2/SUB" "X6/m1_4700_270#" 5.69596
+cap "X7/IN" "X8/XC3/m4_n651_n500#" 0.256625
+cap "X7/IN" "X1/X2/SUB" 104.525
+cap "X1/X2/BIAS" "X7/m1_4820_n460#" 9.36881
+cap "X1/S3B" "X1/X2/SUB" 2.52802
+cap "X1/X3/XM4/a_927_n100#" "X7/IN" 1.066
+cap "X6/m1_4820_n460#" "X7/IN" 19.6858
+cap "X7/m1_4700_270#" "X6/m1_4700_270#" 33.4885
+cap "X7/IN" "X7/m1_4700_270#" 4.49933
+cap "X7/m1_4820_n460#" "X6/m1_4700_270#" 0.627261
+cap "X1/X2/XM4/a_927_n100#" "X7/m1_4700_270#" 14.9572
+cap "X1/S3B" "X7/m1_4700_270#" 156.415
+cap "X7/IN" "X7/m1_4820_n460#" 229.369
+cap "X7/IN" "X6/IN" 121.026
+cap "X1/X2/SUB" "X8/XC3/m4_n651_n500#" 0.456588
+cap "X1/X2/XM4/a_927_n100#" "X7/m1_4820_n460#" 0.0540409
+cap "X1/S3B" "X7/m1_4820_n460#" 49.2432
+cap "X1/S3B" "X6/IN" 0.0224966
+cap "X1/X2/GND" "X7/IN" 122.273
+cap "X1/X2/VDD" "X7/m1_4700_270#" 21.15
+cap "X6/m1_4820_n460#" "X1/X2/SUB" 0.144414
+cap "X1/X2/BIAS" "X7/IN" 85.7301
+cap "X7/m1_4700_270#" "X1/X2/SUB" 70.0827
+cap "X8/XC3/m4_n651_n500#" "X7/m1_4820_n460#" 12.9954
+cap "X1/X2/SUB" "X7/m1_4820_n460#" 29.854
+cap "X1/X2/SUB" "X6/IN" 2.08683
+cap "X7/IN" "X6/m1_4700_270#" 142.264
+cap "X1/X3/XM4/a_927_n100#" "X7/m1_4820_n460#" 8.95834
+cap "X1/S3B" "X6/m1_4700_270#" 0.242588
+cap "X7/m1_4700_270#" "X7/m1_4820_n460#" -2.09239
+cap "X1/X2/XM4/a_927_n100#" "X7/IN" 111.477
+cap "X7/m1_4700_270#" "X6/IN" 11.25
+cap "X1/S3B" "X7/IN" 75.4512
+cap "X7/m1_4700_270#" "X1/X3/XM4/a_927_n100#" 279.751
+cap "X1/S3B" "X1/X3/XM4/a_927_n100#" 456.746
+cap "X7/m1_4700_270#" "X1/GND" 398.496
+cap "X1/X3/SUB" "X7/m1_4820_n460#" 4.32652
+cap "X1/S3B" "X1/GND" 192.439
+cap "X8/m1_4700_270#" "X1/BIAS" 54.681
+cap "X7/m1_4820_n460#" "X8/m1_4820_n460#" 1.70339
+cap "X9/XC3/m4_n651_n500#" "X1/X3/SUB" 0.000516421
+cap "X1/X3/SUB" "X8/m1_4820_n460#" 15.3052
+cap "X7/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 0.883108
+cap "X7/IN" "X1/X3/SUB" 35.7728
+cap "X7/m1_4700_270#" "X1/BIAS" 290.249
+cap "X1/S3B" "X1/BIAS" 179.75
+cap "X7/m1_4700_270#" "X1/VDD" 45.9438
+cap "X7/m1_4820_n460#" "X1/GND" 19.2967
+cap "X1/S3B" "X1/VDD" 0.359614
+cap "X7/IN" "X8/m1_4820_n460#" 0.133083
+cap "X1/X3/XM4/a_927_n100#" "X8/m1_4820_n460#" 437.355
+cap "X1/S2B" "X8/m1_4820_n460#" 0.0139491
+cap "X7/m1_4700_270#" "X1/S3A" 0.020768
+cap "X7/IN" "X1/X3/XM4/a_927_n100#" 67.0843
+cap "X1/S3B" "X1/S3A" 19.1892
+cap "X1/GND" "X8/m1_4820_n460#" 108.68
+cap "X1/BIAS" "X7/m1_4820_n460#" 18.1737
+cap "X7/IN" "X1/GND" 118.069
+cap "X8/XC2/m4_n651_n300#" "X1/X3/XM4/a_927_n100#" 0.15588
+cap "X8/m1_4700_270#" "X7/m1_4700_270#" 36.1985
+cap "X8/XC2/m4_n651_n300#" "X1/GND" 0.206777
+cap "X9/XC3/m4_n651_n500#" "X1/BIAS" 0.0658292
+cap "X1/BIAS" "X8/m1_4820_n460#" 100.396
+cap "X8/m1_4700_270#" "X1/S3B" 1.08434
+cap "X7/IN" "X1/BIAS" 90.1746
+cap "X7/IN" "X1/VDD" 46.666
+cap "X1/X3/SUB" "X1/S3A" 0.0893433
+cap "X7/m1_4700_270#" "X1/S3B" 445.334
+cap "X8/XC2/m4_n651_n300#" "X1/BIAS" 0.120168
+cap "X8/m1_4820_n460#" "X1/S3A" 0.096452
+cap "X1/X3/XM4/a_927_n100#" "X1/S3A" 0.0133211
+cap "X8/m1_4700_270#" "X1/X3/SUB" 10.0316
+cap "X1/S3B" "X7/m1_4820_n460#" 19.6858
+cap "X7/m1_4700_270#" "X1/X3/SUB" 104.351
+cap "X1/S3B" "X1/X3/SUB" 66.0284
+cap "X7/IN" "X8/m1_4700_270#" 10.972
+cap "X8/m1_4700_270#" "X1/X3/XM4/a_927_n100#" 3.52598
+cap "X9/XC3/m4_n651_n500#" "X1/S3B" 0.256625
+cap "X1/S3B" "X8/m1_4820_n460#" 17.5702
+cap "X7/m1_4700_270#" "X8/m1_4820_n460#" 32.056
+cap "X7/IN" "X7/m1_4700_270#" -21.0983
+cap "X1/BIAS" "X1/S3A" 0.291114
+cap "X8/m1_4700_270#" "X1/GND" 6.71444
+cap "X7/IN" "X1/S3B" 270.507
+cap "X1/S3B" "X1/VDD" 41.4797
+cap "X1/GND" "X7/m1_4820_n460#" 0.0115455
+cap "X7/m1_4700_270#" "X1/GND" 3.15043
+cap "X1/X3/XM4/a_927_n100#" "X8/m1_4700_270#" 284.441
+cap "X7/m1_4700_270#" "X8/m1_4820_n460#" 0.627261
+cap "X1/S3A" "X1/VDD" -1.45942
+cap "X1/GND" "X9/m1_4820_n460#" 15.2802
+cap "X8/m1_4820_n460#" "X9/m1_4820_n460#" 14.6988
+cap "X1/GND" "X8/XC2/m4_n651_n300#" 0.0645931
+cap "X1/X3/SUB" "X1/GND" -0.000940685
+cap "X1/GND" "X1/BIAS" -0.000321244
+cap "X9/XC4/m4_n951_n500#" "X1/S3B" 10.9796
+cap "X1/S3B" "X9/m1_4820_n460#" 0.127827
+cap "X1/X3/SUB" "X8/m1_4820_n460#" 15.7795
+cap "X1/BIAS" "X8/m1_4820_n460#" 38.8214
+cap "X8/m1_4700_270#" "X1/VDD" 39.2019
+cap "X9/XC4/m4_n951_n500#" "X1/S3A" 0.981295
+cap "X7/m1_4700_270#" "X1/S3A" 0.00506269
+cap "X1/X3/XM4/a_927_n100#" "X1/VDD" -0.000229595
+cap "X1/X3/SUB" "X1/S3B" 36.2726
+cap "X1/BIAS" "X1/S3B" 80.3529
+cap "X7/XC4/c2_n851_n400#" "X8/m1_4700_270#" 11.9758
+cap "X1/S3A" "X9/m1_4820_n460#" 4.3446
+cap "X1/X3/SUB" "X1/S3A" 55.6169
+cap "X1/S3A" "X1/BIAS" 11.3963
+cap "X9/XC4/m4_n951_n500#" "X8/m1_4700_270#" 28.2619
+cap "X7/m1_4700_270#" "X8/m1_4700_270#" 36.1985
+cap "X1/X3/XM4/a_927_n100#" "X9/m1_4820_n460#" 0.634905
+cap "X1/GND" "X8/m1_4820_n460#" 170.848
+cap "X8/m1_4700_270#" "X9/m1_4820_n460#" 32.056
+cap "X8/m1_4820_n460#" "X1/S2A" 0.0234668
+cap "X1/X3/XM4/a_927_n100#" "X8/XC2/m4_n651_n300#" 0.0298498
+cap "X1/X3/SUB" "X1/X3/XM4/a_927_n100#" -0.00408519
+cap "X1/BIAS" "X1/X3/XM4/a_927_n100#" -5.46532e-05
+cap "X1/GND" "X1/S3B" 142.282
+cap "X1/X3/SUB" "X8/m1_4700_270#" 123.779
+cap "X1/BIAS" "X8/m1_4700_270#" 244.777
+cap "X1/S3A" "X1/GND" 72.0036
+cap "X1/S3A" "X8/m1_4820_n460#" 25.9885
+cap "X1/S3A" "X1/S3B" 203.608
+cap "X7/XC4/c2_n851_n400#" "X1/BIAS" 0.841815
+cap "X1/GND" "X8/m1_4700_270#" 942.908
+cap "X1/GND" "X1/X3/XM4/a_927_n100#" -0.000411695
+cap "X1/X3/SUB" "X7/XC4/c2_n851_n400#" 0.102036
+cap "X8/m1_4820_n460#" "X1/X3/XM4/a_927_n100#" 19.9079
+cap "X8/m1_4820_n460#" "X8/m1_4700_270#" -2.09239
+cap "X1/X3/XM4/a_927_n100#" "X1/S3B" 35.3869
+cap "X8/m1_4700_270#" "X1/S3B" -33.3539
+cap "X9/XC4/m4_n951_n500#" "X1/X3/SUB" 10.0394
+cap "X1/X3/SUB" "X7/m1_4700_270#" 0.652954
+cap "X7/m1_4700_270#" "X1/BIAS" 3.585
+cap "X1/X3/SUB" "X9/m1_4820_n460#" 7.92102
+cap "X1/S3A" "X1/X3/XM4/a_927_n100#" 3.39857
+cap "X1/BIAS" "X9/m1_4820_n460#" 3.52997
+cap "X1/S3A" "X8/m1_4700_270#" 488.821
+cap "X7/XC4/c2_n851_n400#" "X1/GND" 0.711247
+cap "X1/GND" "X1/VDD" -0.000394236
+cap "X8/m1_4820_n460#" "X1/VDD" 1.27892
+cap "X1/X3/SUB" "X9/m1_4820_n460#" 0.257627
+cap "CTRL3" "X9/m1_4820_n460#" 0.969428
+cap "X9/m1_4820_n460#" "X1/S3A" 203.577
+cap "X8/m1_4700_270#" "X9/m1_4820_n460#" 0.627261
+cap "CTRL4" "X9/m1_4820_n460#" 1.44643
+cap "X9/m1_4820_n460#" "CTRL5" 1.78887
+cap "X1/X3/XM1/a_927_n100#" "X1/S3A" 9.46769
+cap "X1/GND" "X9/m1_4820_n460#" 3.9548
+cap "X1/VDD" "X8/IN" 0.70845
+cap "X1/S3A" "X1/VDD" 72.4048
+cap "X1/VDD" "X8/m1_4820_n460#" 0.177269
+cap "X8/m1_4700_270#" "X1/VDD" 2.02865
+cap "X9/m1_4700_270#" "X1/VDD" 8.84498
+cap "X1/X3/SUB" "X1/S3A" 23.9055
+cap "X8/IN" "X1/BIAS" 0.510387
+cap "X1/BIAS" "X8/m1_4820_n460#" 0.125874
+cap "X1/S3A" "X1/BIAS" 164.761
+cap "X8/m1_4700_270#" "X1/BIAS" 1.55767
+cap "X1/S3A" "X8/m1_4820_n460#" 19.6858
+cap "X1/S3A" "X8/IN" 130.299
+cap "X9/m1_4700_270#" "X1/X3/SUB" 5.11607
+cap "X9/m1_4700_270#" "X1/BIAS" 58.3468
+cap "X8/m1_4700_270#" "X1/S3A" 150.503
+cap "X1/S3A" "CTRL5" 0.368966
+cap "CTRL1" "X9/m1_4820_n460#" 0.0023482
+cap "X9/m1_4700_270#" "X8/IN" 9.31158
+cap "X9/m1_4700_270#" "X1/S3A" 26.5342
+cap "CTRL4" "X1/S3A" -0.0074323
+cap "X8/m1_4700_270#" "X9/m1_4700_270#" 28.2619
+cap "X1/GND" "X1/S3A" 57.4213
+cap "CTRL2" "X9/m1_4820_n460#" 0.458993
+cap "X9/m1_4820_n460#" "X1/VDD" 15.0935
+cap "X1/GND" "X9/m1_4700_270#" 8.12881
+cap "X9/m1_4820_n460#" "X1/BIAS" 30.0597
+cap "X9/m1_4700_270#" "CTRL1" 7.27811
+cap "X9/m1_4820_n460#" "CTRL3" 0.433426
+cap "CTRL5" "X9/m1_4820_n460#" 6.83671
+cap "X9/m1_4700_270#" "CTRL3" 21.3763
+cap "X9/m1_4820_n460#" "CTRL4" 0.758941
+cap "X9/m1_4820_n460#" "CTRL2" 0.113388
+cap "X9/m1_4700_270#" "CTRL5" 82.2023
+cap "X9/IN" "CTRL1" 3.23779
+cap "X9/m1_4700_270#" "CTRL4" 25.3594
+cap "X9/m1_4700_270#" "CTRL2" 15.08
+cap "X9/m1_4700_270#" "X1/BIAS" 0.860209
+cap "X1/VDD" "X9/m1_4700_270#" 0.358564
+cap "X9/IN" "CTRL3" 9.4894
+cap "CTRL5" "X9/IN" 35.3384
+cap "X9/IN" "CTRL4" 12.0173
+cap "X9/IN" "CTRL2" 6.65064
+cap "X9/m1_4820_n460#" "CTRL1" 0.000635055
+cap "X9/XC4/c2_n851_n400#" "CTRL1" 0.451102
+cap "CTRL1" "X9/m1_4700_270#" 1.92293
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/w_n1127_n319#" 1.25571
+cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/XM1/w_n1127_n319#" -0.000439554
+cap "bias_calc_0/XM1/w_n1127_n319#" "X3/X5/XC1/c1_n2050_n3000#" 0.233724
+cap "bias_calc_0/XM1/w_n1127_n319#" "GND" 0.45397
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM38/a_1437_n197#" -0.000180409
+cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n415_n1702#" 0.0117302
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_861_n197#" 2.32067
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_931_n100#" -5.27821e-05
+cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/XM1/a_861_n197#" -0.314136
+cap "bias_calc_0/XM1/w_n1127_n319#" "X3/X6/GND" 1.27954
+cap "bias_calc_0/XM1/a_861_n197#" "GND" 0.0289097
+cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/XM38/a_1437_n197#" -0.596655
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/XM38/a_1437_n197#" -7.16384e-06
+cap "m1_36045_25020#" "bias_calc_0/VOP" 3.64435
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/XM1/a_931_n100#" 1.89647e-05
+cap "bias_calc_0/XM1/a_803_n100#" "m1_36045_25020#" 2.47733
+cap "bias_calc_0/XM1/a_861_n197#" "X3/X6/GND" 0.144006
+cap "m1_36045_25020#" "bias_calc_0/XM1/a_931_n100#" 0.0750854
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XM1/a_861_n197#" -1.1979
+cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/VOP" 1.86426
+cap "bias_calc_0/VOP" "X3/X5/XC1/c1_n2050_n3000#" 1.94959
+cap "bias_calc_0/VOP" "GND" 2.79618
+cap "bias_calc_0/XM1/a_803_n100#" "X3/X5/XC1/c1_n2050_n3000#" 0.55541
+cap "bias_calc_0/XM1/a_803_n100#" "GND" 0.709617
+cap "m1_36045_25020#" "bias_calc_0/XM1/w_n1127_n319#" 2.53771
+cap "bias_calc_0/VOP" "bias_calc_0/XM1/a_931_n100#" 0.148674
+cap "bias_calc_0/VOP" "X3/X6/GND" 7.3019
+cap "bias_calc_0/XM1/a_803_n100#" "X3/X6/GND" 3.07325
+cap "m1_36045_25020#" "bias_calc_0/XM1/a_861_n197#" 1.13304
+cap "GND" "bias_calc_0/XR20/a_n285_n1572#" 101.453
+cap "bias_calc_0/XM1/w_n1127_n319#" "X3/X6/GND" 116.597
+cap "bias_calc_0/XM1/w_n1127_n319#" "X3/X5/XC1/c1_n2050_n3000#" 67.5829
+cap "GND" "X3/X6/GND" 89.2011
+cap "X3/X6/GND" "bias_calc_0/XM1/a_803_n100#" 80.653
+cap "GND" "X3/X5/XC1/c1_n2050_n3000#" 736.665
+cap "X3/X6/GND" "bias_calc_0/XM1/a_861_n197#" 0.848641
+cap "bias_calc_0/XM1/a_803_n100#" "X3/X5/XC1/c1_n2050_n3000#" 37.5765
+cap "bias_calc_0/XM1/w_n1127_n319#" "m1_36045_25020#" 85.0717
+cap "X3/X6/GND" "bias_calc_0/XR20/a_n285_n1572#" 238.9
+cap "bias_calc_0/VOP" "bias_calc_0/XR20/a_n415_n1702#" 0.0210233
+cap "bias_calc_0/XR20/a_n285_n1572#" "X3/X5/XC1/c1_n2050_n3000#" 91.479
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/VOP" -15.5103
+cap "bias_calc_0/XM1/a_803_n100#" "m1_36045_25020#" 49.8631
+cap "GND" "m1_36045_25020#" -21.6403
+cap "GND" "bias_calc_0/VOP" 58.8995
+cap "bias_calc_0/XM1/a_803_n100#" "bias_calc_0/VOP" 2.04987
+cap "bias_calc_0/XM1/a_861_n197#" "m1_36045_25020#" 4.03488
+cap "bias_calc_0/XM1/a_861_n197#" "bias_calc_0/VOP" 1.35301
+cap "bias_calc_0/XR20/a_n285_n1572#" "m1_36045_25020#" 78.5794
+cap "bias_calc_0/XR20/a_n285_n1572#" "bias_calc_0/VOP" -6.31823
+cap "bias_calc_0/XM1/a_931_n100#" "m1_36045_25020#" 1.19501
+cap "X3/X6/GND" "m1_36045_25020#" 185.285
+cap "bias_calc_0/XM1/a_931_n100#" "bias_calc_0/VOP" 1.76255
+cap "X3/X6/GND" "bias_calc_0/VOP" 116.482
+cap "X3/X5/XC1/c1_n2050_n3000#" "m1_36045_25020#" 34.5326
+cap "bias_calc_0/VOP" "X3/X5/XC1/c1_n2050_n3000#" 52.1453
+cap "bias_calc_0/XM1/w_n1127_n319#" "GND" 83.0048
+cap "GND" "bias_calc_0/XM1/a_803_n100#" 53.3092
+cap "GND" "bias_calc_0/XM1/a_861_n197#" 0.261268
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/XR20/a_n285_n1572#" -0.00572551
+cap "bias_calc_0/VOP" "m1_36045_25020#" 79.8205
+cap "GND" "X3/X5/XC1/c1_n2050_n3000#" 1270.9
+cap "X3/X3/OUTA" "X3/X3/GND" -1.13687e-13
+cap "VDD" "X3/X4/OUTB" 0.0105142
+cap "X3/X4/SUB" "X3/X4/OUTB" 4.54747e-13
+cap "X3/X4/SUB" "X3/I3B" 1.42109e-14
+cap "X3/X4/SUB" "X3/X2/BIAS" 2.21605
+cap "X3/X4/SUB" "X3/I3A" 3.5083e-14
+cap "X3/X4/SUB" "X3/I2A" 3.32049
+cap "X3/X4/SUB" "X3/I1B" 5.55112e-15
+cap "X3/X4/SUB" "X3/I4A" -9.76996e-15
+cap "X3/X4/SUB" "X3/I4B" -1.77636e-15
+cap "VDD" "X3/X2/XM1/a_n417_n100#" 8.91873
+cap "X3/X4/OUTB" "VDD" 4.64352
+cap "X3/I2B" "VDD" 2.46821
+cap "X3/X4/SUB" "VDD" 4.83299
+cap "X3/X4/SUB" "X3/X2/XM1/a_n417_n100#" 1.3238
+cap "X3/X4/SUB" "X3/X4/OUTB" 0.483004
+cap "VDD" "X3/X2/BIAS" 8.05132
+cap "X3/X4/SUB" "X3/I1A" -8.65974e-15
+cap "VDD" "X3/I2A" 10.6323
+cap "X3/X4/SUB" "X3/I2B" -4.9738e-14
+cap "X1/X1/XR17/a_n415_n1322#" "X6/IN" 32.3506
+cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/OUTA" 446.69
+cap "X1/S4B" "X3/X4/OUTA" -3.55271e-15
+cap "VDD" "X3/I2A" 57.5805
+cap "X3/I3B" "VDD" 15.2033
+cap "X1/X1/XR17/a_n415_n1322#" "X3/I3A" 21.1123
+cap "X1/X1/XR17/a_n415_n1322#" "X3/I2A" 24.3181
+cap "X1/X2/BIAS" "X3/X4/OUTA" -0.45273
+cap "X1/X1/XR17/a_n415_n1322#" "X3/I3B" 16.0373
+cap "X6/IN" "X3/X4/OUTA" 1.50383
+cap "VDD" "X3/X2/XM1/a_n417_n100#" 89.2841
+cap "X3/I3A" "X3/X4/OUTA" 1.50383
+cap "X3/I2A" "X3/X4/OUTA" 1.50383
+cap "X1/X1/XR17/a_n415_n1322#" "X3/X2/XM1/a_n417_n100#" 7.00086
+cap "X3/I3B" "X3/X4/OUTA" 1.50383
+cap "X1/X1/XR17/a_n415_n1322#" "X4/IN" 3.55271e-15
+cap "X1/X1/XR17/a_n415_n1322#" "VDD" 135.802
+cap "X1/X1/XR17/a_n415_n1322#" "X1/S4B" 5.32907e-15
+cap "VDD" "X1/X2/BIAS" 12.4278
+cap "VDD" "X3/X2/XR1/a_n573_n3472#" 17.0129
+cap "VDD" "X6/IN" 69.8481
+cap "VDD" "X3/X4/OUTA" 464.922
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X2/BIAS" 1.43811
+cap "X3/I3A" "VDD" 41.383
+cap "X1/S2A" "X1/X2/GND" 31.6084
+cap "X1/X2/BIAS" "X6/IN" 14.6094
+cap "X1/X1/XR17/a_n415_n1322#" "X6/XC3/m4_n651_n500#" 0.0881614
+cap "X3/I3A" "X6/IN" 22.156
+cap "X5/IN" "X6/IN" 15.4476
+cap "X3/X4/OUTA" "X1/X2/GND" 127.095
+cap "X3/X4/OUTA" "X1/S4B" 8.88178e-16
+cap "X4/IN" "X6/IN" 34.1044
+cap "X3/I3A" "X1/S2A" 1.13687e-13
+cap "VDD" "X6/IN" 1.47238
+cap "X3/X4/OUTA" "X6/m1_4700_270#" 5.14662
+cap "X4/IN" "X1/S2A" 5.18511
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X2/XM4/a_351_n100#" 1.95334
+cap "X3/X4/OUTA" "X1/X2/BIAS" -0.0625541
+cap "X1/X1/XR17/a_n415_n1322#" "X1/S3B" 2.58598
+cap "X1/S2A" "X6/IN" 22.3642
+cap "X3/X4/OUTA" "X4/IN" 62.8147
+cap "X1/X2/GND" "X1/X2/XM4/a_351_n100#" 9.58792
+cap "X1/X1/INB" "X6/IN" 7.32649
+cap "X1/X2/GND" "X1/S3B" 31.6084
+cap "X6/m1_4700_270#" "X1/X1/XR17/a_n415_n1322#" 14.0851
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X2/GND" 44.7071
+cap "X1/X1/XR17/a_n415_n1322#" "X1/S4B" 0.235962
+cap "X4/IN" "X6/XC3/m4_n651_n500#" 0.005774
+cap "X7/IN" "X6/IN" -18.984
+cap "X3/X4/OUTA" "X6/IN" 332.548
+cap "X6/XC3/m4_n651_n500#" "X6/IN" 1.54927
+cap "X3/I3A" "X1/S3B" 1.13687e-13
+cap "X5/IN" "X1/S3B" 5.68434e-14
+cap "X1/X2/BIAS" "X1/X1/XR17/a_n415_n1322#" 0.977988
+cap "X3/I3A" "X1/X1/XR17/a_n415_n1322#" 2.66895
+cap "X5/IN" "X1/X1/XR17/a_n415_n1322#" 0.567042
+cap "X6/m1_4700_270#" "X1/X2/GND" 47.7226
+cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 9.73496
+cap "X4/IN" "X1/S3B" 5.58375
+cap "VDD" "X1/X1/XR17/a_n415_n1322#" 1.40484
+cap "X1/X2/XM4/a_351_n100#" "X6/IN" 16.9933
+cap "X3/I3A" "X1/X2/GND" 31.6084
+cap "X1/X1/XR17/a_n415_n1322#" "X6/IN" 116.048
+cap "X1/S3B" "X6/IN" 18.8474
+cap "X4/IN" "X1/X2/GND" 33.461
+cap "X1/S2A" "X1/X1/XR17/a_n415_n1322#" 2.70931
+cap "X1/X2/GND" "X6/IN" 193.886
+cap "X1/S4B" "X6/IN" 3.38704
+cap "X1/X1/INB" "X1/X1/XR17/a_n415_n1322#" 0.352661
+cap "X6/m1_4700_270#" "X6/IN" 101.351
+cap "X3/X4/OUTA" "X1/X2/XM4/a_351_n100#" 7.23297
+cap "X7/IN" "X1/X1/XR17/a_n415_n1322#" 0.0740793
+cap "X1/X2/BIAS" "X4/IN" 0.251193
+cap "X3/I3A" "X4/IN" 5.41021
+cap "X3/X4/OUTA" "X1/X1/XR17/a_n415_n1322#" 81.9819
+cap "X6/IN" "X4/XC4/m4_n951_n500#" 8.17436
+cap "X1/SUB" "X6/XC3/m4_n651_n500#" 0.560985
+cap "X6/IN" "X1/X3/XR17/a_n285_760#" 0.313267
+cap "X7/IN" "X6/m1_4700_270#" 636.971
+cap "X6/m1_4700_270#" "X1/X2/XM1/a_447_n100#" 10.0431
+cap "X6/m1_4700_270#" "X1/X2/XM1/a_n945_n188#" 4.3085
+cap "X1/X2/BIAS" "X6/IN" 0.00437399
+cap "X3/X2/XR2/a_n573_n3472#" "X6/m1_4700_270#" 15.2459
+cap "X1/S3B" "X4/IN" 0.222635
+cap "X6/IN" "X1/SUB" 41.9186
+cap "X1/S3A" "X6/m1_4700_270#" 33.7062
+cap "X1/X2/XM4/a_351_n100#" "X4/IN" -0.02512
+cap "X7/IN" "X1/S3B" 1.39354
+cap "X5/IN" "X6/m1_4700_270#" 229.004
+cap "X6/IN" "X1/X2/GND" -0.626559
+cap "X7/IN" "X1/X2/XM4/a_351_n100#" 35.8316
+cap "X6/IN" "X6/m1_4700_270#" 382.664
+cap "X7/IN" "X4/IN" 2.53343
+cap "X3/X2/XR2/a_n573_n3472#" "X1/X2/XM4/a_351_n100#" 2.59998
+cap "X1/X2/XM4/a_351_n100#" "X6/XC3/m4_n651_n500#" 9.77466e-05
+cap "X5/IN" "X1/S3B" 0.0286594
+cap "X1/X2/XM4/a_n945_n188#" "X6/m1_4700_270#" 4.23028
+cap "X3/X2/XR2/a_n573_n3472#" "X4/IN" 8.04208
+cap "X1/SUB" "X7/XC4/m4_n951_n500#" 5.37477
+cap "X6/XC3/m4_n651_n500#" "X4/IN" 0.00633205
+cap "X6/IN" "X1/S3B" 4.1862
+cap "X1/S4A" "X6/m1_4700_270#" 40.0507
+cap "X1/S3A" "X4/IN" 0.141112
+cap "X1/SUB" "X4/XC4/m4_n951_n500#" 10.747
+cap "X6/IN" "X1/X2/XM4/a_351_n100#" 45.2776
+cap "X5/IN" "X4/IN" 5.19598e-05
+cap "X6/m1_4700_270#" "X1/X2/XM1/a_n945_n188#" 3.43095
+cap "X1/S3A" "X7/IN" 1.62959
+cap "X7/XC4/m4_n951_n500#" "X6/m1_4700_270#" 64.4081
+cap "X1/S4B" "X6/m1_4700_270#" 48.4435
+cap "X5/IN" "X7/IN" 9.4821
+cap "X6/IN" "X4/IN" 25.8246
+cap "X1/X2/BIAS" "X1/SUB" 0.00621808
+cap "X6/m1_4700_270#" "X1/X3/XR17/a_n285_760#" 2.00115
+cap "X4/XC4/m4_n951_n500#" "X6/m1_4700_270#" 249.83
+cap "X6/IN" "X7/IN" 227.799
+cap "X1/S3A" "X5/IN" 0.0181501
+cap "X1/SUB" "X1/X2/GND" 0.0298205
+cap "X1/X2/BIAS" "X6/m1_4700_270#" 0.602522
+cap "X6/IN" "X3/X2/XR2/a_n573_n3472#" 4.43289
+cap "X6/IN" "X6/XC3/m4_n651_n500#" 0.165715
+cap "X1/SUB" "X6/m1_4700_270#" 166.69
+cap "X1/X2/XM4/a_351_n100#" "X7/XC4/m4_n951_n500#" 0.832719
+cap "X1/S3A" "X6/IN" 4.94267
+cap "X1/S3B" "X4/XC4/m4_n951_n500#" 1.90144
+cap "X7/IN" "X1/S4A" 0.558743
+cap "X6/IN" "X5/IN" 16.5578
+cap "X1/X2/GND" "X6/m1_4700_270#" 39.77
+cap "X1/X2/XM4/a_351_n100#" "X4/XC4/m4_n951_n500#" 20.1194
+cap "X7/IN" "X1/S4B" 0.270278
+cap "X7/IN" "X7/XC4/m4_n951_n500#" 7.57245
+cap "X4/XC4/m4_n951_n500#" "X4/IN" 2.14709
+cap "X1/SUB" "X1/X2/XM4/a_351_n100#" 0.752019
+cap "X7/IN" "X4/XC4/m4_n951_n500#" 12.6626
+cap "X1/X2/BIAS" "X4/IN" 0.00694113
+cap "X1/X2/GND" "X1/X2/XM4/a_351_n100#" 0.877166
+cap "X1/S3B" "X6/m1_4700_270#" 32.4961
+cap "X1/SUB" "X4/IN" 1.02476
+cap "X6/IN" "X1/S4A" 1.62688
+cap "X5/IN" "X7/XC4/m4_n951_n500#" 15.0461
+cap "X1/X2/XM4/a_351_n100#" "X6/m1_4700_270#" 982.263
+cap "X1/S3A" "X4/XC4/m4_n951_n500#" 1.68888
+cap "X7/IN" "X1/SUB" 23.8328
+cap "X1/X2/GND" "X4/IN" 1.08723
+cap "X6/IN" "X7/XC4/m4_n951_n500#" 3.74568
+cap "X1/X1/XM3/a_n129_n100#" "X6/m1_4700_270#" 40.209
+cap "X5/IN" "X4/XC4/m4_n951_n500#" 3.24486
+cap "X6/IN" "X1/S4B" 0.822527
+cap "X6/m1_4700_270#" "X4/IN" 161.51
+cap "X1/S3A" "X5/XC4/m4_n951_n500#" 1.17464
+cap "X7/m1_4700_270#" "X1/X2/XM4/a_351_n100#" 6.13659
+cap "X1/X3/INB" "X5/IN" 0.0587603
+cap "X5/XC4/m4_n951_n500#" "X7/IN" 1.72495
+cap "X1/S3B" "X5/XC4/m4_n951_n500#" 4.57693
+cap "X1/X3/XM4/a_351_n100#" "X7/IN" 0.00637516
+cap "X7/m1_4700_270#" "X1/S4A" 15.2241
+cap "X6/m1_4700_270#" "X7/m1_4700_270#" 64.4081
+cap "X1/S1B" "X7/IN" 10.6551
+cap "X7/m1_4700_270#" "X5/XC4/m4_n951_n500#" 156.122
+cap "X1/S3A" "X7/IN" 21.2765
+cap "X6/m1_4700_270#" "X1/SUB" 0.915072
+cap "X1/S1B" "X7/m1_4700_270#" 16.7963
+cap "X1/S3B" "X7/IN" 102.953
+cap "X1/S3B" "X6/IN" 0.114732
+cap "X1/X3/INB" "X5/XC4/m4_n951_n500#" 0.772173
+cap "X1/SUB" "X5/XC4/m4_n951_n500#" 2.15191
+cap "X7/m1_4700_270#" "X1/S3A" 21.7032
+cap "X7/m1_4700_270#" "X1/S3B" 424.357
+cap "X7/m1_4700_270#" "X7/IN" 323.848
+cap "X7/m1_4700_270#" "X6/IN" 1.45921
+cap "X1/X3/INB" "X7/IN" 50.3926
+cap "X1/S3B" "X1/SUB" 1.47209
+cap "X1/SUB" "X7/IN" 66.2238
+cap "X5/IN" "X1/S3A" 0.337429
+cap "X5/IN" "X1/S3B" 0.549862
+cap "X1/SUB" "X6/IN" 0.190823
+cap "X5/IN" "X7/IN" 16.0035
+cap "X1/S4B" "X7/IN" 3.38141
+cap "X1/X3/INB" "X7/m1_4700_270#" 94.7118
+cap "X1/X2/XM4/a_351_n100#" "X7/IN" 0.0624199
+cap "X7/m1_4700_270#" "X1/SUB" 76.4643
+cap "X6/m1_4700_270#" "X1/S3B" 1.33102
+cap "X1/S4A" "X7/IN" 7.03523
+cap "X6/m1_4700_270#" "X7/IN" 32.8474
+cap "X5/IN" "X7/m1_4700_270#" 32.7344
+cap "X7/m1_4700_270#" "X1/S4B" 31.4324
+cap "X5/IN" "X1/S3B" 0.0592202
+cap "X1/S2B" "X5/XC4/m4_n951_n500#" 0.444205
+cap "X1/SUB" "X8/m1_4700_270#" 9.28178
+cap "X1/S3A" "X5/XC4/m4_n951_n500#" 0.643459
+cap "X1/SUB" "X8/XC3/m4_n651_n500#" 0.514623
+cap "X7/m1_4700_270#" "X5/XC4/m4_n951_n500#" 93.7079
+cap "X7/IN" "X1/S2B" 8.8477
+cap "X1/S4B" "X1/X3/XM4/a_351_n100#" 3.00636e-06
+cap "X1/SUB" "X1/S3B" 111.046
+cap "X1/S4B" "X1/S3B" 3.09941
+cap "X7/IN" "X1/S3A" 5.0491
+cap "X7/IN" "X1/S1B" 0.634577
+cap "X1/S4A" "X1/S3B" 7.77845
+cap "X7/IN" "X7/m1_4700_270#" 24.5608
+cap "X1/S2B" "X1/S3A" 0.00632229
+cap "X1/S2B" "X7/m1_4700_270#" 174.19
+cap "X1/X4/XM3/a_n129_n100#" "X1/S3B" 0.206651
+cap "X1/S3A" "X7/m1_4700_270#" 22.4398
+cap "X1/S1B" "X7/m1_4700_270#" 10.083
+cap "X1/S3B" "X5/XC4/m4_n951_n500#" 4.35423
+cap "X7/IN" "X8/m1_4700_270#" 21.9711
+cap "X1/S4B" "X1/SUB" 0.0634041
+cap "X1/S2B" "X8/m1_4700_270#" 15.2622
+cap "X7/IN" "X1/X3/XM4/a_351_n100#" 21.1042
+cap "X7/IN" "X8/XC3/m4_n651_n500#" 0.000264939
+cap "X1/S2B" "X8/XC3/m4_n651_n500#" 0.000387219
+cap "X7/IN" "X1/S1A" 0.842536
+cap "X7/IN" "X1/S3B" 190.227
+cap "X1/S2B" "X1/S3B" 119.404
+cap "X1/X3/XM4/a_n945_n188#" "X1/S3B" 35.7003
+cap "X8/m1_4700_270#" "X7/m1_4700_270#" 51.3
+cap "X1/S3A" "X8/XC3/m4_n651_n500#" 0.00569359
+cap "X7/m1_4700_270#" "X1/X3/XM4/a_351_n100#" 32.6875
+cap "X7/IN" "X5/IN" 0.0205327
+cap "X1/S3B" "X1/S3A" 42.7294
+cap "X1/S1B" "X1/S3B" 11.1271
+cap "X1/S1A" "X7/m1_4700_270#" 10.8627
+cap "X5/IN" "X1/S2B" 0.00680242
+cap "X1/S3B" "X7/m1_4700_270#" 926.064
+cap "X1/SUB" "X5/XC4/m4_n951_n500#" 1.25263
+cap "X1/S3B" "X1/X3/XM4/a_447_n100#" 120.9
+cap "X5/IN" "X1/S3A" 0.0388672
+cap "X5/IN" "X7/m1_4700_270#" 0.456501
+cap "X7/IN" "X1/SUB" 15.9148
+cap "X7/IN" "X1/S4B" 0.563827
+cap "X8/m1_4700_270#" "X1/X3/XM4/a_351_n100#" 1.05069
+cap "X7/IN" "X1/S4A" 0.416296
+cap "X1/S4B" "X1/S2B" 0.534239
+cap "X8/m1_4700_270#" "X1/S3B" 76.6819
+cap "X8/XC3/m4_n651_n500#" "X1/X3/XM4/a_351_n100#" 0.000140885
+cap "X1/SUB" "X1/S3A" 0.217391
+cap "X1/S3B" "X1/X3/XM4/a_351_n100#" 519.563
+cap "X1/S3B" "X8/XC3/m4_n651_n500#" 13.1676
+cap "X1/SUB" "X7/m1_4700_270#" 86.6774
+cap "X1/S4B" "X1/S3A" 0.552222
+cap "X1/S3B" "X1/S1A" 14.3115
+cap "X1/S4B" "X7/m1_4700_270#" 25.364
+cap "X1/S4A" "X7/m1_4700_270#" 9.13925
+cap "X1/X3/XM4/a_n945_n188#" "X1/S3B" 30.7359
+cap "X7/IN" "X5/XC4/m4_n951_n500#" 0.885119
+cap "X1/X3/XM4/a_351_n100#" "X8/m1_4700_270#" 110.286
+cap "X1/X3/XM1/a_447_n100#" "X8/m1_4700_270#" 9.91829
+cap "X1/S3B" "X1/X4/OUTB" 0.225935
+cap "X1/S3A" "X7/m1_4700_270#" 7.24278
+cap "X1/S3A" "X1/S3B" 220.883
+cap "X7/XC4/c2_n851_n400#" "X1/S2A" 0.149293
+cap "X1/S4B" "X8/m1_4700_270#" 20.7407
+cap "X1/X3/XM4/a_351_n100#" "X1/X3/SUB" -0.0105476
+cap "X1/S3B" "X7/m1_4700_270#" 1.16765
+cap "X10/XC4/m4_n951_n500#" "X1/S2B" 1.18767
+cap "X8/XC3/m4_n651_n500#" "X1/X3/SUB" 0.289055
+cap "X8/m1_4700_270#" "X1/BIAS" 11.0808
+cap "X10/XC4/m4_n951_n500#" "X8/m1_4700_270#" 249.83
+cap "X1/S2A" "X1/S4A" 0.0670881
+cap "X1/S1A" "X8/m1_4700_270#" 28.8132
+cap "X1/S1B" "X8/m1_4700_270#" 26.745
+cap "X1/X3/XM4/a_351_n100#" "X1/VDD" -0.000505109
+cap "X1/S2A" "X8/m1_4700_270#" 127.27
+cap "X7/XC4/c2_n851_n400#" "X8/m1_4700_270#" 2.75555
+cap "X10/XC4/m4_n951_n500#" "X1/X3/SUB" 4.24974
+cap "X1/S3A" "X1/X3/XM4/a_351_n100#" 4.66403
+cap "X1/S3A" "X8/XC3/m4_n651_n500#" 0.572982
+cap "X1/S3A" "X1/S4B" 0.959927
+cap "X7/XC4/c2_n851_n400#" "X1/X3/SUB" 0.0293612
+cap "X1/S2B" "X1/S4A" 0.0272
+cap "X1/X3/XM4/a_351_n100#" "X1/S3B" 18.2189
+cap "X1/S2B" "X8/m1_4700_270#" 171.656
+cap "X1/S4A" "X8/m1_4700_270#" 75.4536
+cap "X1/GND" "X8/m1_4700_270#" 49.4254
+cap "X1/S2B" "X9/XC4/m4_n951_n500#" 0.221223
+cap "X9/XC4/m4_n951_n500#" "X8/m1_4700_270#" 62.8595
+cap "X1/S3A" "X1/BIAS" -8.13342
+cap "X1/S4B" "X1/S3B" 0.627351
+cap "X10/XC4/m4_n951_n500#" "X1/S3A" 17.5446
+cap "X1/S3A" "X1/S1B" 2.41461
+cap "X1/S3A" "X1/S1A" 3.08884
+cap "X1/S2A" "X1/X4/OUTB" 0.072746
+cap "X1/S3A" "X1/S2A" 12.9441
+cap "X1/X3/SUB" "X1/S4A" 5.60918e-05
+cap "X1/S3B" "X1/BIAS" 2.09041
+cap "X1/X3/SUB" "X8/m1_4700_270#" 153.645
+cap "X1/X3/SUB" "X9/XC4/m4_n951_n500#" 5.25808
+cap "X1/S3A" "X7/XC4/c2_n851_n400#" 0.492024
+cap "X10/XC4/m4_n951_n500#" "X1/S3B" 3.12289
+cap "X1/S1B" "X1/S3B" 2.3383
+cap "X1/X3/XM1/a_n945_n188#" "X8/m1_4700_270#" 0.286822
+cap "X1/S1A" "X1/S3B" 3.12772
+cap "X1/S2A" "X7/m1_4700_270#" 1.32952
+cap "X1/S2A" "X1/S3B" 22.7906
+cap "X7/XC4/c2_n851_n400#" "X1/S3B" 2.11068
+cap "X8/XC3/m4_n651_n500#" "X1/X3/XM4/a_351_n100#" 1.58622e-05
+cap "X8/m1_4700_270#" "X1/VDD" 11.5857
+cap "X1/S2B" "X1/X4/OUTB" 0.0737146
+cap "X8/m1_4700_270#" "X1/X3/XM1/a_n945_n188#" 2.99756
+cap "X1/S3A" "X1/S2B" 11.7854
+cap "X1/S3A" "X1/S4A" 1.82568
+cap "X1/S3A" "X1/GND" 72.274
+cap "X1/X4/OUTB" "X8/m1_4700_270#" 1.45352
+cap "X1/S3A" "X8/m1_4700_270#" 1616.54
+cap "X1/S3A" "X9/XC4/m4_n951_n500#" 5.57656
+cap "X1/X3/XM4/a_351_n100#" "X1/BIAS" -0.000120237
+cap "X1/S2B" "X1/S3B" 17.4904
+cap "X1/S3B" "X1/S4A" 2.01868
+cap "X1/S3B" "X1/GND" 4.53972
+cap "X10/XC4/m4_n951_n500#" "X1/X3/XM4/a_351_n100#" 0.0646425
+cap "X8/m1_4700_270#" "X7/m1_4700_270#" 51.9568
+cap "X1/S3B" "X9/XC4/m4_n951_n500#" 5.30124
+cap "X1/S3B" "X8/m1_4700_270#" 86.5787
+cap "X1/S3A" "X1/X3/SUB" 48.5723
+cap "X8/XC3/m4_n651_n500#" "X1/S2A" 0.0115498
+cap "X1/X3/SUB" "X7/m1_4700_270#" 1.00876
+cap "X1/X3/SUB" "X1/S3B" 29.2102
+cap "X1/S3A" "X1/VDD" -8.46227
+cap "X1/S3A" "X1/X4/OUTB" 0.142941
+cap "X1/X4/XM3/a_n129_n100#" "X8/m1_4700_270#" 1.3832
+cap "X10/XC4/m4_n951_n500#" "X1/S2A" 2.67219
+cap "X1/X3/XM4/a_351_n100#" "X1/GND" -0.000905729
+cap "X1/S3B" "X1/VDD" 2.2163
+cap "X1/S3A" "X9/m1_4700_270#" 214.052
+cap "X9/m1_4700_270#" "X8/m1_4700_270#" 62.8595
+cap "X1/S3A" "X11/XC4/m4_n951_n500#" 0.752173
+cap "X1/BIAS" "X8/IN" 0.214684
+cap "X1/S3A" "CTRL5" -2.5219
+cap "X9/m1_4700_270#" "X1/S2B" 7.54782
+cap "X1/BIAS" "X9/m1_4700_270#" 66.7508
+cap "X8/IN" "X1/VDD" 0.295199
+cap "X9/m1_4700_270#" "X8/IN" 0.541837
+cap "X1/GND" "X9/m1_4700_270#" 9.90923
+cap "X9/m1_4700_270#" "X1/VDD" 10.7575
+cap "X1/S3A" "X1/X3/INA" 0.154686
+cap "X1/X3/SUB" "X1/S3A" 4.69318
+cap "X9/m1_4700_270#" "X11/XC4/m4_n951_n500#" 156.122
+cap "X1/S3A" "X8/m1_4700_270#" 37.1323
+cap "X1/S3A" "X1/X3/XM1/a_351_n100#" 0.47774
+cap "X1/S3A" "CTRL4" -0.725268
+cap "X1/S3A" "X1/BIAS" 173.551
+cap "X1/BIAS" "X8/m1_4700_270#" 1.41442
+cap "X1/S3A" "X8/IN" 42.7873
+cap "X1/S3A" "X1/GND" 25.7231
+cap "X1/S3A" "X1/VDD" 103.331
+cap "X1/X3/SUB" "X9/m1_4700_270#" 2.77874
+cap "X1/S4A" "X9/m1_4700_270#" 0.997016
+cap "X8/m1_4700_270#" "X1/VDD" 1.85839
+cap "X9/IN" "CTRL5" 13.2299
+cap "CTRL3" "X9/IN" 4.01481
+cap "X9/m1_4700_270#" "CTRL5" 84.2349
+cap "CTRL4" "X9/IN" 5.04098
+cap "CTRL3" "X9/m1_4700_270#" 20.3988
+cap "CTRL4" "X9/m1_4700_270#" 24.4591
+cap "CTRL2" "X9/IN" 2.81474
+cap "CTRL2" "X9/m1_4700_270#" 14.2425
+cap "CTRL1" "X9/IN" 1.37166
+cap "CTRL1" "X9/m1_4700_270#" 6.72372
+cap "X9/m1_4700_270#" "X11/IN" 0.456501
+cap "X11/XC4/m4_n951_n500#" "X9/IN" 0.339902
+cap "X11/XC4/m4_n951_n500#" "X9/m1_4700_270#" 93.7079
+cap "CTRL1" "X9/XC4/c2_n851_n400#" 0.190927
+cap "X9/m1_4700_270#" "CTRL1" 1.84756
+cap "bias_calc_0/VOP" "X3/X5/XR18/a_n415_n4762#" 0.508079
+cap "GND" "bias_calc_0/XM1/a_547_n100#" 4.24343
+cap "GND" "bias_calc_0/XM1/w_n1127_n319#" 0.508872
+cap "GND" "bias_calc_0/XM1/a_605_n197#" 0.0309506
+cap "bias_calc_0/XM1/a_547_n100#" "X3/X5/XR18/a_n415_n4762#" 19.5882
+cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/XM1/w_n1127_n319#" 1.43157
+cap "bias_calc_0/XM1/a_605_n197#" "X3/X5/XR18/a_n415_n4762#" 0.159313
+cap "bias_calc_0/VOP" "m1_36045_25020#" 0.268296
+cap "GND" "bias_calc_0/AMP" 2.35858
+cap "m1_36045_25020#" "bias_calc_0/XM1/a_547_n100#" 9.6574
+cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/AMP" 6.12726
+cap "m1_36045_25020#" "bias_calc_0/XM1/w_n1127_n319#" 2.56717
+cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XM1/w_n1127_n319#" -0.475575
+cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XM1/a_605_n197#" -1.06182
+cap "m1_36045_25020#" "bias_calc_0/XM1/a_605_n197#" 4.80925
+cap "bias_calc_0/VOP" "bias_calc_0/AMP" 0.136347
+cap "bias_calc_0/XM1/a_605_n197#" "bias_calc_0/XM1/w_n1127_n319#" -2.13963
+cap "m1_36045_25020#" "bias_calc_0/XM1/a_675_n100#" 0.0590444
+cap "m1_36045_25020#" "bias_calc_0/AMP" 3.13012
+cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/AMP" 4.15011
+cap "bias_calc_0/AMP" "bias_calc_0/XM1/w_n1127_n319#" 0.275797
+cap "GND" "bias_calc_0/VOP" 0.197059
+cap "bias_calc_0/XM1/a_605_n197#" "m1_36045_25020#" 7.26377
+cap "m1_36045_25020#" "bias_calc_0/VOP" 5.69538
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/AMP" 49.8657
+cap "GND" "bias_calc_0/AMP" 783.549
+cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/AMP" 87.2456
+cap "bias_calc_0/XM1/w_n1127_n319#" "m1_36045_25020#" 117.868
+cap "bias_calc_0/XM1/a_547_n100#" "X3/X5/XR18/a_n415_n4762#" 91.5494
+cap "GND" "bias_calc_0/XM1/a_547_n100#" 59.5791
+cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/XM1/a_605_n197#" 0.782239
+cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/VOP" 6.85299
+cap "GND" "bias_calc_0/XM1/a_605_n197#" 0.242607
+cap "GND" "bias_calc_0/VOP" 3.73195
+cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/AMP" 41.8779
+cap "X3/X5/XR18/a_n415_n4762#" "bias_calc_0/XM1/w_n1127_n319#" 125.976
+cap "GND" "bias_calc_0/XM1/w_n1127_n319#" 90.2254
+cap "bias_calc_0/XR19/a_n285_760#" "m1_36045_25020#" 54.3272
+cap "X3/X5/XR18/a_n415_n4762#" "m1_36045_25020#" 185.285
+cap "bias_calc_0/XM1/a_675_n100#" "m1_36045_25020#" 1.0019
+cap "GND" "m1_36045_25020#" -21.6403
+cap "bias_calc_0/AMP" "bias_calc_0/VOP" 3.01159
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/AMP" 54.9099
+cap "bias_calc_0/XR19/a_n285_760#" "X3/X5/XR18/a_n415_n4762#" 159.621
+cap "bias_calc_0/XM1/a_547_n100#" "bias_calc_0/XM1/w_n1127_n319#" -1.79077
+cap "bias_calc_0/AMP" "m1_36045_25020#" 97.1021
+cap "bias_calc_0/XR19/a_n285_760#" "GND" 68.8667
+cap "GND" "X3/X5/XR18/a_n415_n4762#" 89.2011
+cap "bias_calc_0/XM1/a_547_n100#" "m1_36045_25020#" 68.4812
+cap "bias_calc_0/XM1/w_n1127_n319#" "bias_calc_0/VOP" -1.20535
+cap "bias_calc_0/AMP" "GND" 1270.9
+cap "X3/X1/XM1/a_447_n100#" "X3/X5/IN1" -0.0719972
+cap "m1_46335_31170#" "X3/X5/IN1" 3.26491
+cap "X3/X3/SUB" "X3/X1/OUTA" -1.13687e-13
+cap "VDD" "X3/X4/OUTB" 0.0105142
+cap "X3/X4/GND" "X3/X4/OUTB" 5.68434e-13
+cap "VDD" "X3/X4/GND" 5.08426
+cap "VDD" "X3/X4/BIAS" 8.96212
+cap "X3/I2B" "X3/X4/GND" 2.20657e-15
+cap "X3/X4/GND" "X3/X4/BIAS" 5.68434e-14
+cap "VDD" "X3/X4/XM2/a_n73_n100#" 9.03277
+cap "VDD" "X3/X4/OUTB" 4.64352
+cap "X3/X4/XM2/a_n73_n100#" "X3/X4/GND" -5.68434e-12
+cap "X3/I3B" "X3/X4/GND" -3.10862e-15
+cap "X3/X4/GND" "X3/X4/OUTB" 3.21165e-12
+cap "GND" "X3/X4/BIAS" 2.52549
+cap "X3/X4/GND" "GND" 0.459132
+cap "X3/I2A" "X3/X4/GND" 4.10783e-15
+cap "X3/X4/XM2/a_n73_n100#" "GND" 1.33426
+cap "GND" "X3/X4/OUTB" 0.483004
+cap "VDD" "X3/I4A" 10.6549
+cap "X3/I4A" "X3/X4/GND" 4.12115e-13
+cap "X3/X4/GND" "X3/I3A" 1.11022e-15
+cap "X3/X4/GND" "X3/I1A" 3.19744e-14
+cap "X3/I4A" "GND" 3.32974
+cap "X3/I4B" "VDD" 2.47966
+cap "X3/I4B" "X3/X4/GND" -7.10543e-13
+cap "X3/X4/GND" "X3/I1B" -1.5099e-14
+cap "X1/S4B" "GND" 32.361
+cap "X1/S4B" "VDD" 65.728
+cap "GND" "X1/X1/XR17/a_n415_n1322#" 34.956
+cap "VDD" "X1/X1/XR17/a_n415_n1322#" 147.015
+cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 0.00541207
+cap "X3/X4/OUTA" "GND" 424.28
+cap "X3/X4/OUTA" "VDD" 451.761
+cap "X3/X4/OUTA" "X4/IN" 1.50383
+cap "X3/X4/OUTA" "X3/I4A" 1.50383
+cap "X3/X4/XM2/a_n73_n100#" "GND" 7.09623
+cap "X3/X4/XM2/a_n73_n100#" "VDD" 91.5764
+cap "GND" "X4/IN" 21.1123
+cap "VDD" "X4/IN" 45.8151
+cap "X3/I4A" "GND" 24.2813
+cap "X3/I4A" "VDD" 57.6004
+cap "X1/S1A" "X3/X4/OUTA" 1.50383
+cap "X1/X1/XM4/a_n945_n188#" "X1/X1/XR17/a_n415_n1322#" 1.77636e-15
+cap "X3/X4/XR1/a_n573_n3472#" "VDD" 17.0129
+cap "X3/X4/OUTA" "X1/X1/XM4/a_n945_n188#" -0.440745
+cap "X1/S1A" "GND" 15.4642
+cap "X1/S1A" "VDD" 14.5493
+cap "X1/X1/XM4/a_n945_n188#" "GND" 1.58081
+cap "VDD" "X1/X1/XM4/a_n945_n188#" 13.6594
+cap "X1/S4B" "X1/X1/XR17/a_n415_n1322#" -1.42109e-14
+cap "X1/S4B" "X3/X4/OUTA" 1.50383
+cap "X3/X4/OUTA" "X1/X1/XR17/a_n415_n1322#" 6.84761
+cap "X4/XC4/m4_n951_n500#" "GND" 48.1827
+cap "X3/I3A" "X4/IN" 10.524
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XM3/a_n129_n100#" 1.96337
+cap "X1/S4B" "X4/IN" 52.056
+cap "X3/X4/OUTA" "X6/IN" 4.44089e-16
+cap "X1/X1/INB" "X1/S4B" 1.13687e-13
+cap "X1/X1/XM3/a_n129_n100#" "GND" 9.76137
+cap "X1/X1/XM4/a_n945_n188#" "X4/IN" 10.8064
+cap "X1/X1/XR17/a_n415_n1322#" "X4/XC3/m4_n651_n500#" 0.51089
+cap "X1/X1/XM4/a_n945_n188#" "X1/X1/INB" 0.266619
+cap "X1/S2A" "X1/X1/XR17/a_n415_n1322#" 0.354036
+cap "X1/X1/XR17/a_n415_n1322#" "VDD" 1.53571
+cap "X1/X1/GND" "X3/X4/OUTA" 7.08863
+cap "X1/X1/INB" "X4/IN" 40.9435
+cap "X4/XC4/m4_n951_n500#" "X4/IN" 77.9373
+cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/OUTA" 63.271
+cap "X1/X1/XM3/a_n129_n100#" "X4/IN" 14.9228
+cap "X5/IN" "X3/X4/OUTA" -8.88178e-16
+cap "X3/X4/OUTA" "GND" 113.936
+cap "X4/XC3/m4_n651_n500#" "X4/IN" 1.48735
+cap "X4/XC3/m4_n651_n500#" "X1/X1/INB" 0.00468648
+cap "VDD" "X4/IN" 1.65321
+cap "X1/S2A" "X4/IN" 6.68797
+cap "X1/S4B" "X3/X4/OUTA" 15.1922
+cap "X1/X1/XM4/a_n945_n188#" "X3/X4/OUTA" -0.06091
+cap "X3/X4/OUTA" "X4/IN" 285.418
+cap "X1/X1/INB" "X3/X4/OUTA" 61.3638
+cap "X1/X1/XR17/a_n415_n1322#" "X6/IN" 0.239033
+cap "X1/X1/XR17/a_n415_n1322#" "X1/S3B" 0.564989
+cap "X4/XC4/m4_n951_n500#" "X3/X4/OUTA" 5.7732
+cap "X1/X1/GND" "X1/X1/XR17/a_n415_n1322#" 0.883306
+cap "X1/X1/XM3/a_n129_n100#" "X3/X4/OUTA" 7.4778
+cap "X1/S4B" "X6/IN" 0.0537625
+cap "X1/X1/XR17/a_n415_n1322#" "X5/IN" 2.65498
+cap "X4/IN" "X1/S3B" 14.1934
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 48.3356
+cap "X4/IN" "X6/IN" 3.62654
+cap "X1/X1/INB" "X6/IN" 0.155177
+cap "X5/IN" "GND" 31.0119
+cap "X1/X1/GND" "X4/IN" -10.5831
+cap "X1/X1/GND" "X1/X1/INB" 0.00271665
+cap "X3/I3A" "X1/X1/XR17/a_n415_n1322#" 0.46328
+cap "X1/X1/XM4/a_n945_n188#" "X1/X1/XR17/a_n415_n1322#" 2.19318
+cap "X1/X1/XR17/a_n415_n1322#" "X1/S4B" 6.57761
+cap "X1/X1/XR17/a_n415_n1322#" "X4/IN" 117.371
+cap "X1/S4B" "GND" 38.565
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/INB" 11.2874
+cap "X5/IN" "X4/IN" -4.47344
+cap "X4/IN" "GND" 189.7
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XR17/a_n415_n1322#" 14.0758
+cap "X1/X1/INB" "GND" 48.9823
+cap "X4/XC4/m4_n951_n500#" "X1/S3A" 26.745
+cap "X1/X1/GND" "X4/IN" 0.000756037
+cap "X1/S4B" "GND" 0.0980439
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM1/a_n945_n188#" 3.47429
+cap "X4/IN" "X5/XC4/m4_n951_n500#" 4.16803
+cap "X4/IN" "X7/IN" 1.53657
+cap "X1/X1/XM3/a_n129_n100#" "X1/SUB" 0.815096
+cap "X5/IN" "X7/IN" 0.514268
+cap "X5/IN" "X5/XC4/m4_n951_n500#" 21.0039
+cap "X1/X1/GND" "X4/XC4/m4_n951_n500#" 6.19241
+cap "X4/IN" "X1/S4A" 29.3472
+cap "X4/XC4/m4_n951_n500#" "X7/IN" 45.4059
+cap "X4/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 64.2145
+cap "X1/S4A" "X1/X1/XM4/a_n945_n188#" 0.00736602
+cap "X5/IN" "X1/S4A" 3.60453
+cap "X1/S4A" "X4/XC3/m4_n651_n500#" 0.00498281
+cap "X6/m1_4700_270#" "X1/X1/XM3/a_n129_n100#" 20.5259
+cap "X1/X1/GND" "X1/SUB" 0.00700183
+cap "X4/XC4/m4_n951_n500#" "X1/S4A" 124.002
+cap "X4/IN" "X1/X1/XM4/a_n945_n188#" 0.00460207
+cap "X1/S4A" "X6/IN" 0.0726095
+cap "X5/XC4/m4_n951_n500#" "X1/SUB" 5.21041
+cap "X5/IN" "X4/IN" 191.294
+cap "X4/IN" "X4/XC3/m4_n651_n500#" 0.155949
+cap "X1/X1/XM3/a_n129_n100#" "GND" 0.986162
+cap "X4/XC4/m4_n951_n500#" "X4/IN" 285.235
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM4/a_n945_n188#" 0.609735
+cap "X1/S4A" "X1/SUB" 1.00227
+cap "X4/IN" "X6/IN" 0.778655
+cap "X5/IN" "X4/XC4/m4_n951_n500#" 766.41
+cap "X5/XC4/m4_n951_n500#" "X1/S4B" 9.78006
+cap "X1/S4B" "X7/IN" 0.00362566
+cap "X5/IN" "X6/IN" 0.254102
+cap "X4/IN" "X1/SUB" 49.0461
+cap "X4/XC4/m4_n951_n500#" "X6/IN" 35.5629
+cap "X1/SUB" "X1/X1/XM4/a_n945_n188#" 0.00750489
+cap "X5/IN" "X1/SUB" 26.4794
+cap "X1/S4A" "X6/m1_4700_270#" 4.33495
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM1/a_n897_n100#" 10.1495
+cap "X1/SUB" "X4/XC3/m4_n651_n500#" 1.44435
+cap "X1/X1/XM3/a_n129_n100#" "X3/X4/XR2/a_n573_n3472#" 2.6031
+cap "X4/XC4/m4_n951_n500#" "X1/SUB" 177.52
+cap "X4/IN" "X1/S4B" 23.8286
+cap "X1/S4A" "GND" 1.21997
+cap "X4/IN" "X6/m1_4700_270#" 8.82548
+cap "X5/IN" "X1/S4B" 11.4239
+cap "X1/X1/GND" "X1/X1/XM3/a_n129_n100#" 0.00012734
+cap "X5/IN" "X6/m1_4700_270#" 8.33056
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM1/a_n945_n188#" 4.35794
+cap "X4/XC4/m4_n951_n500#" "X1/S4B" 298.418
+cap "X5/XC4/m4_n951_n500#" "X1/X1/XM3/a_n129_n100#" 0.925794
+cap "X4/XC4/m4_n951_n500#" "X6/m1_4700_270#" 249.83
+cap "X4/IN" "GND" 3.20037
+cap "X6/IN" "X1/S4B" 0.608488
+cap "X1/S4A" "X1/X1/XM3/a_n129_n100#" -0.02512
+cap "X4/XC4/m4_n951_n500#" "GND" 34.4715
+cap "X4/IN" "X1/S3B" 3.13792
+cap "X6/m1_4700_270#" "X1/SUB" 10.925
+cap "X1/S4A" "X3/X4/XR2/a_n573_n3472#" 8.04286
+cap "X5/IN" "X1/S3B" 1.00541
+cap "X4/IN" "X1/X1/XM3/a_n129_n100#" 48.1696
+cap "X4/XC4/m4_n951_n500#" "X1/X2/XM4/a_n989_n100#" 39.423
+cap "X4/XC4/m4_n951_n500#" "X1/S3B" 28.8132
+cap "X5/IN" "X1/X1/XM3/a_n129_n100#" 41.0387
+cap "X1/SUB" "GND" 0.0258265
+cap "X4/IN" "X3/X4/XR2/a_n573_n3472#" 4.15233
+cap "X1/X1/XM3/a_n129_n100#" "X4/XC3/m4_n651_n500#" 3.74889e-06
+cap "X4/IN" "X1/S3A" 2.34611
+cap "X1/X1/GND" "X1/S4A" 0.00298592
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM4/a_n945_n188#" 4.27878
+cap "X6/m1_4700_270#" "X1/S4B" 36.3098
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM3/a_n129_n100#" 1027.53
+cap "X5/IN" "X1/S3A" 0.766068
+cap "X1/S4A" "X7/IN" 0.0103255
+cap "X4/XC4/m4_n951_n500#" "X3/X4/XR2/a_n573_n3472#" 16.2993
+cap "X1/S3A" "X1/S4B" -0.487788
+cap "X5/XC4/m4_n951_n500#" "X4/XC4/m4_n951_n500#" 64.2145
+cap "X1/X4/XM3/a_n129_n100#" "X5/IN" 0.00859056
+cap "X5/IN" "X1/X3/INB" 3.39997
+cap "X5/IN" "X5/XC4/m4_n951_n500#" 179.399
+cap "X5/IN" "X1/S3B" 14.614
+cap "X4/IN" "X1/S4B" 0.124678
+cap "X1/S3A" "X5/IN" 10.8326
+cap "X1/S1B" "X7/IN" 0.330303
+cap "X5/XC4/m4_n951_n500#" "X1/X3/INB" 13.1471
+cap "X1/S3B" "X5/XC4/m4_n951_n500#" 25.5998
+cap "X1/S3A" "X5/XC4/m4_n951_n500#" 16.7963
+cap "X1/S4B" "X1/X4/XM2/a_n221_n100#" -0.0277984
+cap "X1/S1B" "X7/m1_4700_270#" 1.20045
+cap "X1/SUB" "X7/m1_4700_270#" 2.24326
+cap "X7/IN" "X1/S4A" 0.170176
+cap "X1/S4B" "X1/SUB" -1.53524
+cap "X7/m1_4700_270#" "X1/S4A" 0.993219
+cap "X1/S4B" "X7/IN" 0.210642
+cap "X1/SUB" "X4/XC4/m4_n951_n500#" 0.965099
+cap "X4/IN" "X5/XC4/m4_n951_n500#" 1.55493
+cap "X1/S4B" "X7/m1_4700_270#" 11.3724
+cap "X1/S1B" "X5/IN" 21.5034
+cap "X5/IN" "X1/SUB" 67.9994
+cap "X5/IN" "X1/X1/XM3/a_n129_n100#" 0.0473086
+cap "X5/IN" "X7/IN" 9.92209
+cap "X1/S1B" "X5/XC4/m4_n951_n500#" 21.6563
+cap "X1/S4B" "X4/XC4/m4_n951_n500#" 3.89294
+cap "X1/SUB" "X5/XC4/m4_n951_n500#" 75.9132
+cap "X5/IN" "X1/S4A" 24.3699
+cap "X1/X1/XM3/a_n129_n100#" "X5/XC4/m4_n951_n500#" 6.19734
+cap "X5/IN" "X7/m1_4700_270#" 0.752173
+cap "X5/XC4/m4_n951_n500#" "X7/IN" 16.2211
+cap "X1/S4B" "X5/IN" 149.361
+cap "X1/X4/XM3/a_n129_n100#" "X1/S4B" -1.51313
+cap "X5/XC4/m4_n951_n500#" "X1/S4A" 22.257
+cap "X5/IN" "X4/XC4/m4_n951_n500#" 0.451145
+cap "X7/m1_4700_270#" "X5/XC4/m4_n951_n500#" 156.122
+cap "X1/S4B" "X5/XC4/m4_n951_n500#" 725.332
+cap "X1/S4B" "X1/S3B" -4.5993
+cap "X4/IN" "X1/SUB" 0.207285
+cap "X1/S1B" "X5/IN" 1.3101
+cap "X5/XC4/m4_n951_n500#" "X1/S4B" 952.167
+cap "X1/S4B" "X1/X4/XM4/a_n897_n100#" 131.233
+cap "X1/X4/XM3/a_n129_n100#" "X5/IN" 22.8756
+cap "X5/XC4/m4_n951_n500#" "X1/S2B" 7.89245
+cap "X1/S3A" "X10/XC3/m4_n651_n500#" 0.000173372
+cap "X1/S4B" "X1/SUB" 116.327
+cap "X1/S4A" "X5/XC4/m4_n951_n500#" 30.3344
+cap "X10/XC4/m4_n951_n500#" "X5/IN" 5.53793
+cap "X1/S4A" "X1/SUB" 0.271942
+cap "X1/S1A" "X5/IN" 1.11584
+cap "X10/XC4/m4_n951_n500#" "X1/X4/XM3/a_n129_n100#" 1.02836
+cap "X1/S4B" "X1/S3A" 50.4361
+cap "X5/XC4/m4_n951_n500#" "X7/IN" 9.59575
+cap "X1/S3B" "X5/IN" 6.22755
+cap "X1/S1B" "X1/S3B" 0.32088
+cap "X1/S3B" "X1/X4/XM3/a_n129_n100#" 0.0038893
+cap "X1/S4A" "X1/S3A" 0.169285
+cap "X1/S4B" "X10/XC3/m4_n651_n500#" 12.6507
+cap "X5/XC4/m4_n951_n500#" "X7/m1_4700_270#" 93.7079
+cap "X10/XC4/m4_n951_n500#" "X1/S3B" 25.7622
+cap "X1/S4A" "X10/XC3/m4_n651_n500#" 0.00501773
+cap "X5/XC4/m4_n951_n500#" "X5/IN" -8.87292
+cap "X5/XC4/m4_n951_n500#" "X1/S1B" 12.8656
+cap "X7/m1_4700_270#" "X1/SUB" 1.295
+cap "X5/XC4/m4_n951_n500#" "X1/X4/XM3/a_n129_n100#" 59.2203
+cap "X1/S4B" "X1/S2B" 5.95907
+cap "X1/SUB" "X5/IN" 17.2496
+cap "X1/S4A" "X1/S4B" 39.0498
+cap "X1/S4B" "X1/X4/XM4/a_n945_n188#" 30.8717
+cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 58.7944
+cap "X1/S1A" "X5/XC4/m4_n951_n500#" 12.3367
+cap "X1/S4B" "X1/X4/XM4/a_n945_n188#" 37.1397
+cap "X1/S3A" "X5/IN" 1.89626
+cap "X5/XC4/m4_n951_n500#" "X1/S3B" 140.067
+cap "X10/XC4/m4_n951_n500#" "X1/SUB" 9.41193
+cap "X1/S4B" "X7/IN" 8.91115
+cap "X1/S3B" "X1/SUB" 0.427677
+cap "X1/S4A" "X7/IN" 0.0205327
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC3/m4_n651_n500#" 3.89531e-06
+cap "X10/XC4/m4_n951_n500#" "X1/S3A" 9.59499
+cap "X7/m1_4700_270#" "X1/S4B" 11.0699
+cap "X1/S4B" "X1/S1B" 21.3643
+cap "X1/S4B" "X5/IN" 139.819
+cap "X1/S4A" "X7/m1_4700_270#" 0.571596
+cap "X1/S2B" "X5/IN" 0.183809
+cap "X1/S4B" "X1/X4/XM3/a_n129_n100#" 511.194
+cap "X1/S3B" "X10/XC3/m4_n651_n500#" 0.00025339
+cap "X5/XC4/m4_n951_n500#" "X1/SUB" 85.0734
+cap "X1/S4A" "X5/IN" 5.18143
+cap "X10/XC4/m4_n951_n500#" "X1/S4B" 50.8271
+cap "X5/XC4/m4_n951_n500#" "X1/S3A" 32.0937
+cap "X1/S1A" "X1/S4B" 18.683
+cap "X7/IN" "X5/IN" 0.416296
+cap "X1/S4B" "X1/S3B" 139.511
+cap "X1/S1B" "X7/IN" 0.0388672
+cap "X1/S4A" "X1/S3B" 0.199911
+cap "X7/m1_4700_270#" "X5/IN" 0.339902
+cap "X7/m1_4700_270#" "X1/S1B" 0.680106
+cap "X1/SUB" "X10/XC3/m4_n651_n500#" 1.15253
+cap "X1/X4/OUTB" "X1/GND" 4.91118
+cap "X1/BIAS" "X1/S4A" -8.93673
+cap "X1/S4A" "X1/S2B" 1.02095
+cap "X5/XC4/c2_n851_n400#" "X10/XC4/m4_n951_n500#" 3.20054
+cap "X1/S3B" "X1/S3A" 6.73361e-05
+cap "X1/X4/SUB" "X10/XC4/m4_n951_n500#" 158.76
+cap "X1/X4/SUB" "X1/X4/XM3/a_n129_n100#" -0.048376
+cap "X1/X4/XM1/a_n897_n100#" "X10/XC4/m4_n951_n500#" 10.0234
+cap "X1/X4/OUTB" "X1/BIAS" 2.2481
+cap "X1/S4B" "X10/XC4/m4_n951_n500#" 120.764
+cap "X1/X4/OUTB" "X1/S2B" 0.674596
+cap "X1/X4/SUB" "X5/XC4/m4_n951_n500#" 1.00055
+cap "X1/X4/SUB" "X1/S4A" 53.3036
+cap "X5/XC4/c2_n851_n400#" "X1/S4A" 0.48963
+cap "X1/S1B" "X10/XC4/m4_n951_n500#" 34.0741
+cap "X1/S3A" "X10/XC3/m4_n651_n500#" 0.00930191
+cap "X1/S4B" "X1/S4A" 12.2012
+cap "X11/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 62.0852
+cap "X8/m1_4700_270#" "X10/XC4/m4_n951_n500#" 249.83
+cap "X1/VDD" "X10/XC4/m4_n951_n500#" 11.472
+cap "X1/X4/OUTB" "X1/X4/SUB" 32.7007
+cap "X1/S1B" "X1/S4A" 4.63802
+cap "X1/X4/OUTB" "X5/XC4/c2_n851_n400#" 2.38128
+cap "X1/X4/XM3/a_n129_n100#" "X8/m1_4700_270#" 0.0665632
+cap "X1/VDD" "X1/X4/XM3/a_n129_n100#" -0.00233376
+cap "X1/S3A" "X10/XC4/m4_n951_n500#" 228.602
+cap "X1/X4/OUTB" "X1/S4B" 13.7611
+cap "X11/XC4/m4_n951_n500#" "X1/S4A" 5.96845
+cap "X1/S4A" "X8/m1_4700_270#" 30.2925
+cap "X1/S3B" "X10/XC4/m4_n951_n500#" 67.4043
+cap "X1/VDD" "X1/S4A" -9.29805
+cap "X1/S2A" "X10/XC4/m4_n951_n500#" 32.6703
+cap "X1/X4/OUTB" "X1/S1B" 4.93596
+cap "X5/XC4/m4_n951_n500#" "X1/S3A" 5.25186
+cap "X1/S4A" "X1/S3A" 11.3326
+cap "X1/X4/OUTB" "X11/XC4/m4_n951_n500#" 5.70115
+cap "X1/X4/OUTB" "X8/m1_4700_270#" 1.09207
+cap "X1/X4/OUTB" "X1/VDD" 2.38349
+cap "X1/S3B" "X1/S4A" 3.664
+cap "X1/S4A" "X1/S2A" 1.76033
+cap "X1/X4/SUB" "X5/XC4/c2_n851_n400#" 0.0367048
+cap "X1/X4/OUTB" "X1/S3A" 23.4744
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC3/m4_n651_n500#" 4.38114e-07
+cap "X1/X4/OUTB" "X1/S3B" 5.36727
+cap "X10/XC4/m4_n951_n500#" "X1/S1A" 32.7168
+cap "X1/X4/OUTB" "X1/S2A" 1.51104
+cap "X1/S4A" "X10/XC3/m4_n651_n500#" 0.540105
+cap "X10/XC4/m4_n951_n500#" "X1/X3/XM4/a_n989_n100#" 1.35929
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC4/m4_n951_n500#" 130.146
+cap "X1/X4/SUB" "X8/m1_4700_270#" 4.35502
+cap "X1/S4A" "X1/S1A" 4.01856
+cap "X1/X4/SUB" "X11/XC4/m4_n951_n500#" 5.63365
+cap "X5/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 58.7944
+cap "X1/S4A" "X10/XC4/m4_n951_n500#" 1706.02
+cap "X1/S4B" "X11/XC4/m4_n951_n500#" 0.221223
+cap "X1/S4B" "X8/m1_4700_270#" 1.20191
+cap "X1/X4/XM1/a_n945_n188#" "X10/XC4/m4_n951_n500#" 0.290229
+cap "X1/X4/XM1/a_n945_n188#" "X10/XC4/m4_n951_n500#" 3.03571
+cap "X10/XC4/m4_n951_n500#" "X1/GND" 47.428
+cap "X1/X4/SUB" "X1/S3A" 4.01452e-05
+cap "X1/X4/XM3/a_n129_n100#" "X1/S4A" 15.7119
+cap "X5/XC4/c2_n851_n400#" "X1/S3A" 0.136828
+cap "X1/X4/OUTB" "X1/S1A" 4.17886
+cap "X1/S1B" "X8/m1_4700_270#" 1.77017
+cap "X5/XC4/m4_n951_n500#" "X1/S4A" 4.19332
+cap "X1/X4/XM3/a_n129_n100#" "X1/GND" -0.00418475
+cap "X1/S4B" "X1/S3A" 0.0247665
+cap "X1/X4/OUTB" "X10/XC4/m4_n951_n500#" 43.1645
+cap "X1/S3B" "X1/S4B" 0.0229182
+cap "X1/S4A" "X1/GND" 76.7816
+cap "X1/S1B" "X1/S3A" 0.118749
+cap "X1/X4/OUTB" "X1/X4/XM3/a_n129_n100#" 19.1904
+cap "X1/BIAS" "X10/XC4/m4_n951_n500#" 10.972
+cap "X1/X4/OUTB" "X5/XC4/m4_n951_n500#" 1.23551
+cap "X1/S3B" "X1/S1B" 0.142864
+cap "X10/XC4/m4_n951_n500#" "X1/S2B" 21.0017
+cap "X1/X4/OUTB" "X1/S4A" 235.277
+cap "X1/BIAS" "X1/X4/XM3/a_n129_n100#" -0.000555532
+cap "X1/S3A" "X8/m1_4700_270#" 1.1794
+cap "X1/X4/SUB" "X10/XC3/m4_n651_n500#" 1.01014
+cap "X1/VDD" "X10/XC4/m4_n951_n500#" 1.82817
+cap "X1/VDD" "X11/XC4/m4_n951_n500#" 10.8175
+cap "X11/XC4/m4_n951_n500#" "X1/S3A" 0.997016
+cap "CTRL5" "X1/S4A" -2.50899
+cap "X1/GND" "X1/S4A" 27.4207
+cap "X11/XC4/m4_n951_n500#" "X9/m1_4700_270#" 156.122
+cap "X1/BIAS" "X10/IN" 0.233479
+cap "X1/BIAS" "X1/S4A" 184.04
+cap "X11/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 62.0852
+cap "X1/S4A" "X10/IN" 46.7616
+cap "X11/XC4/m4_n951_n500#" "X1/S4B" 7.54782
+cap "X11/XC4/m4_n951_n500#" "X1/X4/SUB" 2.83005
+cap "X1/VDD" "X10/IN" 0.321195
+cap "X1/VDD" "X1/S4A" 109.406
+cap "X1/S4A" "X1/S3A" 0.110482
+cap "X1/X4/XM2/a_n129_n100#" "X1/S4A" 0.524731
+cap "X1/BIAS" "X10/XC4/m4_n951_n500#" 1.39142
+cap "X11/XC4/m4_n951_n500#" "X1/GND" 9.96532
+cap "X9/m1_4700_270#" "X1/S4A" 0.752173
+cap "X11/XC4/m4_n951_n500#" "X1/BIAS" 68.354
+cap "X1/S4A" "X10/XC4/m4_n951_n500#" 40.6631
+cap "X1/S4A" "CTRL4" -0.530162
+cap "X11/XC4/m4_n951_n500#" "X10/IN" 0.801914
+cap "X1/X4/SUB" "X1/S4A" 5.1496
+cap "X11/XC4/m4_n951_n500#" "X1/S4A" 141.607
+cap "X9/IN" "X11/XC4/m4_n951_n500#" 0.456501
+cap "X9/m1_4700_270#" "X11/XC4/m4_n951_n500#" 93.7079
+cap "CTRL2" "X11/XC4/m4_n951_n500#" 14.5882
+cap "X11/IN" "X9/m1_4700_270#" 0.339902
+cap "X11/IN" "CTRL2" 3.05686
+cap "CTRL1" "X11/XC4/m4_n951_n500#" 6.87979
+cap "CTRL4" "X11/XC4/m4_n951_n500#" 25.0699
+cap "X11/IN" "CTRL1" 1.48957
+cap "X11/IN" "CTRL4" 5.48422
+cap "CTRL5" "X11/XC4/m4_n951_n500#" 86.4996
+cap "CTRL3" "X11/XC4/m4_n951_n500#" 20.9061
+cap "CTRL5" "X11/IN" 14.5351
+cap "X11/IN" "CTRL3" 4.36052
+cap "CTRL1" "X11/XC4/m4_n951_n500#" 1.89576
+cap "CTRL1" "X11/XC4/c2_n851_n400#" 0.207394
+cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/VDD" -5.45697e-12
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" -1.11022e-16
+cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" 1.09139e-11
+cap "bias_calc_0/XR20/a_n415_n1702#" "bias_calc_0/VDD" -6.66134e-16
+cap "bias_calc_0/VDD" "bias_calc_0/XM36/a_803_n100#" 0.00490532
+cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/BIAS2V" 0.0926855
+cap "bias_calc_0/VDD" "bias_calc_0/XR20/a_n415_n1702#" -1.53477e-12
+cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" 0.189361
+cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" 5.68434e-14
+cap "bias_calc_0/VDD" "bias_calc_0/XM1/a_n733_n100#" -3.55271e-15
+cap "bias_calc_0/VDD" "X3/BIAS" 3.45717
+cap "bias_calc_0/BIAS2V" "X3/BIAS" 10.8087
+cap "bias_calc_0/AMP" "bias_calc_0/VDD" 24.8248
+cap "bias_calc_0/AMP" "bias_calc_0/BIAS2V" 34.7835
+cap "bias_calc_0/VDD" "bias_calc_0/XM38/a_n1309_n100#" 3.55271e-15
+cap "bias_calc_0/VDD" "X3/X5/GND" 2.49333
+cap "bias_calc_0/BIAS2V" "X3/X5/GND" 0.347921
+cap "bias_calc_0/XM1/a_n733_n100#" "X3/BIAS" 2.75578
+cap "bias_calc_0/AMP" "bias_calc_0/XR19/a_n285_760#" 1.42109e-14
+cap "bias_calc_0/AMP" "bias_calc_0/XM1/a_n733_n100#" 18.4239
+cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" -1.32137
+cap "bias_calc_0/XM1/a_n733_n100#" "X3/X5/GND" 2.00855
+cap "bias_calc_0/AMP" "X3/BIAS" 0.78253
+cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" -0.182078
+cap "bias_calc_0/VDD" "bias_calc_0/XM1/a_n733_n100#" -0.266622
+cap "bias_calc_0/XM1/a_n733_n100#" "bias_calc_0/BIAS2V" -2.07425
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/BIAS2V" -6.00448
+cap "bias_calc_0/AMP" "X3/X5/GND" 2.35588
+cap "bias_calc_0/VDD" "bias_calc_0/AMP" 35.8887
+cap "bias_calc_0/VDD" "X3/BIAS" 0.828808
+cap "bias_calc_0/AMP" "bias_calc_0/XM1/a_n733_n100#" 67.181
+cap "X3/BIAS" "bias_calc_0/XM1/a_n733_n100#" 18.5875
+cap "X3/X5/GND" "bias_calc_0/XR19/a_n285_760#" 346.6
+cap "X3/X5/GND" "bias_calc_0/AMP" 660.339
+cap "X3/BIAS" "X3/X5/GND" 173.213
+cap "X3/X5/GND" "bias_calc_0/BIAS2V" 0.744612
+cap "bias_calc_0/AMP" "bias_calc_0/BIAS2V" 36.7165
+cap "bias_calc_0/AMP" "bias_calc_0/XR19/a_n285_760#" 233.526
+cap "X3/BIAS" "bias_calc_0/BIAS2V" 3.02386
+cap "X3/BIAS" "bias_calc_0/XR19/a_n285_760#" 89.251
+cap "X3/BIAS" "bias_calc_0/AMP" 33.0858
+cap "bias_calc_0/PSUB" "X3/X5/GND" 69.3921
+cap "bias_calc_0/PSUB" "bias_calc_0/AMP" 84.5519
+cap "bias_calc_0/PSUB" "X3/BIAS" 31.9991
+cap "X3/X5/GND" "bias_calc_0/XM1/a_n733_n100#" 42.1036
+cap "X3/BIAS" "X3/X5/GND" -0.200176
+cap "bias_calc_0/AMP" "X3/X5/GND" 790.697
+cap "X3/X5/GND" "X3/BIAS" -0.148643
+cap "X3/X5/AMP" "X3/X5/GND" 114.146
+cap "X3/X5/XR18/a_n415_n4762#" "GND" 23.8482
+cap "X3/m2_20210_20620#" "X3/BIAS" -0.148643
+cap "X3/X5/XC1/c1_n2050_n3000#" "X3/X5/XR18/a_n415_n4762#" -0.111778
+cap "X3/m2_20210_20620#" "X3/X5/XR18/a_n415_n4762#" -11.5261
+cap "X3/X5/XC1/c1_n2050_n3000#" "GND" 114.258
+cap "X3/m2_20210_20620#" "GND" 63.0938
+cap "X3/BIAS" "X3/m2_20210_20620#" -3.55418
+cap "X3/X5/XC1/c1_n2050_n3000#" "GND" 114.258
+cap "X3/X5/XR18/a_n415_n4762#" "X3/m2_20210_20620#" -12.3551
+cap "X3/X5/XC1/c1_n2050_n3000#" "X3/X5/XR18/a_n415_n4762#" -0.111778
+cap "X3/X5/XR18/a_n415_n4762#" "GND" 23.8482
+cap "GND" "X3/m2_20210_20620#" 94.5269
+cap "GND" "X3/X5/XC1/c1_n2050_n3000#" 114.211
+cap "X3/X5/XR18/a_n415_n4762#" "X3/m2_20210_20620#" -13.4572
+cap "GND" "X3/X5/XR18/a_n415_n4762#" 24.0371
+cap "X3/X5/XR18/a_n415_n4762#" "X3/X5/XC1/c1_n2050_n3000#" -0.111622
+cap "GND" "X3/m2_20210_20620#" 136.316
+cap "X3/BIAS" "X3/m2_20210_20620#" -8.08168
+cap "GND" "X3/X5/XR18/a_n285_4200#" 44.5713
+cap "X3/X1/GND" "GND" 51.5079
+cap "m1_46335_31170#" "X3/X5/VDD" 0.951726
+cap "X3/SUB" "X3/X5/XR18/a_n285_4200#" -0.0421759
+cap "X3/X1/GND" "X3/SUB" -13.4258
+cap "X3/X1/GND" "X3/X5/VDD" -71.878
+cap "GND" "X3/SUB" 10.4184
+cap "X3/BIAS" "X3/X1/GND" -8.00844
+cap "m1_46335_31170#" "X3/X5/XR18/a_n285_4200#" 9.1748
+cap "m1_46335_31170#" "X3/X1/XM1/a_447_n100#" 246.654
+cap "m1_46335_31170#" "X3/OUT180" 8.14665
+cap "X3/X1/XM1/a_447_n100#" "X3/BIAS" -133.74
+cap "X3/OUT180" "X3/BIAS" -5.95555
+cap "m1_46335_31170#" "X3/BIAS" 253.339
+cap "X3/SUB" "X3/X1/XM1/a_447_n100#" -5.54944
+cap "m1_46335_31170#" "X3/X5/VDD" 0.280591
+cap "X3/SUB" "m1_46335_31170#" 13.1511
+cap "X3/X5/XR18/a_n285_4200#" "X3/X1/XM1/a_447_n100#" -0.0459382
+cap "X3/SUB" "X3/BIAS" -1.56795
+cap "m1_46335_31170#" "X3/X1/GND" 0.0472899
+cap "X3/OUT180" "m1_46335_31170#" 4.49253
+cap "X3/SUB" "m1_46335_31170#" 2.51546
+cap "X3/SUB" "X3/X1/GND" -0.787662
+cap "X3/X1/BIAS" "X3/X1/SUB" 0.854133
+cap "X3/X1/GND" "X3/X1/SUB" -1.31447
+cap "X3/X1/GND" "X3/X1/BIAS" 0.0837738
+cap "X3/OUT0" "X3/X1/BIAS" 11.2928
+cap "X3/X1/BIAS" "X3/X1/GND" 299.919
+cap "X3/OUT0" "X3/X1/GND" -0.00328671
+cap "X3/X4/OUTB" "X3/X4/GND" 4.32944e-15
+cap "X3/X4/BIAS" "X3/X4/GND" -132.63
+cap "GND" "X3/X4/XM2/a_n73_n100#" 0.393279
+cap "VDD" "X3/X4/XM2/a_n73_n100#" 3.07111
+cap "X3/X4/GND" "X3/X4/BIAS" -82.7847
+cap "X3/I4B" "X3/X4/GND" -4.44089e-16
+cap "X3/X4/OUTB" "X3/X4/GND" 2.33147e-15
+cap "GND" "X3/X4/GND" 0.211708
+cap "X3/X4/GND" "X3/X4/XM2/a_n73_n100#" 3.63798e-12
+cap "VDD" "X3/X4/GND" 5.95404
+cap "X3/I4A" "X3/X4/GND" 5.55112e-16
+cap "GND" "X3/X4/BIAS" 10.6701
+cap "VDD" "X3/X4/BIAS" 39.4807
+cap "X4/XC3/m4_n651_n500#" "VDD" 0.0829271
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 155.429
+cap "X1/X1/XM4/a_n945_n188#" "X1/X1/XR17/a_n415_n1322#" 24.8005
+cap "X1/X1/XM4/a_n945_n188#" "X3/X4/OUTA" 8.98967
+cap "X3/X4/XM2/a_n73_n100#" "GND" 7.53736
+cap "X1/X1/XR17/a_n415_n1322#" "VDD" 470.366
+cap "X3/X4/XM2/a_n73_n100#" "VDD" 110.19
+cap "X1/X1/XM4/a_n945_n188#" "X1/X1/XR17/a_n285_n1192#" 34.3397
+cap "X4/IN" "VDD" 0.433051
+cap "X1/X1/XM4/a_n945_n188#" "GND" 39.7631
+cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/OUTA" 13.5156
+cap "X1/X1/XM4/a_n945_n188#" "VDD" 112.073
+cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 0.000644119
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XR17/a_n285_n1192#" 38.9237
+cap "X4/IN" "X5/IN" 20.2296
+cap "X1/X1/GND" "X1/X1/XM3/a_n129_n100#" 8.31854
+cap "X1/X1/INB" "X4/XC3/m4_n651_n500#" 0.021813
+cap "GND" "X1/X1/BIAS" 30.342
+cap "VDD" "X1/X1/XR17/a_n415_n1322#" 5.51236
+cap "X4/XC2/m4_n651_n300#" "X1/X1/GND" 7.95595
+cap "X1/X1/BIAS" "X1/X1/XR17/a_n415_n1322#" 115.873
+cap "X1/X1/GND" "X3/X4/OUTA" 11.3774
+cap "VDD" "X4/IN" 0.274761
+cap "X4/IN" "X1/X1/XR17/a_n285_n1192#" -7.37652
+cap "GND" "X4/XC4/m4_n951_n500#" 13.8796
+cap "GND" "X1/X1/XM3/a_n129_n100#" 13.3365
+cap "VDD" "X4/XC3/m4_n651_n500#" 0.105644
+cap "X4/IN" "X1/X1/BIAS" 320.019
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XM3/a_n129_n100#" 1.30728
+cap "X1/X1/BIAS" "X4/XC3/m4_n651_n500#" 58.7287
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XR17/a_n415_n1322#" 7.85016
+cap "GND" "X1/X1/GND" -5.60289
+cap "X4/IN" "X4/XC4/m4_n951_n500#" 6.9497
+cap "X4/IN" "X1/X1/XM3/a_n129_n100#" 97.9362
+cap "X1/X1/XR17/a_n415_n1322#" "X5/XC3/m4_n651_n500#" 0.0233388
+cap "X1/X1/GND" "X1/X1/XR17/a_n415_n1322#" 129.922
+cap "X4/IN" "X5/XC3/m4_n651_n500#" 0.319095
+cap "X4/IN" "X1/X1/GND" 614.147
+cap "X1/X1/INB" "X1/X1/BIAS" -0.0559581
+cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" 443.337
+cap "GND" "X1/X1/XR17/a_n415_n1322#" 60.5827
+cap "X4/IN" "GND" 411.939
+cap "X1/X1/BIAS" "X1/X1/XR17/a_n285_n1192#" 151.484
+cap "VDD" "X1/X1/BIAS" -0.519842
+cap "GND" "X4/XC3/m4_n651_n500#" 38.9782
+cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 81.8776
+cap "X4/XC3/m4_n651_n500#" "X1/X1/XR17/a_n415_n1322#" 18.9961
+cap "X1/X1/BIAS" "X1/X1/XM3/a_n129_n100#" 0.00490421
+cap "X4/XC4/m4_n951_n500#" "X1/X1/BIAS" 0.00487925
+cap "X4/IN" "X4/XC3/m4_n651_n500#" 67.7946
+cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/GND" 206.37
+cap "X1/X1/BIAS" "X4/XC2/m4_n651_n300#" 0.0161701
+cap "X1/X1/BIAS" "X3/X4/OUTA" 1.25154
+cap "X1/X1/XR17/a_n415_n1322#" "X5/IN" 0.0631297
+cap "X1/X1/BIAS" "X1/X1/GND" 178.102
+cap "GND" "X4/XC4/m4_n951_n500#" 18.5269
+cap "X5/XC3/m4_n651_n500#" "X1/X1/GND" 39.2428
+cap "X4/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 33.4885
+cap "X1/SUB" "X4/IN" 33.3382
+cap "X5/IN" "X1/X1/VDD" 0.245766
+cap "X1/X1/BIAS" "X4/IN" 197.159
+cap "X5/IN" "X4/XC3/m4_n651_n500#" 16.3717
+cap "X1/SUB" "X1/X1/GND" 4.72948
+cap "X5/IN" "X1/X1/XM3/a_n129_n100#" 18.3648
+cap "X4/XC4/m4_n951_n500#" "X4/XC3/m4_n651_n500#" -2.55058
+cap "X4/XC4/m4_n951_n500#" "X1/X1/XM3/a_n129_n100#" 1383.19
+cap "X1/X1/BIAS" "X1/S4A" -0.00149206
+cap "X1/X1/BIAS" "X1/X1/GND" 51.3717
+cap "GND" "X1/SUB" 0.0423106
+cap "X4/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 17.9411
+cap "X1/SUB" "X5/XC4/m4_n951_n500#" 7.10383
+cap "X5/XC3/m4_n651_n500#" "X1/X1/XM3/a_n129_n100#" 9.72111
+cap "GND" "X1/X1/BIAS" 5.45945
+cap "X1/X1/GND" "X4/IN" 162.755
+cap "X1/X1/BIAS" "X5/XC4/m4_n951_n500#" 16.595
+cap "X1/X1/BIAS" "X4/XC2/m4_n651_n300#" 0.0491056
+cap "X4/IN" "X5/XC4/m4_n951_n500#" 10.4864
+cap "X4/XC3/m4_n651_n500#" "X1/SUB" 18.2401
+cap "X1/SUB" "X1/X1/XM3/a_n129_n100#" 1.63861
+cap "X5/IN" "X4/XC4/m4_n951_n500#" 174.746
+cap "GND" "X1/X1/GND" 1.01397
+cap "X1/X1/BIAS" "X1/X1/XM3/a_n129_n100#" 0.368274
+cap "X1/X1/BIAS" "X4/XC3/m4_n651_n500#" 89.9681
+cap "X1/X1/GND" "X5/XC4/m4_n951_n500#" 31.3596
+cap "X4/XC2/m4_n651_n300#" "X1/X1/GND" 0.0211843
+cap "X5/IN" "X5/XC3/m4_n651_n500#" 8.12021
+cap "X4/XC4/m4_n951_n500#" "X1/S4B" 0.165688
+cap "X4/XC3/m4_n651_n500#" "X4/IN" 0.530075
+cap "X4/XC4/m4_n951_n500#" "X5/XC3/m4_n651_n500#" 39.1248
+cap "X1/X1/XM3/a_n129_n100#" "X4/IN" 149.477
+cap "X4/XC3/m4_n651_n500#" "X1/S4A" 0.0127822
+cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" 121.278
+cap "X1/X1/XM3/a_n129_n100#" "X1/X1/GND" 6.99848
+cap "X5/IN" "X1/SUB" 29.1067
+cap "X4/XC4/m4_n951_n500#" "X1/SUB" 105.736
+cap "GND" "X1/X1/XM3/a_n129_n100#" 1.73278
+cap "X5/IN" "X1/X1/BIAS" 114.962
+cap "X1/X1/XM3/a_n129_n100#" "X5/XC4/m4_n951_n500#" 1.23013
+cap "X4/XC2/m4_n651_n300#" "X1/X1/XM3/a_n129_n100#" 0.00160066
+cap "X1/X1/BIAS" "X4/XC4/m4_n951_n500#" 235.889
+cap "X5/XC3/m4_n651_n500#" "X1/SUB" 8.90197
+cap "X5/IN" "X4/IN" 122.035
+cap "X1/X1/BIAS" "X5/XC3/m4_n651_n500#" 35.7171
+cap "X4/XC4/m4_n951_n500#" "X4/IN" -15.4827
+cap "X5/IN" "X1/X1/GND" 113.489
+cap "X4/XC3/m4_n651_n500#" "X1/X1/XM3/a_n129_n100#" 288.756
+cap "X1/S4B" "X4/IN" 0.0311646
+cap "X5/XC3/m4_n651_n500#" "X4/IN" 0.160036
+cap "X4/XC4/m4_n951_n500#" "X1/X1/GND" 984.501
+cap "X1/X1/BIAS" "X1/SUB" 4.19625
+cap "X1/X1/XM3/a_n129_n100#" "X5/IN" 115.19
+cap "X1/X1/GND" "X5/IN" 124.58
+cap "X4/XC3/m4_n651_n500#" "X5/IN" 22.0893
+cap "X5/XC4/m4_n951_n500#" "X1/X1/XM3/a_n129_n100#" 14.8623
+cap "X1/X1/VDD" "X5/IN" 23.7213
+cap "X1/X1/GND" "X5/XC4/m4_n951_n500#" 45.9906
+cap "X1/SUB" "X5/XC3/m4_n651_n500#" 33.5674
+cap "X4/IN" "X5/IN" 121.026
+cap "X1/X1/VDD" "X5/XC4/m4_n951_n500#" 21.1676
+cap "X4/XC4/m4_n951_n500#" "X1/SUB" 5.76361
+cap "X5/XC4/m4_n951_n500#" "X4/IN" 11.1215
+cap "X1/S4B" "X4/IN" 0.0109662
+cap "X1/SUB" "X5/IN" 105.133
+cap "X1/X4/XM3/a_n129_n100#" "X5/XC3/m4_n651_n500#" 11.7944
+cap "X4/XC4/m4_n951_n500#" "X5/XC3/m4_n651_n500#" 0.759838
+cap "X5/XC4/m4_n951_n500#" "X1/SUB" 69.4325
+cap "X1/S4B" "X1/SUB" 2.58662
+cap "X10/XC3/m4_n651_n500#" "X1/SUB" 0.558207
+cap "X5/IN" "X5/XC3/m4_n651_n500#" 228.233
+cap "X5/IN" "X1/X4/XM3/a_n129_n100#" 1.12817
+cap "X4/XC4/m4_n951_n500#" "X5/IN" 143.577
+cap "X5/XC3/m4_n651_n500#" "X1/X1/BIAS" 11.8273
+cap "X5/XC4/m4_n951_n500#" "X5/XC3/m4_n651_n500#" -2.55058
+cap "X1/S4B" "X5/XC3/m4_n651_n500#" 51.4043
+cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 15.862
+cap "X4/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 33.4885
+cap "X4/XC3/m4_n651_n500#" "X1/SUB" 0.176951
+cap "X4/XC4/m4_n951_n500#" "X1/S4B" 0.116604
+cap "X1/X1/XM3/a_n129_n100#" "X5/XC3/m4_n651_n500#" 0.0772892
+cap "X5/IN" "X1/X1/BIAS" 88.2784
+cap "X5/XC4/m4_n951_n500#" "X5/IN" -5.0844
+cap "X1/X1/GND" "X5/XC3/m4_n651_n500#" 18.0149
+cap "X1/SUB" "X4/IN" 2.08127
+cap "X1/S4B" "X5/IN" 66.357
+cap "X10/XC3/m4_n651_n500#" "X5/IN" 0.319095
+cap "X5/XC4/m4_n951_n500#" "X1/X1/BIAS" 14.2957
+cap "X5/XC4/m4_n951_n500#" "X1/S4B" 131.713
+cap "X1/S4A" "X10/XC3/m4_n651_n500#" 0.0874859
+cap "X11/XC3/m4_n651_n500#" "X1/SUB" 0.00078312
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC4/m4_n951_n500#" 3.46673
+cap "X1/X4/XM3/a_n129_n100#" "X1/S4A" 0.120718
+cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 35.0371
+cap "X5/IN" "X1/GND" 119.371
+cap "X1/S4A" "X5/XC4/m4_n951_n500#" 0.0125163
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC3/m4_n651_n500#" 474.639
+cap "X5/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 2.07914
+cap "X1/S4A" "X1/BIAS" 0.366484
+cap "X10/XC4/m4_n951_n500#" "X1/BIAS" 55.1717
+cap "X5/XC4/m4_n951_n500#" "X10/XC3/m4_n651_n500#" 39.1248
+cap "X1/VDD" "X5/XC4/m4_n951_n500#" 45.9817
+cap "X1/X4/XM3/a_n129_n100#" "X5/XC3/m4_n651_n500#" 1.24945
+cap "X10/XC4/m4_n951_n500#" "X1/S4B" 0.326657
+cap "X1/S4B" "X1/S4A" 21.0839
+cap "X1/X4/XM3/a_n129_n100#" "X5/XC4/m4_n951_n500#" 276.636
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC2/m4_n651_n300#" 0.150109
+cap "X10/XC3/m4_n651_n500#" "X1/BIAS" 104.7
+cap "X10/XC4/m4_n951_n500#" "X1/SUB" 9.56629
+cap "X1/X4/INA" "X10/XC3/m4_n651_n500#" 0.00341306
+cap "X1/S4B" "X10/XC3/m4_n651_n500#" 14.6762
+cap "X1/S4A" "X1/SUB" 0.125895
+cap "X1/VDD" "X1/S4B" 0.368054
+cap "X5/XC4/m4_n951_n500#" "X1/BIAS" 294.014
+cap "X5/XC3/m4_n651_n500#" "X1/BIAS" 22.4875
+cap "X5/IN" "X10/XC4/m4_n951_n500#" 10.5797
+cap "X1/X4/XM3/a_n129_n100#" "X1/S4B" 448.336
+cap "X10/XC2/m4_n651_n300#" "X1/BIAS" 0.124885
+cap "X1/S4B" "X5/XC3/m4_n651_n500#" 22.0893
+cap "X10/XC3/m4_n651_n500#" "X1/SUB" 15.5094
+cap "X1/S4B" "X5/XC4/m4_n951_n500#" 419.9
+cap "X10/XC4/m4_n951_n500#" "X1/GND" 6.77912
+cap "X5/IN" "X10/XC3/m4_n651_n500#" 0.160036
+cap "X5/XC3/m4_n651_n500#" "X1/SUB" 5.34574
+cap "X5/XC4/m4_n951_n500#" "X1/SUB" 102.444
+cap "X1/S4B" "X1/BIAS" 182.245
+cap "X1/VDD" "X5/IN" 46.8658
+cap "X1/X4/XM3/a_n129_n100#" "X5/IN" 65.0226
+cap "X1/GND" "X10/XC3/m4_n651_n500#" 114.176
+cap "X5/IN" "X5/XC4/m4_n951_n500#" -20.3214
+cap "X1/S4B" "X1/SUB" 59.6826
+cap "X5/XC4/m4_n951_n500#" "X1/GND" 403.124
+cap "X1/GND" "X5/XC3/m4_n651_n500#" 23.4913
+cap "X5/IN" "X1/BIAS" 91.1806
+cap "X10/XC2/m4_n651_n300#" "X1/GND" 0.201039
+cap "X1/S3B" "X10/XC3/m4_n651_n500#" 0.00905195
+cap "X5/IN" "X1/S4B" 262.382
+cap "X11/XC3/m4_n651_n500#" "X1/BIAS" 0.0749427
+cap "X5/IN" "X1/SUB" 34.4558
+cap "X1/S4B" "X1/GND" 186.712
+cap "X11/XC3/m4_n651_n500#" "X1/S4B" 0.319095
+cap "X1/X4/SUB" "X1/X4/OUTB" 32.6734
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC2/m4_n651_n300#" 0.0287218
+cap "X1/X4/SUB" "X1/S4A" 55.9991
+cap "X10/XC3/m4_n651_n500#" "X1/BIAS" 45.4858
+cap "X1/GND" "X1/X4/OUTB" 142.276
+cap "X1/GND" "X1/S4A" 77.5086
+cap "X11/XC4/m4_n951_n500#" "X1/X4/SUB" 9.71747
+cap "X1/VDD" "X1/GND" -0.0435284
+cap "X1/X4/XM3/a_n129_n100#" "X1/X4/OUTB" 34.3476
+cap "X1/X4/XM3/a_n129_n100#" "X1/S4A" 4.44809
+cap "X1/X4/SUB" "X10/XC4/m4_n951_n500#" 115.417
+cap "X1/VDD" "X1/X4/XM3/a_n129_n100#" -0.000241423
+cap "X10/XC3/m4_n651_n500#" "X5/XC4/m4_n951_n500#" 0.759838
+cap "X11/XC3/m4_n651_n500#" "X1/X4/OUTB" 0.160036
+cap "X1/GND" "X10/XC4/m4_n951_n500#" 947.581
+cap "X1/BIAS" "X5/XC4/m4_n951_n500#" 3.71532
+cap "X5/XC4/c2_n851_n400#" "X10/XC4/m4_n951_n500#" 11.5393
+cap "X1/S4A" "X11/XC3/m4_n651_n500#" 4.49106
+cap "X10/XC3/m4_n651_n500#" "X1/X4/INA" 0.0184728
+cap "X1/X4/XM3/a_n129_n100#" "X10/XC4/m4_n951_n500#" 277.782
+cap "X10/XC3/m4_n651_n500#" "X1/S4A" 28.89
+cap "X1/BIAS" "X1/X4/OUTB" 81.1746
+cap "X1/S4A" "X1/BIAS" 15.0808
+cap "X1/VDD" "X10/XC3/m4_n651_n500#" 1.55943
+cap "X10/XC4/m4_n951_n500#" "X11/XC3/m4_n651_n500#" 39.1248
+cap "X10/XC3/m4_n651_n500#" "X10/XC4/m4_n951_n500#" -2.55058
+cap "X5/XC3/m4_n651_n500#" "X1/GND" 0.0124408
+cap "X1/BIAS" "X10/XC4/m4_n951_n500#" 246.669
+cap "X1/S4A" "X5/XC4/m4_n951_n500#" 0.00248032
+cap "X1/X4/SUB" "X5/XC4/c2_n851_n400#" 0.0946926
+cap "X1/GND" "X1/X4/SUB" -0.103863
+cap "X1/GND" "X5/XC4/c2_n851_n400#" 0.713843
+cap "X1/X4/XM3/a_n129_n100#" "X1/X4/SUB" -0.00291297
+cap "X1/S4A" "X1/X4/OUTB" 196.185
+cap "X1/GND" "X1/X4/XM3/a_n129_n100#" -0.000432905
+cap "X1/VDD" "X1/X4/OUTB" 41.5944
+cap "X10/XC4/m4_n951_n500#" "X5/XC4/m4_n951_n500#" 35.0371
+cap "X1/X4/SUB" "X11/XC3/m4_n651_n500#" 9.6748
+cap "X11/XC4/m4_n951_n500#" "X1/X4/OUTB" 10.5797
+cap "X1/VDD" "X1/S4A" -0.496459
+cap "X1/GND" "X11/XC3/m4_n651_n500#" 17.0878
+cap "X11/XC4/m4_n951_n500#" "X1/S4A" 0.440419
+cap "X10/XC4/m4_n951_n500#" "X1/X4/OUTB" -34.2769
+cap "X10/XC3/m4_n651_n500#" "X1/X4/SUB" 16.9066
+cap "X1/X4/XM3/a_n129_n100#" "X11/XC3/m4_n651_n500#" 0.859076
+cap "X1/S4A" "X10/XC4/m4_n951_n500#" 454.758
+cap "X10/XC3/m4_n651_n500#" "X1/GND" 194.857
+cap "X1/GND" "X1/BIAS" -0.0354692
+cap "X1/VDD" "X10/XC4/m4_n951_n500#" 38.7588
+cap "X1/BIAS" "X5/XC4/c2_n851_n400#" 0.855997
+cap "X10/XC3/m4_n651_n500#" "X1/X4/XM3/a_n129_n100#" 23.4189
+cap "X1/X4/XM3/a_n129_n100#" "X1/BIAS" -5.74688e-05
+cap "X11/XC4/m4_n951_n500#" "X10/XC4/m4_n951_n500#" 25.5519
+cap "X10/XC3/m4_n651_n500#" "X11/XC3/m4_n651_n500#" 17.9411
+cap "X1/X4/SUB" "X5/XC4/m4_n951_n500#" 0.633191
+cap "X1/GND" "X5/XC4/m4_n951_n500#" 3.1916
+cap "X1/GND" "X10/XC2/m4_n651_n300#" 0.0628169
+cap "X1/BIAS" "X11/XC3/m4_n651_n500#" 4.50144
+cap "X11/XC4/m4_n951_n500#" "X1/BIAS" 56.2152
+cap "CTRL1" "X11/XC3/m4_n651_n500#" 0.00287002
+cap "X1/GND" "X1/S4A" 57.4772
+cap "X10/XC3/m4_n651_n500#" "X1/S4A" 22.0893
+cap "X1/GND" "X11/XC4/m4_n951_n500#" 7.57024
+cap "X10/IN" "X1/BIAS" 0.491591
+cap "X1/VDD" "X11/XC3/m4_n651_n500#" 15.8658
+cap "X11/XC3/m4_n651_n500#" "CTRL3" 1.18203
+cap "X1/X4/SUB" "X11/XC3/m4_n651_n500#" 0.312079
+cap "X11/XC4/m4_n951_n500#" "X1/S4A" 50.2155
+cap "X10/XC4/m4_n951_n500#" "X11/XC3/m4_n651_n500#" 0.759838
+cap "X10/IN" "X1/S4A" 126.325
+cap "CTRL4" "X1/S4A" 0.0889762
+cap "X10/XC4/m4_n951_n500#" "X1/VDD" 1.99486
+cap "X11/XC3/m4_n651_n500#" "X1/BIAS" 34.1417
+cap "CTRL5" "X1/S4A" 0.306015
+cap "X10/IN" "X11/XC4/m4_n951_n500#" 8.49329
+cap "X1/GND" "X11/XC3/m4_n651_n500#" 4.27411
+cap "X11/XC3/m4_n651_n500#" "X1/S4A" 193.439
+cap "X10/XC4/m4_n951_n500#" "X1/BIAS" 1.53283
+cap "X1/VDD" "X10/XC3/m4_n651_n500#" 0.218423
+cap "X1/VDD" "X1/S4A" 68.5587
+cap "X1/X4/SUB" "X1/S4A" 24.0275
+cap "X1/VDD" "X11/XC4/m4_n951_n500#" 8.23676
+cap "X1/X4/SUB" "X11/XC4/m4_n951_n500#" 4.73502
+cap "X10/XC4/m4_n951_n500#" "X1/S4A" 148.285
+cap "X11/XC3/m4_n651_n500#" "CTRL4" 1.76361
+cap "X10/XC3/m4_n651_n500#" "X1/BIAS" 0.154858
+cap "X1/BIAS" "X1/S4A" 158.651
+cap "X1/VDD" "X10/IN" 0.682822
+cap "X1/X4/XM2/a_n129_n100#" "X1/S4A" 9.80116
+cap "X10/XC4/m4_n951_n500#" "X11/XC4/m4_n951_n500#" 25.5519
+cap "X11/XC3/m4_n651_n500#" "CTRL2" 0.559701
+cap "CTRL5" "X11/XC3/m4_n651_n500#" 2.1811
+cap "X11/IN" "CTRL2" 6.40852
+cap "X11/XC4/m4_n951_n500#" "CTRL2" 15.0121
+cap "X11/XC3/m4_n651_n500#" "CTRL2" 0.138539
+cap "CTRL3" "X11/IN" 9.14368
+cap "CTRL3" "X11/XC4/m4_n951_n500#" 21.228
+cap "X11/XC3/m4_n651_n500#" "CTRL3" 0.52978
+cap "X1/VDD" "X11/XC4/m4_n951_n500#" 0.366543
+cap "CTRL4" "X11/IN" 11.5844
+cap "CTRL4" "X11/XC4/m4_n951_n500#" 25.1565
+cap "X11/XC4/m4_n951_n500#" "X1/BIAS" 0.879352
+cap "CTRL4" "X11/XC3/m4_n651_n500#" 0.92744
+cap "CTRL1" "X11/IN" 3.11988
+cap "X11/XC4/m4_n951_n500#" "CTRL1" 7.27777
+cap "CTRL5" "X11/IN" 34.1485
+cap "X11/XC4/m4_n951_n500#" "CTRL5" 80.6873
+cap "X11/XC3/m4_n651_n500#" "CTRL5" 8.35313
+cap "CTRL1" "X11/XC3/m4_n651_n500#" 0.000776178
+cap "CTRL1" "X11/XC4/m4_n951_n500#" 1.90187
+cap "CTRL1" "X11/XC4/c2_n851_n400#" 0.434635
+cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/VDD" 0.324426
+cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/VDD" -1.249e-16
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" -6.66134e-16
+cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" 1.38076
+cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n415_n1322#" 8.55973
+cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/VDD" 19.3426
+cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" 340.286
+cap "bias_calc_0/BIAS2V" "bias_calc_0/XR19/a_n415_n1322#" 12.9917
+cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" 102.178
+cap "bias_calc_0/XM36/a_803_n100#" "bias_calc_0/BIAS2V" 9.01697
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/XR19/a_n415_n1322#" -0.669774
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/BIAS2V" 125.301
+cap "bias_calc_0/XM3/a_803_n100#" "bias_calc_0/VDD" -0.00993308
+cap "X3/BIAS" "bias_calc_0/BIAS2V" 10.4
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" 80.2638
+cap "X3/BIAS" "bias_calc_0/VDD" 0.23699
+cap "X3/X5/GND" "bias_calc_0/BIAS2V" 0.19224
+cap "X3/X5/GND" "bias_calc_0/VDD" 5.03625
+cap "bias_calc_0/XM3/a_803_n100#" "bias_calc_0/BIAS2V" -3.69574e-05
+cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" 96.1671
+cap "X3/X5/GND" "bias_calc_0/XR19/a_n285_760#" -0.539095
+cap "bias_calc_0/BIAS2V" "bias_calc_0/XR19/a_n285_760#" -3.87628
+cap "X3/BIAS" "bias_calc_0/XR19/a_n285_760#" 25.2832
+cap "X3/X5/GND" "bias_calc_0/AMP" 16.2478
+cap "X3/BIAS" "X3/X5/GND" 118.969
+cap "bias_calc_0/BIAS2V" "X3/BIAS" 9.28
+cap "X3/BIAS" "bias_calc_0/VDD" 0.109661
+cap "bias_calc_0/XR19/a_n285_760#" "X3/X5/GND" 81.7793
+cap "bias_calc_0/BIAS2V" "X3/X5/GND" 0.260529
+cap "bias_calc_0/AMP" "X3/X5/GND" 50.2645
+cap "X3/BIAS" "X3/X5/GND" 102.646
+cap "X3/X5/GND" "X3/X5/AMP" 47.5606
+cap "X3/X5/GND" "X3/BIAS" 118.865
+cap "X3/X5/XC1/c1_n2050_n3000#" "GND" 47.5606
+cap "GND" "X3/X5/XR18/a_n415_n4762#" 88.3884
+cap "X3/BIAS" "GND" 118.865
+cap "X3/m2_20210_20620#" "GND" 63.0938
+cap "X3/BIAS" "GND" 118.865
+cap "GND" "X3/X5/XR18/a_n415_n4762#" 88.3884
+cap "GND" "X3/m2_20210_20620#" 94.5269
+cap "GND" "X3/X5/XC1/c1_n2050_n3000#" 47.5606
+cap "X3/X5/XR18/a_n415_n4762#" "GND" 85.234
+cap "GND" "X3/m2_20210_20620#" 136.316
+cap "X3/BIAS" "GND" 152.546
+cap "X3/X5/XC1/c1_n2050_n3000#" "GND" 16.5833
+cap "X3/X1/GND" "GND" 51.5079
+cap "X3/X5/VDD" "X3/SUB" -0.0172393
+cap "X3/BIAS" "X3/X5/VDD" 2.52033
+cap "GND" "X3/X5/VDD" 209.92
+cap "X3/X5/XR18/a_n285_4200#" "GND" 6.23156
+cap "GND" "X3/SUB" 59.3787
+cap "X3/BIAS" "GND" 168.638
+cap "X3/BIAS" "X3/X5/VDD" 5.61803
+cap "X3/BIAS" "X3/OUT180" 5.07387
+cap "X3/SUB" "GND" 0.00414412
+cap "X3/BIAS" "X3/SUB" 20.8921
+cap "X3/X1/BIAS" "X3/OUT180" 2.92741
+cap "X3/OUT180" "X3/SUB" -0.0263958
+cap "X3/X1/BIAS" "X3/SUB" 0.687998
+cap "X3/X1/BIAS" "X3/X1/XR1/a_n703_n3602#" 2.41573
+cap "X3/X1/GND" "X3/OUT0" -0.0484357
+cap "X3/X1/GND" "X3/X1/BIAS" 96.9903
+cap "X3/X1/BIAS" "X3/OUT0" 36.0515
+cap "X3/X4/GND" "X3/X4/BIAS" 2.74588
+cap "X3/X4/GND" "VDD" 0.15901
+cap "VDD" "X3/X4/GND" 0.507472
+cap "VDD" "X1/X1/XR17/a_n285_n1192#" 3.23829
+cap "X4/m1_4820_n890#" "VDD" 0.117896
+cap "GND" "X1/X1/XR17/a_n285_n1192#" -0.296087
+cap "X1/X1/XR17/a_n415_n1322#" "VDD" 31.8423
+cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/XM4/a_n945_n188#" 6.50944
+cap "X4/XC3/m4_n651_n500#" "VDD" 0.416634
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 17.2795
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XR17/a_n285_n1192#" 159.089
+cap "GND" "X4/m1_4820_n890#" 51.8932
+cap "X1/X1/XR17/a_n285_n1192#" "X4/XM5/a_n225_n100#" 1.91837
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 34.7899
+cap "X4/IN" "X1/X1/XR17/a_n415_n1322#" 59.1054
+cap "X4/IN" "X4/m1_4820_n890#" -4.55174
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/GND" 85.8185
+cap "X4/m1_4820_n890#" "X1/X1/GND" 57.8198
+cap "X1/X1/XR17/a_n285_n1192#" "X4/m1_4820_n890#" 482.604
+cap "X1/X1/BIAS" "X1/X1/XR17/a_n415_n1322#" 9.95085
+cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/XR17/a_n415_n1322#" 227.299
+cap "X4/IN" "X5/XC3/m4_n651_n500#" 1.7101
+cap "X5/IN" "X4/IN" 27.2479
+cap "X1/X1/BIAS" "X4/m1_4820_n890#" 8.57178
+cap "X4/XC3/m4_n651_n500#" "VDD" 0.530767
+cap "X1/X1/XR17/a_n415_n1322#" "X4/XM5/a_n225_n100#" 0.737658
+cap "X5/m1_4820_n890#" "X4/IN" 1.46917
+cap "X1/X1/XR17/a_n285_n1192#" "VDD" 1.98174
+cap "X1/X1/XR17/a_n415_n1322#" "X4/m1_4820_n890#" 31.056
+cap "X4/m1_4820_n1420#" "X1/X1/GND" 0.444498
+cap "X1/X1/XR17/a_n285_n1192#" "X4/m1_4820_n1420#" 0.152766
+cap "X1/X1/XM4/a_n989_n100#" "GND" 6.42466
+cap "X5/ctrll5" "X1/X1/GND" 0.103751
+cap "X1/X1/BIAS" "X4/m1_4820_n1420#" 0.0154739
+cap "X5/ctrll5" "X1/X1/XR17/a_n285_n1192#" 5.34251
+cap "X5/IN" "X1/X1/XR17/a_n415_n1322#" 0.0220242
+cap "X1/X1/XR17/a_n415_n1322#" "X5/XC3/m4_n651_n500#" 0.0982177
+cap "X1/X1/XM4/a_n989_n100#" "X4/IN" 35.7925
+cap "X5/ctrll5" "X1/X1/BIAS" 0.655319
+cap "X4/XC3/m4_n651_n500#" "GND" 195.887
+cap "X1/X1/XM4/a_n989_n100#" "X1/X1/GND" 9.06813
+cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/XM4/a_n989_n100#" 7.10543e-15
+cap "X4/XC3/m4_n651_n500#" "X4/IN" -15.9976
+cap "VDD" "X4/m1_4820_n890#" 0.150193
+cap "VDD" "X1/X1/XR17/a_n415_n1322#" 5.31446
+cap "X4/IN" "GND" 405.607
+cap "X4/m1_4820_n1420#" "X1/X1/XR17/a_n415_n1322#" 0.873969
+cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" 556.364
+cap "X1/X1/XR17/a_n285_n1192#" "X4/XC3/m4_n651_n500#" 425.574
+cap "GND" "X1/X1/GND" 41.2414
+cap "X5/ctrll5" "X1/X1/XR17/a_n415_n1322#" 0.841192
+cap "X1/X1/BIAS" "X4/XC3/m4_n651_n500#" 33.0523
+cap "X1/X1/XR17/a_n285_n1192#" "GND" 40.6796
+cap "X1/X1/BIAS" "GND" 15.586
+cap "X4/IN" "X1/X1/GND" 225.438
+cap "X1/X1/XR17/a_n285_n1192#" "X4/IN" 296.064
+cap "X1/X1/XM4/a_n989_n100#" "X1/X1/XR17/a_n415_n1322#" 0.647045
+cap "X1/X1/BIAS" "X4/IN" 79.7032
+cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/GND" 293.479
+cap "X1/X1/BIAS" "X1/X1/GND" 46.792
+cap "X4/IN" "X4/XM5/a_n225_n100#" -0.0777259
+cap "X1/X1/XR17/a_n285_n1192#" "X1/X1/BIAS" 5.52734
+cap "X4/XC3/m4_n651_n500#" "X1/X1/XR17/a_n415_n1322#" 64.6667
+cap "X5/XC3/m4_n651_n500#" "X1/X1/VDD" 17.9614
+cap "X1/X1/XM4/a_n989_n100#" "X1/X1/VDD" 0.196682
+cap "X1/X1/XM4/a_n989_n100#" "X5/ctrll5" 0.114904
+cap "X4/m1_4820_n890#" "X1/X1/GND" 12.1665
+cap "X4/m1_4820_n890#" "X1/X1/BIAS" 28.8412
+cap "X5/XC3/m4_n651_n500#" "X4/XC3/m4_n651_n500#" 90.138
+cap "X4/XC3/m4_n651_n500#" "GND" 0.0580613
+cap "X1/X1/XM4/a_n989_n100#" "X4/XC3/m4_n651_n500#" 695.998
+cap "X1/X1/SUB" "X1/X1/GND" 0.687344
+cap "X1/X1/SUB" "X1/X1/BIAS" 4.61123
+cap "X1/X1/XM4/a_n989_n100#" "X5/IN" 3.16666
+cap "X1/X1/SUB" "X4/IN" 3.50984
+cap "X4/m1_4820_n890#" "X1/X1/VDD" 73.0621
+cap "X4/XM5/a_n225_n100#" "X1/X1/GND" 487.429
+cap "X1/X1/SUB" "X1/X1/VDD" 0.123851
+cap "X4/XM5/a_n225_n100#" "X1/X1/BIAS" 66.8845
+cap "X1/X1/SUB" "X5/ctrll5" 1.66982
+cap "X1/X1/XM4/a_n989_n100#" "X4/XM5/a_n317_n100#" 0.0147025
+cap "X4/m1_4820_n890#" "X5/IN" 0.56222
+cap "X1/X1/SUB" "X4/m1_4820_n1420#" 0.0770687
+cap "X1/X1/SUB" "X4/XC3/m4_n651_n500#" 71.3445
+cap "X1/X1/VDD" "X4/XM5/a_n225_n100#" 255.564
+cap "X1/X1/SUB" "X5/m1_4820_n1420#" 0.0426842
+cap "X1/X1/SUB" "X5/IN" 5.35821
+cap "X1/X1/BIAS" "X1/X1/GND" 6.45515
+cap "X4/XM5/a_n225_n100#" "X4/XC3/m4_n651_n500#" -12.7529
+cap "X4/IN" "X1/X1/GND" 4.82456
+cap "X1/X1/XM4/a_n989_n100#" "X5/XC3/m4_n651_n500#" 43.5821
+cap "X1/X1/XM4/a_n989_n100#" "GND" 0.504054
+cap "X4/IN" "X1/X1/BIAS" 37.9231
+cap "X4/XM5/a_n225_n100#" "X5/IN" 67.4778
+cap "X1/X1/VDD" "X1/X1/GND" -0.000158653
+cap "X4/XM5/a_n317_n100#" "X1/X1/SUB" 0.302991
+cap "X1/X1/VDD" "X1/X1/BIAS" 5.77132
+cap "X5/ctrll5" "X1/X1/GND" 1.33577
+cap "X5/ctrll5" "X1/X1/BIAS" 10.901
+cap "X1/X1/VDD" "X4/IN" 0.603196
+cap "X1/X1/SUB" "X5/m1_4820_n890#" 1.05106
+cap "X4/m1_4820_n1420#" "X1/X1/GND" 0.188249
+cap "X4/XC3/m4_n651_n500#" "X1/X1/GND" 701.447
+cap "X1/X1/XM4/a_n989_n100#" "X4/m1_4820_n890#" 6.27247
+cap "X4/XC3/m4_n651_n500#" "X1/X1/BIAS" 266.929
+cap "X4/m1_4820_n1420#" "X1/X1/BIAS" 0.132248
+cap "X4/XM5/a_n225_n100#" "X5/m1_4820_n890#" 54.9109
+cap "X5/m1_4820_n1420#" "X1/X1/GND" 0.103909
+cap "X5/IN" "X1/X1/GND" 35.9549
+cap "X1/X1/XM4/a_n989_n100#" "X1/X1/SUB" 0.537036
+cap "X5/XC3/m4_n651_n500#" "X1/X1/SUB" 24.766
+cap "X1/X1/SUB" "GND" 0.0219815
+cap "X5/IN" "X1/X1/BIAS" 37.0234
+cap "X1/X1/VDD" "X5/ctrll5" 0.659404
+cap "X1/X1/VDD" "X4/XC3/m4_n651_n500#" 383.599
+cap "X5/XC3/m4_n651_n500#" "X4/XM5/a_n225_n100#" 206.134
+cap "X1/X1/XM4/a_n989_n100#" "X4/XM5/a_n225_n100#" 52.2123
+cap "X4/XM5/a_n317_n100#" "X1/X1/GND" 1.17834
+cap "X1/X1/VDD" "X5/IN" 0.0648713
+cap "X1/X1/VDD" "X5/m1_4820_n1420#" 0.0138547
+cap "X5/m1_4820_n890#" "X1/X1/GND" 1.57056
+cap "X4/XM5/a_n317_n100#" "X1/X1/BIAS" 0.212603
+cap "X4/m1_4820_n890#" "X1/X1/SUB" 11.4549
+cap "X5/m1_4820_n890#" "X1/X1/BIAS" 7.07956
+cap "X4/IN" "X5/m1_4820_n890#" 0.235191
+cap "X4/XC3/m4_n651_n500#" "X5/IN" 91.6457
+cap "X5/XC3/m4_n651_n500#" "X1/X1/GND" 191.863
+cap "X1/X1/XM4/a_n989_n100#" "X1/X1/GND" 0.867143
+cap "GND" "X1/X1/GND" 0.11357
+cap "GND" "X1/X1/BIAS" 2.49069
+cap "X5/XC3/m4_n651_n500#" "X1/X1/BIAS" 88.3123
+cap "X5/XC3/m4_n651_n500#" "X4/IN" 0.877232
+cap "X1/X1/VDD" "X5/m1_4820_n890#" 46.4779
+cap "X1/X1/XM4/a_n989_n100#" "X4/IN" 5.89224
+cap "X1/X1/SUB" "X4/XM5/a_n225_n100#" 28.7801
+cap "X5/m1_4820_n890#" "X1/X1/BIAS" 0.572209
+cap "X5/XC3/m4_n651_n500#" "X1/X1/VDD" 908.843
+cap "X5/IN" "X1/X1/SUB" 62.5053
+cap "X5/IN" "X10/m1_4820_n890#" 1.46917
+cap "X1/X1/GND" "X1/X1/VDD" -0.00276923
+cap "X5/XM5/a_n225_n100#" "X1/X1/SUB" 3.24604
+cap "X4/XC3/m4_n651_n500#" "X5/IN" 161.366
+cap "X1/X1/GND" "X5/m1_4820_n890#" 34.104
+cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 79.6922
+cap "X1/X1/SUB" "X5/XM5/a_n317_n100#" 0.232079
+cap "X5/m1_4820_n890#" "X1/X1/VDD" 512.77
+cap "X1/X4/XM4/a_n989_n100#" "X5/XC3/m4_n651_n500#" 55.6656
+cap "X5/XM5/a_n225_n100#" "X5/IN" -0.0777259
+cap "X4/XM5/a_n225_n100#" "X5/XC3/m4_n651_n500#" 13.3216
+cap "X10/XC3/m4_n651_n500#" "X1/X1/VDD" 0.0923733
+cap "X1/X1/GND" "X4/XM5/a_n225_n100#" 1.19207
+cap "X5/IN" "X4/IN" 27.2479
+cap "X10/IN" "X5/XC3/m4_n651_n500#" 91.6457
+cap "X1/X1/GND" "X10/IN" 0.0675198
+cap "X1/X1/SUB" "X5/XC3/m4_n651_n500#" 113.017
+cap "X4/XM5/a_n225_n100#" "X1/X1/VDD" 1.36237
+cap "X1/X1/GND" "X1/X1/SUB" 0.223827
+cap "X1/X1/GND" "X5/m1_4820_n1420#" 1.90873
+cap "X5/IN" "X1/X1/XM4/a_n989_n100#" 61.2618
+cap "X5/IN" "X1/X1/BIAS" 75.629
+cap "X1/X1/GND" "X5/ctrll5" 1.0387
+cap "X1/X1/GND" "X4/XC3/m4_n651_n500#" 0.591271
+cap "X1/X1/SUB" "X1/X1/VDD" -0.0014767
+cap "X10/m1_4820_n890#" "X1/X1/VDD" 0.0211147
+cap "X5/IN" "X5/XC3/m4_n651_n500#" -7.18111
+cap "X10/IN" "X5/m1_4820_n890#" 0.56222
+cap "X1/X1/GND" "X5/IN" 281.787
+cap "X5/m1_4820_n1420#" "X1/X1/VDD" 0.200488
+cap "X5/ctrll5" "X1/X1/VDD" 11.1695
+cap "X1/X1/SUB" "X5/m1_4820_n890#" 32.2263
+cap "X5/XM5/a_n225_n100#" "X5/XC3/m4_n651_n500#" -12.7529
+cap "X1/X1/GND" "X5/XM5/a_n225_n100#" 10.8388
+cap "X1/X1/SUB" "X10/XC3/m4_n651_n500#" 1.17047
+cap "X1/X1/GND" "X5/XM5/a_n317_n100#" 0.792192
+cap "X5/IN" "X1/X1/VDD" 406.934
+cap "X5/IN" "X5/m1_4820_n890#" -0.0952685
+cap "X5/XM5/a_n225_n100#" "X1/X1/VDD" 34.73
+cap "X4/XM5/a_n225_n100#" "X1/X1/SUB" 1.15631
+cap "X5/IN" "X10/XC3/m4_n651_n500#" 1.7101
+cap "X1/X1/BIAS" "X5/XC3/m4_n651_n500#" 61.8035
+cap "X5/XM5/a_n317_n100#" "X1/X1/VDD" 0.00584661
+cap "X1/X1/XM4/a_n989_n100#" "X5/XC3/m4_n651_n500#" 0.520238
+cap "X10/IN" "X1/X1/SUB" 0.672474
+cap "X5/IN" "X1/X4/XM4/a_n989_n100#" 0.548774
+cap "X1/X1/GND" "X5/XC3/m4_n651_n500#" 858.361
+cap "X5/m1_4820_n1420#" "X1/X1/SUB" 0.908627
+cap "X4/XM5/a_n225_n100#" "X5/IN" 69.5904
+cap "X1/X1/BIAS" "X1/X1/VDD" -0.000987624
+cap "X5/ctrll5" "X1/X1/SUB" 1.63689
+cap "X5/IN" "X4/m1_4820_n890#" 2.6214
+cap "X4/XC3/m4_n651_n500#" "X1/X1/SUB" 0.341873
+cap "X10/IN" "X5/IN" 27.2479
+cap "X10/m1_4820_n890#" "X1/X4/VDD" 398.413
+cap "X1/X4/BIAS" "X11/IN" 0.0590503
+cap "X1/X4/SUB" "X10/XC3/m4_n651_n500#" 38.0151
+cap "X1/X4/BIAS" "X10/XC3/m4_n651_n500#" 138.647
+cap "X1/X4/BIAS" "X11/m1_4820_n890#" 0.0535508
+cap "X10/IN" "X1/X4/VDD" 354.393
+cap "X1/X4/SUB" "X5/XM5/a_n317_n100#" 0.0704045
+cap "X1/X4/SUB" "X10/XM5/a_n225_n100#" 0.548674
+cap "X1/X4/BIAS" "X10/XM5/a_n225_n100#" 5.05452
+cap "X1/X4/SUB" "X5/XM5/a_n225_n100#" 32.8369
+cap "X1/X4/BIAS" "X5/XM5/a_n225_n100#" 68.1601
+cap "X1/X4/GND" "X1/X4/VDD" -0.000978695
+cap "X1/X4/SUB" "X5/IN" 0.855174
+cap "X1/X4/BIAS" "X5/IN" 2.33126
+cap "X1/X4/BIAS" "X5/m1_4820_n890#" 0.485933
+cap "X1/X4/SUB" "X5/m1_4820_n890#" 1.96616
+cap "X5/XC3/m4_n651_n500#" "X10/IN" 161.366
+cap "X5/XC3/m4_n651_n500#" "X1/X4/XM4/a_n989_n100#" 5.99779
+cap "X11/XC3/m4_n651_n500#" "X1/X4/SUB" 0.00358894
+cap "X1/X4/BIAS" "X11/XC3/m4_n651_n500#" 0.282723
+cap "X10/m1_4820_n890#" "X10/IN" -0.0952685
+cap "X5/XC3/m4_n651_n500#" "X1/X4/GND" 100.361
+cap "X10/m1_4820_n890#" "X1/X4/XM4/a_n989_n100#" 60.8359
+cap "X10/XC3/m4_n651_n500#" "X1/X4/VDD" 82.1356
+cap "X1/X4/BIAS" "X5/ctrll5" 7.80738
+cap "X10/m1_4820_n890#" "X1/X4/GND" 50.8994
+cap "X5/XM5/a_n317_n100#" "X1/X4/VDD" 0.00119098
+cap "X1/X4/SUB" "X5/ctrll5" 1.63559
+cap "X10/XC3/m4_n651_n500#" "X5/XC3/m4_n651_n500#" 10.4458
+cap "X5/XM5/a_n225_n100#" "X1/X4/VDD" 288.77
+cap "X1/X4/XM4/a_n989_n100#" "X10/IN" 37.4659
+cap "X1/X4/XM4/a_n989_n100#" "X10/m1_4820_n1420#" 0.249275
+cap "X5/IN" "X1/X4/VDD" 7.66717
+cap "X1/X4/GND" "X10/IN" 234.489
+cap "X5/m1_4820_n890#" "X1/X4/VDD" 2.54581
+cap "X1/X4/BIAS" "X1/X4/SUB" -0.00242532
+cap "X1/X4/GND" "X10/m1_4820_n1420#" 2.1316
+cap "X11/IN" "X10/IN" 27.2479
+cap "X10/m1_4820_n890#" "X5/IN" 0.235191
+cap "X10/m1_4820_n890#" "X5/XM5/a_n225_n100#" 54.9109
+cap "X10/XC3/m4_n651_n500#" "X10/IN" -7.03619
+cap "X10/XC3/m4_n651_n500#" "X1/X4/XM4/a_n989_n100#" 835.277
+cap "X5/ctrll5" "X1/X4/VDD" 3.51233
+cap "X11/m1_4820_n890#" "X10/IN" 1.46917
+cap "X10/XM5/a_n225_n100#" "X10/IN" -0.0777259
+cap "X10/XC3/m4_n651_n500#" "X1/X4/GND" 396.183
+cap "X10/XM5/a_n225_n100#" "X1/X4/XM4/a_n989_n100#" 0.0374689
+cap "X5/IN" "X10/IN" 27.2479
+cap "X5/XM5/a_n225_n100#" "X10/IN" 137.068
+cap "X5/XM5/a_n317_n100#" "X1/X4/GND" 0.386146
+cap "X10/XM5/a_n225_n100#" "X1/X4/GND" 0.0274665
+cap "X5/IN" "X1/X4/XM4/a_n989_n100#" 0.0263871
+cap "X5/XM5/a_n225_n100#" "X1/X4/XM4/a_n989_n100#" 42.5525
+cap "X1/X4/BIAS" "X1/X4/VDD" -0.15787
+cap "X1/X4/SUB" "X1/X4/VDD" -0.00046436
+cap "X5/XM5/a_n225_n100#" "X1/X4/GND" 307.145
+cap "X5/m1_4820_n890#" "X10/IN" 2.6214
+cap "X1/X4/GND" "X5/IN" 7.21739
+cap "X11/XC3/m4_n651_n500#" "X10/IN" 1.7101
+cap "X1/X4/SUB" "X5/XC3/m4_n651_n500#" 11.7021
+cap "X1/X4/BIAS" "X5/XC3/m4_n651_n500#" 58.8203
+cap "X10/XC3/m4_n651_n500#" "X5/IN" 0.877232
+cap "X10/XC3/m4_n651_n500#" "X5/XM5/a_n225_n100#" 206.134
+cap "X10/m1_4820_n890#" "X1/X4/SUB" 23.9436
+cap "X1/X4/BIAS" "X10/m1_4820_n890#" 51.913
+cap "X5/ctrll5" "X1/X4/XM4/a_n989_n100#" 0.1054
+cap "X1/X4/GND" "X5/ctrll5" 1.1613
+cap "X1/X4/SUB" "X10/IN" 61.4078
+cap "X1/X4/BIAS" "X10/IN" 130.927
+cap "X1/X4/SUB" "X10/m1_4820_n1420#" 0.873969
+cap "X1/X4/BIAS" "X10/m1_4820_n1420#" 0.837655
+cap "X1/X4/SUB" "X1/X4/GND" 0.300918
+cap "X1/X4/BIAS" "X1/X4/GND" -0.179424
+cap "X10/XC3/m4_n651_n500#" "X10/IN" -0.14492
+cap "X11/IN" "X1/X4/GND" 26.6149
+cap "X10/m1_4820_n890#" "X1/X4/XM4/a_n989_n100#" 4.94303
+cap "X11/XC3/m4_n651_n500#" "X1/X4/BIAS" 12.6315
+cap "X1/X4/BIAS" "X1/X4/GND" -0.077593
+cap "X1/X4/SUB" "X11/XC3/m4_n651_n500#" 41.2979
+cap "X1/X4/SUB" "X1/X4/GND" 0.480573
+cap "X10/XM5/a_n225_n100#" "X1/X4/GND" 256.857
+cap "X1/X4/VDD" "X1/X4/GND" -0.00221922
+cap "X1/X4/XM4/a_n989_n100#" "X10/m1_4820_n1420#" 0.0211341
+cap "X11/m1_4820_n890#" "X10/IN" 0.235191
+cap "X10/XM5/a_n225_n100#" "X11/XC3/m4_n651_n500#" 206.134
+cap "X11/XC3/m4_n651_n500#" "X1/X4/VDD" 87.4003
+cap "X5/XM5/a_n225_n100#" "X1/X4/BIAS" 2.36644
+cap "X11/XC3/m4_n651_n500#" "X1/X4/XM4/a_n989_n100#" 4.35413
+cap "X1/X4/SUB" "X10/XM5/a_n317_n100#" 0.302484
+cap "X1/X4/SUB" "X5/XM5/a_n225_n100#" 0.284305
+cap "X1/X4/VDD" "X5/XM5/a_n225_n100#" 0.0132429
+cap "X10/XM5/a_n317_n100#" "X1/X4/VDD" 0.00522501
+cap "X10/m1_4820_n890#" "X1/X4/GND" 10.0837
+cap "X5/ctrll5" "X1/X4/BIAS" 3.74898
+cap "X10/m1_4820_n1420#" "X1/X4/GND" 0.188696
+cap "X10/XC3/m4_n651_n500#" "X1/X4/BIAS" 135.543
+cap "X11/IN" "X10/XC3/m4_n651_n500#" 91.6457
+cap "X1/X4/SUB" "X10/XC3/m4_n651_n500#" 63.5132
+cap "X1/X4/SUB" "X5/ctrll5" 1.49853
+cap "X10/XC3/m4_n651_n500#" "X1/X4/VDD" 456.496
+cap "X5/ctrll5" "X1/X4/VDD" 8.05752
+cap "X11/XC3/m4_n651_n500#" "X1/X4/GND" 93.5178
+cap "X10/XM5/a_n225_n100#" "X10/XC3/m4_n651_n500#" -12.7529
+cap "X11/m1_4820_n890#" "X1/X4/BIAS" 1.39823
+cap "X1/X4/XM4/a_n989_n100#" "X5/ctrll5" 0.00983842
+cap "X10/XM5/a_n317_n100#" "X1/X4/GND" 1.17834
+cap "X5/XM5/a_n225_n100#" "X1/X4/GND" 1.45223
+cap "X1/X4/XM4/a_n989_n100#" "X10/XC3/m4_n651_n500#" 63.1961
+cap "X11/m1_4820_n890#" "X1/X4/VDD" 64.3784
+cap "X11/m1_4820_n890#" "X10/XM5/a_n225_n100#" 54.9109
+cap "X5/XC3/m4_n651_n500#" "X1/X4/GND" 0.0618209
+cap "X1/X4/VDD" "X11/m1_4820_n1420#" 0.685433
+cap "X5/ctrll5" "X1/X4/GND" 1.51455
+cap "X10/XC3/m4_n651_n500#" "X1/X4/GND" 992.868
+cap "X10/IN" "X1/X4/BIAS" 14.4687
+cap "X11/XC3/m4_n651_n500#" "X10/XC3/m4_n651_n500#" 90.138
+cap "X1/X4/SUB" "X10/IN" 3.55617
+cap "X11/m1_4820_n890#" "X1/X4/GND" 4.916
+cap "X1/X4/VDD" "X10/IN" 21.6272
+cap "X10/XC3/m4_n651_n500#" "X5/XM5/a_n225_n100#" 13.3216
+cap "X1/X4/XM4/a_n989_n100#" "X10/IN" 0.3807
+cap "X1/X4/GND" "X11/m1_4820_n1420#" 0.318859
+cap "X10/IN" "X1/X4/GND" 10.6181
+cap "X11/IN" "X1/X4/BIAS" 4.63182
+cap "X1/X4/SUB" "X1/X4/BIAS" -0.00105181
+cap "X11/XC3/m4_n651_n500#" "X10/IN" 0.877232
+cap "X1/X4/SUB" "X11/IN" 11.39
+cap "X1/X4/VDD" "X1/X4/BIAS" -1.90729
+cap "X11/IN" "X1/X4/VDD" 30.1798
+cap "X1/X4/SUB" "X1/X4/VDD" -0.00101412
+cap "X10/XM5/a_n225_n100#" "X1/X4/BIAS" 35.6462
+cap "X10/XM5/a_n225_n100#" "X11/IN" 67.4778
+cap "X1/X4/XM4/a_n989_n100#" "X11/IN" 0.176685
+cap "X1/X4/SUB" "X10/XM5/a_n225_n100#" 21.1018
+cap "X10/XM5/a_n225_n100#" "X1/X4/VDD" 325.486
+cap "X10/XM5/a_n225_n100#" "X1/X4/XM4/a_n989_n100#" 20.9863
+cap "X10/m1_4820_n890#" "X1/X4/BIAS" 12.1134
+cap "X10/m1_4820_n890#" "X11/IN" 0.56222
+cap "X10/m1_4820_n1420#" "X1/X4/BIAS" 0.127255
+cap "X10/m1_4820_n890#" "X1/X4/SUB" 11.4749
+cap "X10/m1_4820_n890#" "X1/X4/VDD" 83.4419
+cap "X1/X4/SUB" "X10/m1_4820_n1420#" 0.0770687
+cap "X10/m1_4820_n890#" "X1/X4/VDD" 0.0943878
+cap "X10/IN" "X1/X4/BIAS" 4.18967e-05
+cap "X11/IN" "X10/m1_4820_n890#" 2.6214
+cap "X11/IN" "X1/X4/VDD" 226.22
+cap "X1/X4/BIAS" "X11/XM5/a_n225_n100#" 2.76327
+cap "X1/X4/SUB" "X11/XC3/m4_n651_n500#" 1.63313
+cap "X1/X4/GND" "X11/ctrll5" 0.0576183
+cap "X10/IN" "X1/X4/VDD" 0.0450953
+cap "CTRL3" "X11/XC3/m4_n651_n500#" 6.82377
+cap "X11/XC3/m4_n651_n500#" "X11/ctrll5" 12.5156
+cap "X10/IN" "X11/IN" 27.2479
+cap "X1/X4/VDD" "X11/XM5/a_n225_n100#" 0.107048
+cap "CTRL1" "X11/XC3/m4_n651_n500#" 0.0243517
+cap "X11/m1_4820_n890#" "X11/ctrll5" 0.473004
+cap "X10/XC3/m4_n651_n500#" "X1/X4/BIAS" 1.44006
+cap "X1/X4/GND" "X11/XC3/m4_n651_n500#" 27.8713
+cap "X11/m1_4820_n1420#" "X1/X4/GND" 0.124001
+cap "X11/m1_4820_n890#" "X1/X4/GND" 1.94666
+cap "X10/XM5/a_n225_n100#" "X11/XC3/m4_n651_n500#" 13.3216
+cap "X1/X4/VDD" "X11/ctrll5" 0.569301
+cap "X11/IN" "X1/X4/XM1/a_n989_n100#" 5.22312
+cap "X11/IN" "X1/X4/SUB" 18.8584
+cap "X1/X4/BIAS" "X11/XC3/m4_n651_n500#" 137.191
+cap "CTRL4" "X11/XC3/m4_n651_n500#" 10.1398
+cap "X10/XM5/a_n225_n100#" "X1/X4/BIAS" 1.35155
+cap "X10/XC3/m4_n651_n500#" "X1/X4/VDD" 2.41169
+cap "X11/IN" "X11/ctrll5" 3.10146
+cap "X11/XC3/m4_n651_n500#" "CTRL2" 3.27014
+cap "X11/m1_4820_n890#" "X1/X4/BIAS" 27.8606
+cap "X11/IN" "X10/XC3/m4_n651_n500#" 161.366
+cap "X11/m1_4820_n890#" "CTRL4" 0.230027
+cap "X1/X4/VDD" "X11/XC3/m4_n651_n500#" 63.6805
+cap "X11/IN" "X1/X4/GND" 78.1578
+cap "X10/XM5/a_n225_n100#" "X1/X4/VDD" 2.25073
+cap "X10/m1_4820_n890#" "X1/X4/BIAS" 0.0581368
+cap "X11/m1_4820_n1420#" "X1/X4/VDD" 2.60852
+cap "X11/m1_4820_n890#" "X1/X4/VDD" 50.1
+cap "X1/X4/VDD" "X1/X4/BIAS" -1.83828
+cap "X11/IN" "X11/XC3/m4_n651_n500#" -7.05547
+cap "X11/IN" "X10/XM5/a_n225_n100#" 69.5904
+cap "X11/IN" "X1/X4/BIAS" 55.1265
+cap "X11/IN" "CTRL4" 0.728384
+cap "X11/IN" "X11/m1_4820_n890#" -0.034867
+cap "CTRL2" "X11/XM5/a_n225_n100#" 12.9639
+cap "X11/XM5/a_n225_n100#" "CTRL4" 19.0378
+cap "X11/IN" "X11/ctrll5" 3.94724
+cap "CTRL2" "X11/XC3/m4_n651_n500#" 0.962623
+cap "X11/XC3/m4_n651_n500#" "CTRL4" 5.48488
+cap "X11/m1_4820_n890#" "X11/ctrll5" 2.08596
+cap "X1/X4/VDD" "X11/XM5/a_n225_n100#" 0.372423
+cap "CTRL3" "X11/XM5/a_n225_n100#" 16.755
+cap "CTRL1" "X11/XM5/a_n225_n100#" 7.26764
+cap "X11/ctrll5" "X11/XM5/a_n225_n100#" 34.9847
+cap "X11/IN" "CTRL4" 0.0717724
+cap "X1/X4/BIAS" "X11/XM5/a_n225_n100#" 0.893304
+cap "X11/XC3/m4_n651_n500#" "CTRL3" 3.29897
+cap "X11/XC3/m4_n651_n500#" "X11/ctrll5" 47.9788
+cap "X11/m1_4820_n890#" "CTRL4" 0.0930584
+cap "CTRL1" "X11/XM5/a_n225_n100#" 1.26662
+cap "CTRL1" "X11/XC3/m4_n651_n500#" 0.00658575
+cap "bias_calc_0/XR19/a_n285_760#" "bias_calc_0/VDD" 5.80577
+cap "bias_calc_0/XR19/a_n415_n1322#" "bias_calc_0/VDD" 0.943178
+cap "bias_calc_0/VDD" "bias_calc_0/BIAS2V" 9.50999
+cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n415_n1322#" 0.366575
+cap "bias_calc_0/BIAS2V" "bias_calc_0/VDD" 1.79809
+cap "bias_calc_0/VDD" "bias_calc_0/XR19/a_n285_760#" 2.10209
+cap "X3/SUB" "VDD" -0.0893888
+cap "GND" "VDD" 237.446
+cap "X3/BIAS" "VDD" 13.0684
+cap "X3/SUB" "X3/BIAS" 150.14
+cap "X3/OUT180" "X3/BIAS" 26.3089
+cap "X3/X1/VDD" "X3/BIAS" 29.1305
+cap "X3/BIAS" "X3/SUB" 3.5674
+cap "X3/OUT180" "X3/BIAS" 15.1792
+cap "X3/OUT180" "X3/SUB" -0.136867
+cap "m1_50680_31080#" "X3/X1/XR1/a_n703_n3602#" 2.41573
+cap "X3/X4/GND" "m1_50680_31080#" 96.9903
+cap "X3/OUT0" "X3/X4/GND" -0.0484357
+cap "X3/OUT0" "m1_50680_31080#" 36.0515
+cap "X3/X4/GND" "m1_50680_31080#" 2.74588
+cap "X3/X4/GND" "X3/X4/VDD" 0.780503
+cap "X3/X4/VDD" "X4/m1_4820_n1420#" 8.68118
+cap "X4/m1_4820_n890#" "X3/X4/VDD" 11.4668
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 18.673
+cap "X4/XC3/c2_n551_n400#" "X3/X4/VDD" 8.12132
+cap "X1/X1/XR17/a_n415_n1322#" "X3/X4/VDD" 192.198
+cap "X3/X4/VDD" "X4/ctrll5" 2.53158
+cap "X1/X1/GND" "X3/X4/VDD" 3.81142
+cap "X5/ctrll4" "X1/X1/BIAS" 0.0126625
+cap "X1/X1/GND" "X1/X1/XR17/a_n415_n1322#" 66.7106
+cap "X1/X1/GND" "X1/X1/BIAS" 7.8714
+cap "X5/ctrll5" "X4/XM4/a_n81_n100#" -0.151463
+cap "X4/XM1/a_n73_n100#" "X1/X1/BIAS" 0.0268708
+cap "X4/XM3/a_n33_n100#" "X5/ctrll5" -3.85225
+cap "X4/XM3/a_n33_n100#" "X4/XM4/a_n81_n100#" -37.968
+cap "X4/XC3/c2_n551_n400#" "X5/ctrll5" -1.45967
+cap "X5/m1_4820_n890#" "X4/XC3/c2_n551_n400#" 1.91671
+cap "X3/X4/VDD" "X4/XM5/a_n225_n100#" 0.022956
+cap "X5/ctrll5" "X4/m1_4820_n1420#" -5.31456e-05
+cap "X4/XC3/c2_n551_n400#" "X4/XM4/a_n81_n100#" -0.0727661
+cap "X4/XM3/a_n33_n100#" "X4/XC3/c2_n551_n400#" -62.6136
+cap "X1/X1/GND" "X5/ctrll5" 15.4356
+cap "X4/XC3/c2_n551_n400#" "X5/XC3/c2_n551_n400#" 12.8609
+cap "X1/X1/GND" "X4/XM4/a_n81_n100#" 0.146785
+cap "X4/XC3/c2_n551_n400#" "X4/m1_4820_n1420#" -13.2194
+cap "X1/X1/GND" "X4/XM3/a_n33_n100#" 123.505
+cap "X3/X4/VDD" "X1/X1/BIAS" -1.41738
+cap "X3/X4/VDD" "GND" 0.22483
+cap "GND" "X1/X1/BIAS" 2.04086
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/BIAS" 2.00773
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 24.4806
+cap "X3/X4/VDD" "X1/X1/XR17/a_n415_n1322#" 74.021
+cap "X1/X1/GND" "X4/XC3/c2_n551_n400#" 102.364
+cap "X1/X1/GND" "X4/m1_4820_n1420#" 168.428
+cap "X4/XC3/c2_n551_n400#" "X4/XM5/a_n225_n100#" -0.045436
+cap "GND" "X5/ctrll5" 3.0146
+cap "X1/X1/BIAS" "X5/ctrll5" 6.18845
+cap "X3/X4/VDD" "X5/ctrll5" 62.1437
+cap "X1/X1/XR17/a_n415_n1322#" "X5/ctrll5" 9.0896
+cap "GND" "X4/XM4/a_n81_n100#" 0.141731
+cap "X3/X4/VDD" "X4/XM4/a_n81_n100#" 0.0566688
+cap "X4/XM3/a_n33_n100#" "GND" 101.134
+cap "X4/XM3/a_n33_n100#" "X3/X4/VDD" 314.957
+cap "X4/XM3/a_n33_n100#" "X1/X1/BIAS" 17.3039
+cap "X4/XM3/a_n33_n100#" "X1/X1/XR17/a_n415_n1322#" 27.495
+cap "X4/XC3/c2_n551_n400#" "GND" 405.607
+cap "X1/X1/XR17/a_n415_n1322#" "X4/XC3/c2_n551_n400#" 21.12
+cap "GND" "X4/m1_4820_n1420#" 141.373
+cap "X3/X4/VDD" "X4/XC3/c2_n551_n400#" 48.1933
+cap "X4/XC3/c2_n551_n400#" "X1/X1/BIAS" 16.2617
+cap "X1/X1/XR17/a_n415_n1322#" "X4/m1_4820_n1420#" 27.9313
+cap "X3/X4/VDD" "X4/m1_4820_n1420#" 69.8402
+cap "X1/X1/BIAS" "X4/m1_4820_n1420#" 6.12875
+cap "X5/m1_4820_n1420#" "X4/m1_4820_n1420#" 5.69459
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/XM4/a_159_n100#" 0.179021
+cap "X5/ctrll5" "X5/ctrll4" -1.61333
+cap "X1/X1/XM4/a_159_n100#" "X5/ctrll5" 0.428457
+cap "X4/XC3/c2_n551_n400#" "X4/m1_4820_n1420#" -0.265563
+cap "X5/m1_4820_n1420#" "X4/XM4/a_n81_n100#" 0.780154
+cap "GND" "X4/m1_4820_n1420#" 0.783241
+cap "X4/XM4/a_n81_n100#" "X4/XM3/a_n33_n100#" -58.1729
+cap "X5/XC3/m4_n651_n500#" "X1/X1/BIAS" 0.0628984
+cap "X4/XM4/a_n81_n100#" "GND" 0.11143
+cap "X1/X1/XR17/a_n415_n1322#" "X5/XC3/m4_n651_n500#" 0.0587721
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/BIAS" 67.2505
+cap "X1/X1/BIAS" "X1/X1/GND" 0.0519019
+cap "X5/XC3/m4_n651_n500#" "X5/ctrll5" -0.00989561
+cap "X1/X1/BIAS" "X5/ctrll5" 105.554
+cap "X5/m1_4820_n1420#" "X1/X1/VDD" 3.43841
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/GND" 6.05016
+cap "X1/X1/VDD" "X4/XM3/a_n33_n100#" 226.532
+cap "X1/X1/XR17/a_n415_n1322#" "X5/ctrll5" 11.7257
+cap "X5/XC3/c2_n551_n400#" "X4/XM5/a_n225_n100#" 92.3744
+cap "X1/X1/VDD" "X4/m1_4820_n1420#" 4.58474
+cap "X1/X1/GND" "X5/ctrll5" 15.2254
+cap "X4/XC3/c2_n551_n400#" "X1/X1/VDD" 0.00750343
+cap "X5/m1_4820_n890#" "X4/XM3/a_n33_n100#" 46.5372
+cap "X4/XC3/c2_n551_n400#" "X5/m1_4820_n890#" 0.323702
+cap "X1/X1/BIAS" "X5/XM1/a_n73_n100#" 0.0197418
+cap "X4/XM4/a_n81_n100#" "X1/X1/VDD" 34.8845
+cap "X5/m1_4820_n1420#" "X4/XM5/a_n225_n100#" 248.88
+cap "X4/XM5/a_n225_n100#" "X4/XM3/a_n33_n100#" -5.70686
+cap "X4/XM4/a_n81_n100#" "X5/m1_4820_n890#" 11.3406
+cap "X1/X1/XM4/a_159_n100#" "X4/XM3/a_n33_n100#" 3.6732
+cap "X5/XC3/c2_n551_n400#" "X1/X1/BIAS" 1.26457
+cap "X5/XC3/c2_n551_n400#" "X1/X1/XR17/a_n415_n1322#" 7.77783
+cap "X1/X1/VDD" "X5/m1_4820_n890#" 15.6947
+cap "X5/XC3/c2_n551_n400#" "X5/ctrll5" 13.2658
+cap "X5/m1_4820_n1420#" "X1/X1/BIAS" 1.69373
+cap "X4/XM5/a_n225_n100#" "X1/X1/VDD" 90.9979
+cap "X1/X1/BIAS" "X4/XM3/a_n33_n100#" 114.583
+cap "X1/X1/XR17/a_n415_n1322#" "X5/m1_4820_n1420#" 34.1816
+cap "X1/X1/BIAS" "X4/m1_4820_n1420#" 25.0383
+cap "X1/X1/XR17/a_n415_n1322#" "X4/XM3/a_n33_n100#" -7.5884
+cap "X4/XC3/c2_n551_n400#" "X1/X1/BIAS" 0.684587
+cap "X5/m1_4820_n1420#" "X1/X1/GND" 0.409712
+cap "X4/XM5/a_n225_n100#" "X5/m1_4820_n890#" 133.639
+cap "X5/m1_4820_n1420#" "X5/ctrll5" 41.3164
+cap "X1/X1/XR17/a_n415_n1322#" "X4/m1_4820_n1420#" 0.460872
+cap "X1/X1/BIAS" "GND" 0.0162323
+cap "X1/X1/GND" "X4/XM3/a_n33_n100#" 2.11659
+cap "X4/XM3/a_n33_n100#" "X5/ctrll5" 0.43045
+cap "X4/m1_4820_n1420#" "X1/X1/GND" 3.81097
+cap "X1/X1/XR17/a_n415_n1322#" "GND" 0.0185298
+cap "X4/m1_4820_n1420#" "X5/ctrll5" 0.523651
+cap "X4/XM4/a_n81_n100#" "X1/X1/BIAS" 46.2941
+cap "X1/X1/XR17/a_n415_n1322#" "X4/XM4/a_n81_n100#" -10.768
+cap "X4/XM4/a_n81_n100#" "X1/X1/GND" 0.167263
+cap "X4/XM4/a_n81_n100#" "X5/ctrll5" -4.2152
+cap "X1/X1/XM4/a_159_n100#" "X4/XM5/a_n225_n100#" 0.0455774
+cap "X1/X1/BIAS" "X1/X1/VDD" -12.5013
+cap "X5/XC3/m4_n651_n500#" "X5/m1_4820_n890#" -1.61014
+cap "X1/X1/XR17/a_n415_n1322#" "X1/X1/VDD" 49.6376
+cap "X1/X1/BIAS" "X5/m1_4820_n890#" 12.4436
+cap "X1/X1/VDD" "X5/ctrll5" 61.8272
+cap "X1/X1/XR17/a_n415_n1322#" "X5/m1_4820_n890#" 0.0545487
+cap "X5/m1_4820_n890#" "X5/ctrll5" -0.237144
+cap "X1/X1/BIAS" "X4/XM1/a_n73_n100#" 0.035645
+cap "X5/XC3/c2_n551_n400#" "X4/XM3/a_n33_n100#" 17.458
+cap "X1/X1/BIAS" "X4/XM5/a_n225_n100#" 92.9092
+cap "X5/XC3/m4_n651_n500#" "X4/XM5/a_n225_n100#" 13.4337
+cap "X5/XC3/c2_n551_n400#" "X4/m1_4820_n1420#" 2.11638
+cap "X1/X1/XR17/a_n415_n1322#" "X4/XM5/a_n225_n100#" 9.40088
+cap "X4/XM5/a_n225_n100#" "X1/X1/GND" 3.36027
+cap "X4/XM5/a_n225_n100#" "X5/ctrll5" 31.468
+cap "X1/X1/BIAS" "X5/ctrll4" 0.361786
+cap "X5/XC3/c2_n551_n400#" "X4/XM4/a_n81_n100#" 20.0417
+cap "X5/m1_4820_n1420#" "X4/XM3/a_n33_n100#" 24.1762
+cap "X10/XC3/c2_n551_n400#" "X5/XM3/a_n33_n100#" 0.881276
+cap "X1/X1/VDD" "X1/X1/BIAS" -28.9289
+cap "X4/XM1/a_n175_n274#" "X1/X1/BIAS" 22.7197
+cap "X5/ctrll5" "X1/X1/VDD" 114.942
+cap "X5/ctrll5" "X4/XM1/a_n175_n274#" 5.08264
+cap "X5/XM1/a_n73_n100#" "X1/X1/BIAS" 0.384799
+cap "X10/XC3/c2_n551_n400#" "X4/XM1/a_n175_n274#" 0.659763
+cap "X5/ctrll4" "X1/X1/BIAS" 0.284668
+cap "X5/ctrll5" "X5/ctrll4" -0.342222
+cap "X5/ctrll5" "X5/XM4/a_n81_n100#" -5.09342
+cap "X1/X1/GND" "X5/m1_4820_n1420#" 9.52893
+cap "X5/XM4/a_n81_n100#" "X1/X1/BIAS" 66.105
+cap "X5/XC3/c2_n551_n400#" "X4/XM3/a_n33_n100#" 72.3324
+cap "X5/ctrll5" "X1/X1/BIAS" 42.0159
+cap "X5/XM4/a_n81_n100#" "X10/XC3/c2_n551_n400#" 20.0417
+cap "X5/XM5/a_n225_n100#" "X1/X1/GND" 0.00703586
+cap "X5/ctrll5" "X10/XC3/c2_n551_n400#" 2.64255
+cap "X1/X1/GND" "X5/XC3/c2_n551_n400#" 0.0473123
+cap "X10/m1_4820_n1420#" "X5/m1_4820_n1420#" 1.42479
+cap "X10/XC3/c2_n551_n400#" "X1/X1/BIAS" 0.000689985
+cap "X4/XM4/a_n81_n100#" "X5/m1_4820_n1420#" 1.09374
+cap "X5/XC3/c2_n551_n400#" "X10/m1_4820_n890#" 1.91671
+cap "X4/XM5/a_n225_n100#" "X5/m1_4820_n1420#" 30.0058
+cap "X5/XC3/c2_n551_n400#" "X5/m1_4820_n1420#" -2.53713
+cap "X5/XC3/c2_n551_n400#" "X4/XM4/a_n81_n100#" 58.343
+cap "X5/XM5/a_n225_n100#" "X5/XC3/c2_n551_n400#" -0.045436
+cap "X10/m1_4820_n890#" "X5/XM3/a_n33_n100#" 0.926244
+cap "X5/XM3/a_n33_n100#" "X5/m1_4820_n1420#" -0.000336683
+cap "X1/X1/GND" "X4/XM1/a_n175_n274#" 3.31032
+cap "X4/XM5/a_n225_n100#" "X5/XC3/c2_n551_n400#" 150.359
+cap "X4/XC3/c2_n551_n400#" "X5/XC3/c2_n551_n400#" 12.8609
+cap "X1/X1/VDD" "X10/m1_4820_n890#" 0.00178938
+cap "X4/XM5/a_n225_n100#" "X5/XM3/a_n33_n100#" 0.223999
+cap "X1/X1/VDD" "X5/m1_4820_n1420#" 41.3489
+cap "X4/XM1/a_n175_n274#" "X5/m1_4820_n1420#" 9.67616
+cap "X5/XC3/c2_n551_n400#" "X5/XM3/a_n33_n100#" -52.7132
+cap "X1/X1/VDD" "X5/XM5/a_n225_n100#" 0.644548
+cap "X4/XM1/a_n175_n274#" "X10/m1_4820_n1420#" 1.44923
+cap "X1/X1/VDD" "X4/XM5/a_n225_n100#" 0.0169007
+cap "X1/X1/VDD" "X5/XC3/c2_n551_n400#" 20.0643
+cap "X4/XM5/a_n225_n100#" "X4/XM1/a_n175_n274#" 9.64149
+cap "X5/ctrll5" "X1/X1/GND" 14.4241
+cap "X4/m1_4820_n1420#" "X5/XC3/c2_n551_n400#" 17.7169
+cap "X5/XM4/a_n81_n100#" "X10/m1_4820_n890#" 8.28503
+cap "X5/XC3/c2_n551_n400#" "X4/XM1/a_n175_n274#" 51.5128
+cap "X5/XM4/a_n81_n100#" "X5/m1_4820_n1420#" -0.0436219
+cap "X5/XM4/a_n81_n100#" "X10/m1_4820_n1420#" 0.780154
+cap "X1/X1/VDD" "X5/XM3/a_n33_n100#" 477.702
+cap "X10/m1_4820_n890#" "X1/X1/BIAS" 0.00249978
+cap "X1/X1/BIAS" "X5/m1_4820_n1420#" 49.0833
+cap "X5/XM3/a_n33_n100#" "X4/XM1/a_n175_n274#" -4.64824
+cap "X5/ctrll5" "X5/m1_4820_n1420#" 6.05484
+cap "X5/ctrll5" "X10/m1_4820_n1420#" 4.4928
+cap "X5/XM4/a_n81_n100#" "X4/XM5/a_n225_n100#" 13.3787
+cap "X5/XM4/a_n81_n100#" "X5/XC3/c2_n551_n400#" -0.188335
+cap "X10/XC3/c2_n551_n400#" "X5/m1_4820_n1420#" 2.11638
+cap "X4/XM4/a_n81_n100#" "X1/X1/BIAS" 0.00257065
+cap "X1/X1/VDD" "X4/XM1/a_n175_n274#" 21.6823
+cap "X5/XM5/a_n225_n100#" "X1/X1/BIAS" 1.22223
+cap "X4/XM5/a_n225_n100#" "X1/X1/BIAS" 0.00959005
+cap "X5/XC3/c2_n551_n400#" "X1/X1/BIAS" 52.7229
+cap "X5/ctrll5" "X5/XC3/c2_n551_n400#" 24.1172
+cap "X5/XM4/a_n81_n100#" "X5/XM3/a_n33_n100#" -94.6953
+cap "X5/XC3/c2_n551_n400#" "X10/XC3/c2_n551_n400#" 12.8609
+cap "X5/ctrll5" "X5/XM3/a_n33_n100#" -4.55641
+cap "X5/XM4/a_n81_n100#" "X1/X1/VDD" 19.1981
+cap "X5/XM3/a_n33_n100#" "X1/X1/BIAS" 134.37
+cap "X5/XM4/a_n81_n100#" "X4/XM1/a_n175_n274#" -10.9792
+cap "X1/X4/BIAS" "X5/XC3/c2_n551_n400#" 0.10332
+cap "X5/XM3/a_n33_n100#" "X10/XM3/a_n33_n100#" 45.6109
+cap "X1/X4/BIAS" "X10/m1_4820_n1420#" 30.1454
+cap "X5/XM5/a_n225_n100#" "X1/X4/VDD" 94.7805
+cap "X5/ctrll5" "X10/m1_4820_n1420#" 41.6932
+cap "X10/XC3/c2_n551_n400#" "X10/XM3/a_n33_n100#" -52.7131
+cap "X10/XC3/c2_n551_n400#" "X10/XM4/a_n81_n100#" -0.0727661
+cap "X1/X4/GND" "X5/XM5/a_n225_n100#" 3.11115
+cap "X1/X4/BIAS" "X10/XM3/a_n33_n100#" 102.642
+cap "X10/XM4/a_n81_n100#" "X1/X4/BIAS" 12.8233
+cap "X5/ctrll5" "X10/XM3/a_n33_n100#" -5.37455
+cap "X10/XM4/a_n81_n100#" "X5/ctrll5" -0.180144
+cap "X5/XM5/a_n225_n100#" "X1/X1/XR16/a_n415_n1322#" 9.81372
+cap "X5/XM3/a_n33_n100#" "X5/XM5/a_n225_n100#" -5.71007
+cap "X1/X1/XR16/a_n415_n1322#" "X1/X4/VDD" 30.0427
+cap "X5/XM3/a_n33_n100#" "X1/X4/VDD" 40.3874
+cap "X5/XC3/c2_n551_n400#" "X10/XM3/a_n33_n100#" 0.323702
+cap "X1/X4/GND" "X1/X1/XR16/a_n415_n1322#" 2.42013
+cap "X10/XC3/c2_n551_n400#" "X5/XM5/a_n225_n100#" 242.734
+cap "X10/XC3/c2_n551_n400#" "X5/XM4/a_n81_n100#" 58.343
+cap "X10/XC3/c2_n551_n400#" "X1/X4/VDD" 46.125
+cap "X10/XC3/c2_n551_n400#" "X1/X4/XM4/a_159_n100#" 0.000419426
+cap "X1/X4/BIAS" "X5/XM5/a_n225_n100#" 79.6506
+cap "X5/XM4/a_n81_n100#" "X1/X4/BIAS" 0.660208
+cap "X5/ctrll5" "X5/XM5/a_n225_n100#" 32.4327
+cap "X1/X4/BIAS" "X1/X4/VDD" -12.5015
+cap "X10/XM4/a_n81_n100#" "X10/XM3/a_n33_n100#" -37.968
+cap "X10/XC3/c2_n551_n400#" "X1/X4/GND" 0.0388433
+cap "X1/X4/BIAS" "X5/ctrll4" 0.232061
+cap "X5/ctrll5" "X1/X4/VDD" 75.5389
+cap "X1/X4/XM4/a_159_n100#" "X5/ctrll5" 0.371151
+cap "X10/XC3/c2_n551_n400#" "X11/m1_4820_n890#" 1.91671
+cap "X5/ctrll5" "X5/ctrll4" -2.07778
+cap "X10/XC3/c2_n551_n400#" "X5/m1_4820_n1420#" 17.7169
+cap "X5/XM3/a_n33_n100#" "X1/X1/XR16/a_n415_n1322#" -1.87984
+cap "X1/X4/GND" "X5/ctrll5" 15.0495
+cap "X11/m1_4820_n890#" "X1/X4/BIAS" 0.0132542
+cap "X10/XM1/a_n73_n100#" "X1/X4/BIAS" 0.258067
+cap "X10/XC3/c2_n551_n400#" "X1/X1/XR16/a_n415_n1322#" 58.6724
+cap "X5/XM5/a_n225_n100#" "X10/m1_4820_n1420#" 272.027
+cap "X5/XM4/a_n81_n100#" "X10/m1_4820_n1420#" 1.09374
+cap "X10/XC3/c2_n551_n400#" "X10/XM5/a_n225_n100#" -0.045436
+cap "X5/XM3/a_n33_n100#" "X10/XC3/c2_n551_n400#" 88.9091
+cap "X1/X4/BIAS" "X1/X1/XR16/a_n415_n1322#" 17.886
+cap "X1/X4/VDD" "X10/m1_4820_n1420#" 51.9134
+cap "X1/X4/XM4/a_159_n100#" "X10/m1_4820_n1420#" 1.12504
+cap "X10/XM5/a_n225_n100#" "X1/X4/BIAS" 0.157077
+cap "X5/ctrll5" "X1/X1/XR16/a_n415_n1322#" 11.3146
+cap "X5/XM3/a_n33_n100#" "X1/X4/BIAS" 15.2172
+cap "X10/XM3/a_n33_n100#" "X5/XM5/a_n225_n100#" 133.639
+cap "X10/XM4/a_n81_n100#" "X5/XM5/a_n225_n100#" 13.4337
+cap "X1/X4/GND" "X10/m1_4820_n1420#" 8.60056
+cap "X5/XM4/a_n81_n100#" "X10/XM3/a_n33_n100#" 3.0556
+cap "X5/XM3/a_n33_n100#" "X5/ctrll5" 0.899168
+cap "X10/XC3/c2_n551_n400#" "X1/X4/BIAS" 61.1906
+cap "X10/XM3/a_n33_n100#" "X1/X4/VDD" 262.324
+cap "X1/X4/XM4/a_159_n100#" "X10/XM3/a_n33_n100#" 3.37829
+cap "X5/m1_4820_n1420#" "X10/m1_4820_n1420#" 4.2698
+cap "X10/XC3/c2_n551_n400#" "X11/XC3/c2_n551_n400#" 12.8609
+cap "X10/XC3/c2_n551_n400#" "X5/ctrll5" 34.8519
+cap "X1/X4/GND" "X10/XM3/a_n33_n100#" 1.96713
+cap "X1/X4/BIAS" "X5/ctrll5" 71.3288
+cap "X1/X4/BIAS" "X11/XC3/c2_n551_n400#" 0.00127124
+cap "X1/X1/XR16/a_n415_n1322#" "X10/m1_4820_n1420#" 41.6747
+cap "X5/XM3/a_n33_n100#" "X10/m1_4820_n1420#" 24.1762
+cap "X10/XC3/c2_n551_n400#" "X5/XC3/c2_n551_n400#" 12.8609
+cap "X10/XM4/a_n81_n100#" "X1/X1/XR16/a_n415_n1322#" 0.0587721
+cap "X10/XM3/a_n33_n100#" "X1/X1/XR16/a_n415_n1322#" 2.23134
+cap "X10/XC3/c2_n551_n400#" "X10/m1_4820_n1420#" -2.16348
+cap "X10/XM4/a_n81_n100#" "X10/XC3/c2_n551_n400#" -0.115569
+cap "X11/m1_4820_n890#" "X10/XC3/c2_n551_n400#" 0.323702
+cap "X1/X4/VDD" "X1/X4/XR17/a_n415_n1322#" 67.586
+cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n1420#" 248.88
+cap "X10/m1_4820_n1420#" "X1/X4/XR17/a_n415_n1322#" 0.271456
+cap "X10/XM5/a_n225_n100#" "X1/X4/BIAS" 88.5473
+cap "X5/ctrll5" "X1/X4/XR17/a_n415_n1322#" 13.2076
+cap "X1/X4/GND" "X11/m1_4820_n1420#" 0.293292
+cap "X11/XC3/c2_n551_n400#" "X1/X4/XR17/a_n415_n1322#" 7.77783
+cap "X11/XM1/a_n73_n100#" "X1/X4/BIAS" 0.0197418
+cap "X10/m1_4820_n1420#" "X1/X4/VDD" 4.58474
+cap "X10/XM3/a_n33_n100#" "X1/X4/XR17/a_n415_n1322#" -7.58582
+cap "X10/XM4/a_n81_n100#" "X1/X4/XR17/a_n415_n1322#" -10.7632
+cap "X1/X4/VDD" "X5/ctrll5" 115.492
+cap "X11/XC3/m4_n651_n500#" "X1/X4/XR17/a_n415_n1322#" 0.0587721
+cap "X10/m1_4820_n1420#" "X5/ctrll5" 1.13863
+cap "X1/X4/BIAS" "X10/XC3/c2_n551_n400#" 0.409048
+cap "X5/ctrll4" "X1/X4/BIAS" 0.361786
+cap "X1/X4/VDD" "X11/XC3/c2_n551_n400#" 1.26651
+cap "X10/XM1/a_n73_n100#" "X1/X4/BIAS" 0.035645
+cap "X10/XM3/a_n33_n100#" "X1/X4/VDD" 246.876
+cap "X1/X4/VDD" "X10/XM4/a_n81_n100#" 34.079
+cap "X5/XM5/a_n225_n100#" "X1/X4/XR17/a_n415_n1322#" 9.64149
+cap "X1/X4/VDD" "X11/m1_4820_n890#" 8.30173
+cap "X10/m1_4820_n1420#" "X11/XC3/c2_n551_n400#" 2.11638
+cap "X10/XM5/a_n225_n100#" "X1/X4/GND" 3.3732
+cap "X1/X4/VDD" "X11/XC3/m4_n651_n500#" 0.160448
+cap "X11/XC3/c2_n551_n400#" "X5/ctrll5" 13.2658
+cap "X10/XM3/a_n33_n100#" "X10/m1_4820_n1420#" -0.000336683
+cap "X10/m1_4820_n1420#" "X10/XM4/a_n81_n100#" -0.0436219
+cap "X10/XM3/a_n33_n100#" "X5/ctrll5" 1.03933
+cap "X10/XM4/a_n81_n100#" "X5/ctrll5" -4.53224
+cap "X5/ctrll5" "X11/m1_4820_n890#" -0.0969353
+cap "X11/XC3/m4_n651_n500#" "X5/ctrll5" -0.00990365
+cap "X1/X4/XR17/a_n415_n1322#" "X11/m1_4820_n1420#" 34.1527
+cap "X1/X4/VDD" "X5/XM5/a_n225_n100#" 0.000157557
+cap "X10/XM3/a_n33_n100#" "X11/XC3/c2_n551_n400#" 17.458
+cap "X10/XM4/a_n81_n100#" "X11/XC3/c2_n551_n400#" 20.0417
+cap "X1/X4/XR17/a_n415_n1322#" "X1/X4/BIAS" 62.2416
+cap "X10/m1_4820_n1420#" "X5/XM5/a_n225_n100#" 6.85833
+cap "X10/XM3/a_n33_n100#" "X10/XM4/a_n81_n100#" -58.3374
+cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n890#" 11.3406
+cap "X10/XM3/a_n33_n100#" "X11/m1_4820_n890#" 46.5372
+cap "X11/XC3/m4_n651_n500#" "X11/m1_4820_n890#" -1.28958
+cap "X1/X4/VDD" "X11/m1_4820_n1420#" 0.152914
+cap "X1/X4/VDD" "X1/X4/BIAS" -10.9638
+cap "X10/m1_4820_n1420#" "X11/m1_4820_n1420#" 5.69459
+cap "X10/XM5/a_n225_n100#" "X1/X4/XR17/a_n415_n1322#" 9.2042
+cap "X10/XM3/a_n33_n100#" "X5/XM5/a_n225_n100#" 0.223999
+cap "X10/XM4/a_n81_n100#" "X5/XM5/a_n225_n100#" 13.3787
+cap "X5/ctrll5" "X11/m1_4820_n1420#" 41.3164
+cap "X10/m1_4820_n1420#" "X1/X4/BIAS" 23.7786
+cap "X5/ctrll5" "X1/X4/BIAS" 72.6371
+cap "X1/X4/GND" "X1/X4/XR17/a_n415_n1322#" 5.95991
+cap "X11/XC3/c2_n551_n400#" "X1/X4/BIAS" 0.183003
+cap "X10/XM3/a_n33_n100#" "X11/m1_4820_n1420#" 24.1762
+cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n1420#" 0.780154
+cap "X1/X4/VDD" "X10/XM5/a_n225_n100#" 103.561
+cap "X10/m1_4820_n1420#" "X1/X4/XM4/a_159_n100#" 0.0767506
+cap "X10/m1_4820_n1420#" "X5/XM5/a_n317_n100#" 0.52981
+cap "X10/XM3/a_n33_n100#" "X1/X4/BIAS" 105.711
+cap "X10/XM4/a_n81_n100#" "X1/X4/BIAS" 45.9767
+cap "X11/m1_4820_n890#" "X1/X4/BIAS" 10.2696
+cap "X5/ctrll5" "X1/X4/XM4/a_159_n100#" 0.0536274
+cap "X11/XC3/m4_n651_n500#" "X1/X4/BIAS" 0.912174
+cap "X1/X4/XR17/a_n415_n1322#" "X10/XC3/c2_n551_n400#" -0.0427279
+cap "X10/XM5/a_n225_n100#" "X5/ctrll5" 31.0805
+cap "X11/XC3/c2_n551_n400#" "X10/XM5/a_n225_n100#" 92.3744
+cap "X10/m1_4820_n1420#" "X1/X4/GND" 0.74424
+cap "X5/XM5/a_n225_n100#" "X1/X4/BIAS" 0.0727045
+cap "X10/XM3/a_n33_n100#" "X1/X4/XM4/a_159_n100#" 1.1289
+cap "X10/XM3/a_n33_n100#" "X10/XM5/a_n225_n100#" -5.70686
+cap "X5/ctrll5" "X1/X4/GND" 15.7922
+cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n890#" 133.639
+cap "X1/X4/VDD" "X10/XC3/c2_n551_n400#" 0.115283
+cap "X11/XC3/m4_n651_n500#" "X10/XM5/a_n225_n100#" 13.4337
+cap "X10/m1_4820_n1420#" "X10/XC3/c2_n551_n400#" -0.37365
+cap "X11/m1_4820_n1420#" "X1/X4/BIAS" 1.69373
+cap "X5/ctrll4" "X5/ctrll5" -1.71111
+cap "X10/XM3/a_n33_n100#" "X1/X4/GND" 1.33276
+cap "X1/X4/GND" "X11/m1_4820_n890#" 1.30588
+cap "X10/XM3/a_n33_n100#" "X10/XC3/c2_n551_n400#" -7.82535e-05
+cap "X1/X4/VDD" "X11/XM3/a_n33_n100#" 14.7168
+cap "X11/XC3/c2_n551_n400#" "X11/m1_4820_n1420#" -2.53713
+cap "X11/ctrll2" "X11/XM4/a_n81_n100#" 1.1574
+cap "X1/X4/XM1/a_n1091_n274#" "X11/ctrll3" 0.448716
+cap "X10/XM5/a_n225_n100#" "X1/X4/BIAS" 0.538329
+cap "X11/ctrll5" "X11/XM3/a_n33_n100#" 0.479361
+cap "X11/ctrll5" "X11/ctrll4" 1.3532
+cap "X11/ctrll2" "X1/X4/XM1/a_n1091_n274#" 0.164559
+cap "X10/XM5/a_n225_n100#" "X11/XM4/a_n81_n100#" 13.3787
+cap "X11/m1_4820_n1420#" "X11/ctrll4" 0.973841
+cap "X11/ctrll5" "X11/ctrll3" 0.942482
+cap "X11/XM3/a_n33_n100#" "X11/m1_4820_n1420#" -0.000336683
+cap "X11/XM4/a_n81_n100#" "X1/X4/BIAS" 3.87493
+cap "X11/XM4/a_n81_n100#" "CTRL1" 0.0243517
+cap "X10/XM5/a_n225_n100#" "X1/X4/XM1/a_n1091_n274#" 9.64149
+cap "X11/m1_4820_n1420#" "X11/ctrll3" 0.219186
+cap "X11/ctrll2" "X11/ctrll5" 0.496329
+cap "X11/XC3/c2_n551_n400#" "X11/ctrll4" 0.258168
+cap "X10/m1_4820_n1420#" "X11/XC3/c2_n551_n400#" 17.7169
+cap "X11/XM3/a_n33_n100#" "X11/XC3/c2_n551_n400#" -42.1414
+cap "X10/XC3/c2_n551_n400#" "X1/X4/BIAS" 2.20609e-05
+cap "X11/ctrll2" "X11/m1_4820_n1420#" 0.0237765
+cap "X1/X4/BIAS" "X11/XM5/a_n225_n100#" 0.24672
+cap "X1/X4/XM1/a_n1091_n274#" "X11/XM4/a_n81_n100#" 0.174684
+cap "X10/XM4/a_n81_n100#" "X1/X4/BIAS" 0.353793
+cap "X11/ctrll5" "X1/X4/BIAS" 11.567
+cap "X11/ctrll5" "CTRL1" 0.0246085
+cap "X10/XM3/a_n33_n100#" "X11/XC3/c2_n551_n400#" 72.3324
+cap "X1/X4/VDD" "X11/XM4/a_n81_n100#" 0.140017
+cap "X11/XM3/a_n33_n100#" "X11/ctrll4" 0.360609
+cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n1420#" 30.0058
+cap "X11/XM1/a_n73_n100#" "X1/X4/BIAS" 0.114612
+cap "X11/m1_4820_n1420#" "X1/X4/BIAS" 11.7616
+cap "X11/ctrll5" "X11/XM4/a_n81_n100#" 2.61287
+cap "X10/XM5/a_n225_n100#" "X11/XC3/c2_n551_n400#" 150.359
+cap "X1/X4/GND" "X11/ctrll5" 0.192295
+cap "X11/ctrll5" "X1/X4/XM1/a_n1091_n274#" 1.92417
+cap "X11/m1_4820_n1420#" "X11/XM4/a_n81_n100#" -0.0436219
+cap "X11/XC3/c2_n551_n400#" "X1/X4/BIAS" 25.8774
+cap "X1/X4/VDD" "X11/ctrll5" 4.15183
+cap "X1/X4/GND" "X11/m1_4820_n1420#" 0.114058
+cap "X11/m1_4820_n1420#" "X1/X4/XM1/a_n1091_n274#" 9.03479
+cap "X11/XC3/c2_n551_n400#" "X11/XM4/a_n81_n100#" -0.115569
+cap "X1/X4/VDD" "X11/m1_4820_n1420#" 1.90843
+cap "X10/XM5/a_n225_n100#" "X11/XM3/a_n33_n100#" 0.223999
+cap "X11/XM3/a_n33_n100#" "X1/X4/BIAS" 43.8428
+cap "X11/ctrll4" "X1/X4/BIAS" 0.0540095
+cap "X11/XC3/c2_n551_n400#" "X1/X4/XM1/a_n1091_n274#" 51.5103
+cap "X10/XC3/c2_n551_n400#" "X11/XC3/c2_n551_n400#" 12.8609
+cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n1420#" 1.09374
+cap "X11/ctrll5" "X11/m1_4820_n1420#" 5.97353
+cap "X1/X4/VDD" "X11/XC3/c2_n551_n400#" 4.3028
+cap "X11/XM4/a_n81_n100#" "X11/ctrll4" 3.25053
+cap "X11/XM3/a_n33_n100#" "X11/XM4/a_n81_n100#" -75.8757
+cap "X11/ctrll5" "X11/XC3/c2_n551_n400#" 27.0204
+cap "X10/XM4/a_n81_n100#" "X11/XC3/c2_n551_n400#" 58.343
+cap "X11/XM4/a_n81_n100#" "X11/ctrll3" 2.24545
+cap "X1/X4/GND" "X11/XM3/a_n33_n100#" 0.589751
+cap "X10/XM3/a_n33_n100#" "X1/X4/BIAS" 0.0362379
+cap "X11/XM3/a_n33_n100#" "X1/X4/XM1/a_n1091_n274#" -0.000146757
+cap "X1/X4/XM1/a_n1091_n274#" "X11/ctrll4" 0.72021
+cap "X11/ctrll2" "X11/XM3/a_n33_n100#" 6.41904
+cap "X1/X4/XR16/a_n415_n1322#" "X11/ctrll5" -3.56443
+cap "X11/ctrll4" "X11/m1_4820_n1420#" 3.25587
+cap "X11/ctrll5" "X11/XC3/c2_n551_n400#" 1.00791
+cap "CTRL1" "X11/XM3/a_n33_n100#" 1.73994
+cap "X11/ctrll2" "X1/X4/XR16/a_n415_n1322#" 8.77386
+cap "X11/ctrll3" "X11/m1_4820_n1420#" 0.399601
+cap "CTRL1" "X1/X4/XR16/a_n415_n1322#" 2.45828
+cap "X11/XM3/a_n33_n100#" "X11/ctrll4" 17.5126
+cap "X1/X4/XR16/a_n415_n1322#" "X11/ctrll4" 30.5227
+cap "X11/ctrll2" "X11/ctrll5" 1.76534
+cap "X11/ctrll3" "X11/XM3/a_n33_n100#" 10.7871
+cap "X11/XC3/c2_n551_n400#" "X11/ctrll4" 0.0146919
+cap "X11/XM5/a_n225_n100#" "X11/ctrll5" 12.463
+cap "CTRL1" "X11/ctrll5" 0.330003
+cap "X11/ctrll5" "X11/XM4/a_n81_n100#" 7.6295
+cap "X11/ctrll2" "X11/XM5/a_n225_n100#" 33.444
+cap "X11/ctrll2" "X11/XM4/a_n81_n100#" 0.60774
+cap "X11/ctrll3" "X1/X4/XR16/a_n415_n1322#" 13.8867
+cap "X11/XM5/a_n225_n100#" "CTRL1" 16.5817
+cap "X11/ctrll5" "X11/ctrll4" 38.6171
+cap "X11/ctrll5" "X11/m1_4820_n1420#" 0.669528
+cap "X11/ctrll2" "X11/m1_4820_n1420#" 0.0141596
+cap "X11/XM5/a_n225_n100#" "X11/ctrll4" 66.8001
+cap "X11/ctrll3" "X11/ctrll5" 2.79439
+cap "X11/XM4/a_n81_n100#" "X11/ctrll4" 3.07863
+cap "X1/X4/BIAS" "X11/XM5/a_n225_n100#" 0.11816
+cap "X11/ctrll3" "X11/XM5/a_n225_n100#" 44.106
+cap "X11/XM3/a_n33_n100#" "X11/ctrll5" 41.4359
+cap "X11/ctrll3" "X11/XM4/a_n81_n100#" 1.4357
+cap "CTRL1" "X11/XM4/a_n81_n100#" 0.00649902
+cap "CTRL1" "X11/XM5/a_n317_n100#" 0.654009
+cap "CTRL1" "X11/ctrll5" 0.439104
+cap "X11/XM5/a_n225_n100#" "CTRL1" 4.94873
+cap "CTRL1" "X11/XM5/a_n419_n274#" 1.00256
+cap "X11/XM3/a_n33_n100#" "CTRL1" 0.598767
+cap "X3/X1/XR1/a_n703_n3602#" "X3/X1/XR1/a_n573_3040#" -0.0893888
+cap "m1_46210_32690#" "X3/X1/XR1/a_n573_3040#" 13.0684
+cap "GND" "X3/X1/XR1/a_n573_3040#" 237.446
+cap "X3/X1/VDD" "X3/X1/XR1/a_n703_n3602#" 11.4826
+cap "m1_46210_32690#" "X3/X1/XR1/a_n703_n3602#" 150.14
+cap "m1_46210_32690#" "X3/X1/VDD" 24.9197
+cap "m1_46210_32690#" "X3/OUT180" 26.3089
 cap "X3/OUT180" "X3/X1/VDD" 162.094
-cap "X3/X1/VDD" "X3/X1/XR1/a_n703_n3602#" 22.5699
-cap "X3/X1/XR1/a_n703_n3602#" "VDD" 36.4397
-cap "X3/OUT0" "VDD" 243.319
-cap "output_buffer_0/XR3/a_n703_n2202#" "VDD" 12.3852
-cap "output_buffer_0/BIAS" "output_buffer_0/XR3/a_n703_n2202#" 704.6
-cap "output_buffer_0/BIAS" "VDD" -10.7975
-cap "output_buffer_0/XR3/a_n703_n2202#" "X3/X4/VDD" 21.2216
-cap "X3/X1/XR2/a_n703_n3602#" "X3/X4/VDD" 1166.96
-cap "X4/XC2/c2_n551_n200#" "X4/GND" 45.6966
-cap "X3/X4/XR1/a_n703_n3602#" "X1/X1/VDD" 344.056
-cap "X4/GND" "X4/XM1/a_n73_n100#" 19.4994
-cap "X4/GND" "X1/X1/VDD" -32.1746
-cap "X4/XM1/a_n73_n100#" "X4/GND" 35.9535
-cap "X4/XC2/c2_n551_n200#" "X4/GND" 195.472
-cap "X4/XM1/a_n73_n100#" "X4/XC2/c2_n551_n200#" -135.805
-cap "X4/XM1/a_n73_n100#" "X5/XC6/m4_n551_n300#" 1.77346
-cap "X4/XM5/a_n225_n100#" "X5/GND" 3.01397
-cap "X5/ctrll3" "X5/ctrll5" -0.704687
-cap "X5/ctrll4" "X5/XM1/a_n73_n100#" 4.03186
-cap "X4/XM1/a_n73_n100#" "X5/XM1/a_n73_n100#" 35.4709
-cap "X4/XM4/a_n81_n100#" "X1/X1/BIAS" 3.63429
-cap "X5/m1_4820_n890#" "X4/XM5/a_n225_n100#" 4.62167
-cap "X4/XM5/a_n225_n100#" "X5/XM1/a_n73_n100#" 2.23793
-cap "X5/GND" "X5/XM1/a_n73_n100#" 0.789004
-cap "X5/ctrll3" "X4/XM3/a_n33_n100#" 14.8767
-cap "X5/ctrll4" "X5/ctrll2" -1.22425
-cap "X4/XM2/a_15_n100#" "X5/XC2/c2_n551_n200#" 84.9956
-cap "X5/ctrll3" "X5/ctrll4" -4.17736
-cap "X5/ctrll3" "X5/ctrll1" -1.09821
-cap "X5/ctrll5" "X5/ctrll4" -1.43259
-cap "X5/ctrll3" "X5/GND" -0.0124387
-cap "X4/XM1/a_n73_n100#" "X5/XC2/c2_n551_n200#" 22.154
-cap "X4/XM2/a_15_n100#" "X4/XM3/a_n33_n100#" -0.194139
-cap "X4/XM4/a_n81_n100#" "X4/XM2/a_15_n100#" -11.7473
-cap "X4/XM4/a_n81_n100#" "X5/ctrll4" 44.3366
-cap "X5/GND" "X4/XM3/a_n33_n100#" 86.5121
-cap "X4/XM4/a_n81_n100#" "X5/GND" 25.7374
-cap "X4/XM5/a_n225_n100#" "X4/XM2/a_15_n100#" -5.19848
-cap "X4/XM4/a_n81_n100#" "X4/XM5/a_n225_n100#" -0.0021211
-cap "X4/XM2/a_15_n100#" "X5/GND" -0.00479267
-cap "X5/ctrll3" "X5/ctrll2" -2.88281
-cap "X5/m1_4820_n890#" "X4/XM3/a_n33_n100#" 8.64948
-cap "X5/XM1/a_n73_n100#" "X4/XM3/a_n33_n100#" 69.0731
-cap "X4/XM1/a_n73_n100#" "X5/ctrll4" 10.8456
-cap "X5/m1_4820_n890#" "X4/XM4/a_n81_n100#" 11.0133
-cap "X4/XM4/a_n81_n100#" "X5/XM1/a_n73_n100#" 117.108
-cap "X5/ctrll1" "X5/ctrll4" -0.787028
-cap "X4/XM2/a_15_n100#" "X5/XM1/a_n73_n100#" 25.4672
-cap "X5/GND" "X5/ctrll4" -0.0122756
-cap "X4/XM1/a_n73_n100#" "X5/GND" 44.7651
-cap "X5/XC2/c2_n551_n200#" "X4/XM2/a_15_n100#" 84.9956
-cap "X5/XM3/a_n33_n100#" "output_buffer_0/GND" 8.28199
-cap "X5/ctrll3" "X5/GND" -0.0450144
-cap "X4/XM3/a_n33_n100#" "X5/XM1/a_n73_n100#" 43.687
-cap "X4/XM4/a_n81_n100#" "X5/XM1/a_n73_n100#" 52.9759
-cap "X5/ctrll1" "X5/ctrll4" -0.116119
-cap "X5/XM1/a_n73_n100#" "X4/XM1/a_n73_n100#" 17.118
-cap "X5/XC2/c2_n551_n200#" "X4/XM1/a_n73_n100#" 22.154
-cap "X4/XM3/a_n33_n100#" "X5/XM3/a_n33_n100#" 8.64948
-cap "X5/XM4/a_n81_n100#" "X5/ctrll4" -71.1917
-cap "X5/GND" "X5/XM3/a_n33_n100#" 31.059
-cap "X4/XM4/a_n81_n100#" "X5/XM3/a_n33_n100#" 11.0133
-cap "X5/XM1/a_n73_n100#" "X5/ctrll4" 40.5905
-cap "X5/XM4/a_n81_n100#" "X10/XM1/a_n73_n100#" 5.29616
-cap "X5/XM5/a_n225_n100#" "X5/XM4/a_n81_n100#" 0.00743942
-cap "X5/XM1/a_n73_n100#" "X4/XM5/a_n225_n100#" 0.995399
-cap "X5/XM3/a_n33_n100#" "X5/ctrll4" 0.192011
-cap "X5/ctrll2" "X5/ctrll4" -0.068972
-cap "X5/XM1/a_n73_n100#" "X10/XM1/a_n73_n100#" 17.7355
-cap "X5/XM1/a_n73_n100#" "X10/XC2/c2_n551_n200#" 22.154
-cap "X4/XM5/a_n225_n100#" "X5/XM3/a_n33_n100#" 4.62167
-cap "X5/ctrll3" "X5/XM1/a_n73_n100#" -1.71466
-cap "X5/XM3/a_n33_n100#" "X10/XM1/a_n73_n100#" 28.433
-cap "X5/GND" "X4/XM4/a_n81_n100#" 7.37728
-cap "X4/XM3/a_n33_n100#" "X5/GND" 5.95311
-cap "X5/XC2/c2_n551_n200#" "X5/XM1/a_n73_n100#" -125.505
-cap "X5/ctrll3" "X5/XM3/a_n33_n100#" -69.9727
-cap "X5/XM4/a_n81_n100#" "X5/XM2/a_15_n100#" 8.92721
-cap "X5/XM4/a_n81_n100#" "output_buffer_0/GND" 0.134601
-cap "X5/GND" "X5/ctrll4" -0.0781752
-cap "X5/ctrll5" "X5/ctrll4" -0.303882
-cap "X5/XM1/a_n73_n100#" "output_buffer_0/GND" 15.4733
-cap "X5/GND" "X4/XM5/a_n225_n100#" 3.04255
-cap "X5/GND" "X5/XM4/a_n81_n100#" 0.00784023
-cap "X5/ctrll5" "X5/XM4/a_n81_n100#" 0.00083606
-cap "X5/XM1/a_n73_n100#" "X4/XM2/a_15_n100#" 25.4672
-cap "X10/XM3/a_n33_n100#" "X5/XM5/a_n225_n100#" 4.62167
-cap "X5/XM3/a_n33_n100#" "X10/XM3/a_n33_n100#" 8.64948
-cap "X10/XM1/a_n73_n100#" "X5/XM3/a_n33_n100#" 62.8379
-cap "X5/ctrll3" "X5/ctrll2" -2.30625
-cap "X10/XM1/a_n73_n100#" "X5/XM5/a_n225_n100#" 2.23793
-cap "X1/X4/BIAS" "X5/XM4/a_n81_n100#" 3.63663
-cap "X5/XM5/a_n225_n100#" "X5/XM2/a_15_n100#" -5.19848
-cap "X5/XM3/a_n33_n100#" "X5/XM2/a_15_n100#" -1.34411e-05
-cap "X5/ctrll3" "X5/ctrll4" -5.56981
-cap "X10/XM1/a_n73_n100#" "output_buffer_0/GND" 9.42422
-cap "X5/XM5/a_n225_n100#" "X5/XM4/a_n81_n100#" -0.0021211
-cap "X10/XM1/a_n73_n100#" "X10/XC2/c2_n551_n200#" -125.505
-cap "X5/ctrll4" "X5/ctrll1" -0.774126
-cap "output_buffer_0/GND" "X5/XM4/a_n81_n100#" 8.39011
-cap "X5/ctrll3" "X5/XM3/a_n33_n100#" 158.24
-cap "X10/XC2/c2_n551_n200#" "X5/XM2/a_15_n100#" 169.991
-cap "X5/ctrll3" "X5/ctrll1" -1.42768
-cap "X10/XC2/c2_n551_n200#" "X5/XM1/a_n73_n100#" 22.154
-cap "X5/GND" "X5/XM4/a_n81_n100#" 13.7283
-cap "X5/GND" "X5/XM2/a_15_n100#" -0.00479267
-cap "output_buffer_0/GND" "X5/XM3/a_n33_n100#" 13.6921
-cap "X5/ctrll4" "X5/GND" 1316.53
-cap "X10/XM1/a_n73_n100#" "X5/XM2/a_15_n100#" 25.4672
-cap "X10/XM3/a_n33_n100#" "X5/XM4/a_n81_n100#" 11.0133
-cap "X10/XM1/a_n73_n100#" "X5/XM4/a_n81_n100#" 118.378
-cap "X10/XM1/a_n73_n100#" "X5/XM1/a_n73_n100#" 17.7355
-cap "X10/XM1/a_n73_n100#" "X5/ctrll4" 4.03186
-cap "X5/ctrll3" "X5/GND" 1316.53
-cap "X5/ctrll4" "X5/ctrll5" -1.845
-cap "X10/XM1/a_n73_n100#" "X11/XC6/m4_n551_n300#" 1.77346
-cap "X5/XM4/a_n81_n100#" "X5/XM2/a_15_n100#" -11.7473
-cap "X5/GND" "X5/XM5/a_n225_n100#" 3.01397
-cap "X5/XM3/a_n33_n100#" "X5/GND" 12.9351
-cap "X5/ctrll4" "X5/ctrll2" -0.948364
-cap "X5/ctrll3" "X10/XM1/a_n73_n100#" -1.71466
-cap "X5/ctrll3" "X5/ctrll5" -1.025
-cap "X5/ctrll4" "X5/XM4/a_n81_n100#" 474.425
-cap "X5/XM4/a_n81_n100#" "X5/GND" 7.37728
-cap "X5/GND" "X5/XM3/a_n33_n100#" 5.95311
-cap "X5/ctrll3" "X10/XM3/a_n33_n100#" 14.8767
-cap "X10/XM3/a_n33_n100#" "X11/m1_4820_n890#" 8.64948
-cap "X10/XM2/a_15_n100#" "X10/XM5/a_n225_n100#" -5.19848
-cap "X10/XM4/a_n81_n100#" "X5/ctrll4" 44.3366
-cap "X10/XM4/a_n81_n100#" "X11/XM1/a_n73_n100#" 117.108
-cap "X10/XM4/a_n81_n100#" "GND" 8.52471
-cap "X5/ctrll3" "X5/GND" -0.0574531
-cap "X10/XM5/a_n225_n100#" "X5/GND" 3.01397
-cap "X10/XM4/a_n81_n100#" "X10/XM5/a_n225_n100#" -0.0021211
-cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n890#" 11.0133
-cap "X5/XM5/a_n225_n100#" "X10/XM1/a_n73_n100#" 0.316277
-cap "X5/ctrll4" "X11/XM1/a_n73_n100#" 4.03186
-cap "X10/XM2/a_15_n100#" "X10/XM3/a_n33_n100#" -0.189784
-cap "GND" "X11/XM1/a_n73_n100#" 0.789004
-cap "X5/XM5/a_n225_n100#" "X10/XM3/a_n33_n100#" 4.62167
-cap "X5/ctrll5" "X5/ctrll4" -1.51941
-cap "X5/ctrll3" "X5/ctrll4" -3.89887
-cap "X10/XM5/a_n225_n100#" "X11/XM1/a_n73_n100#" 2.23793
-cap "X5/GND" "X10/XM3/a_n33_n100#" 58.1881
-cap "X10/XM2/a_15_n100#" "X5/GND" -0.00479267
-cap "X5/XM5/a_n225_n100#" "X5/GND" 3.04255
-cap "X10/XM4/a_n81_n100#" "X10/XM2/a_15_n100#" -11.7473
-cap "X5/ctrll5" "X5/ctrll3" -0.576562
-cap "X5/ctrll1" "X5/ctrll4" -0.916049
-cap "X10/XM1/a_n73_n100#" "X5/XM2/a_15_n100#" 25.4672
-cap "X10/XM1/a_n73_n100#" "X11/XC2/c2_n551_n200#" 22.154
-cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n890#" 4.62167
-cap "X5/XM4/a_n81_n100#" "X10/XM1/a_n73_n100#" 17.7823
-cap "X10/XM1/a_n73_n100#" "X5/ctrll4" -26.4881
-cap "X10/XM1/a_n73_n100#" "X11/XM1/a_n73_n100#" 35.4709
-cap "X10/XM1/a_n73_n100#" "X5/XM3/a_n33_n100#" 26.749
-cap "X10/XM4/a_n81_n100#" "X5/GND" 13.7283
-cap "X5/ctrll2" "X5/ctrll4" -1.13804
-cap "X10/XM2/a_15_n100#" "X11/XC2/c2_n551_n200#" 84.9956
-cap "X5/XM4/a_n81_n100#" "X10/XM3/a_n33_n100#" 11.0133
-cap "X10/XM4/a_n81_n100#" "X1/X4/BIAS" 3.63429
-cap "X10/XM3/a_n33_n100#" "X5/ctrll4" 0.192011
-cap "X10/XM1/a_n73_n100#" "GND" 6.83804
-cap "X5/XM3/a_n33_n100#" "X10/XM3/a_n33_n100#" 8.64948
-cap "X5/ctrll1" "X5/ctrll3" -1.23
-cap "X10/XM2/a_15_n100#" "X11/XM1/a_n73_n100#" 25.4672
-cap "X10/XM3/a_n33_n100#" "X11/XM1/a_n73_n100#" 69.0731
-cap "GND" "X10/XM3/a_n33_n100#" 21.9741
-cap "X10/XM1/a_n73_n100#" "X5/ctrll3" 31.2436
-cap "X5/GND" "X5/ctrll4" -0.0904508
-cap "X5/ctrll2" "X5/ctrll3" -2.1525
-cap "X11/ctrll3" "X11/XM2/a_15_n100#" 0.0606183
-cap "X10/XM2/a_15_n100#" "X11/XM1/a_n73_n100#" 25.4672
-cap "GND" "X11/XM1/a_n73_n100#" 15.4733
-cap "X11/XM4/a_n81_n100#" "X11/ctrll4" -79.5872
-cap "X11/GND" "X11/ctrll2" 1.47129
-cap "X11/XC2/c2_n551_n200#" "X10/XM2/a_15_n100#" 84.9956
-cap "X11/GND" "X11/XM3/a_n33_n100#" -23.5834
-cap "X11/GND" "X11/ctrll3" 14.2116
-cap "X11/GND" "X10/XM4/a_n81_n100#" 7.37728
-cap "X11/GND" "X10/XM3/a_n33_n100#" 5.95311
-cap "X11/GND" "X11/ctrll4" 48.8417
-cap "GND" "X11/XM3/a_n33_n100#" 8.28199
-cap "X11/GND" "X11/ctrll1" 1.22266
-cap "X11/GND" "X10/XM5/a_n225_n100#" 3.04255
-cap "X11/ctrll3" "X11/XM1/a_n73_n100#" -1.71466
-cap "X10/XM3/a_n33_n100#" "X11/XM1/a_n73_n100#" 43.687
-cap "X11/XM1/a_n73_n100#" "X10/XM4/a_n81_n100#" 52.9759
-cap "X11/XM1/a_n73_n100#" "X11/ctrll4" 113.619
-cap "X11/XC2/c2_n551_n200#" "X11/XM1/a_n73_n100#" -125.505
-cap "X10/XM1/a_n73_n100#" "X11/XM1/a_n73_n100#" 17.118
-cap "X10/XM5/a_n225_n100#" "X11/XM1/a_n73_n100#" 0.995399
-cap "X11/GND" "X11/XM2/a_15_n100#" -14.3992
-cap "X11/ctrll3" "X11/ctrll2" 2.83021
-cap "X11/ctrll2" "X11/ctrll4" 5.84562
-cap "X11/ctrll3" "X11/XM3/a_n33_n100#" -78.6664
-cap "X10/XM4/a_n81_n100#" "X11/XM3/a_n33_n100#" 11.0133
-cap "X10/XM3/a_n33_n100#" "X11/XM3/a_n33_n100#" 8.64948
-cap "X11/ctrll4" "X11/XM3/a_n33_n100#" 0.192011
-cap "X11/ctrll3" "X11/ctrll4" 7.03158
-cap "X11/ctrll3" "X11/ctrll1" 2.66718
-cap "X11/ctrll1" "X11/ctrll4" 4.89913
-cap "X11/GND" "X11/XM4/a_n81_n100#" -11.0877
-cap "X11/XC2/c2_n551_n200#" "X10/XM1/a_n73_n100#" 22.154
-cap "X10/XM5/a_n225_n100#" "X11/XM3/a_n33_n100#" 4.62167
-cap "GND" "X11/XM4/a_n81_n100#" 0.134601
-cap "GND" "X11/XM3/a_n33_n100#" 13.6921
-cap "X11/ctrll4" "X11/ctrll1" 3.24172
-cap "X11/ctrll3" "X11/ctrll5" 3.98981
-cap "X11/XM3/a_n33_n100#" "X11/ctrll1" 1.0974
-cap "X11/ctrll5" "X11/XM5/a_n225_n100#" 0.935775
-cap "GND" "X11/XM4/a_n81_n100#" 8.39011
-cap "X11/XM4/a_n81_n100#" "X11/ctrll1" 2.1948
-cap "X11/GND" "X11/ctrll4" 1943.37
-cap "X11/ctrll3" "X11/ctrll2" 11.9764
-cap "X11/GND" "X11/XM3/a_n33_n100#" 16.0269
-cap "X11/XM5/a_n225_n100#" "X11/ctrll2" 1.52339
-cap "X11/ctrll5" "X11/ctrll1" 1.2496
-cap "X11/GND" "X11/XM4/a_n81_n100#" 15.3351
-cap "X11/ctrll3" "X11/XM2/a_15_n100#" -0.520321
-cap "X11/ctrll3" "X11/XM5/a_n225_n100#" 1.97679
-cap "X11/ctrll3" "X11/ctrll1" 3.27495
-cap "X11/GND" "X11/ctrll2" 12.984
-cap "X11/XM5/a_n225_n100#" "X11/ctrll1" 0.617286
-cap "X11/GND" "X11/ctrll3" 2138.75
-cap "X11/XM4/a_n81_n100#" "X11/ctrll4" 676.143
-cap "X11/GND" "X11/XM5/a_n225_n100#" 1.96349
-cap "X11/ctrll4" "X11/ctrll5" 6.14142
-cap "X11/GND" "X11/ctrll1" 5.30047
-cap "X11/ctrll4" "X11/ctrll2" 14.1947
-cap "X11/XM3/a_n33_n100#" "X11/ctrll2" 2.70826
-cap "X11/XM4/a_n81_n100#" "X11/ctrll2" 5.41651
-cap "X11/ctrll3" "X11/ctrll4" 15.1702
-cap "X11/ctrll4" "X11/XM2/a_15_n100#" 0.0214046
-cap "X11/XM3/a_n33_n100#" "X11/ctrll3" 245.5
-cap "X11/ctrll4" "X11/XM5/a_n225_n100#" 2.88783
-cap "X11/XM3/a_n33_n100#" "X11/XM2/a_15_n100#" -1.34411e-05
-cap "X11/ctrll5" "X11/ctrll2" 3.1365
-cap "X11/XM4/a_n81_n100#" "X11/ctrll3" 7.02857
-cap "X11/ctrll5" "X11/ctrll1" 1.2496
-cap "X11/GND" "X11/ctrll1" 5.30047
-cap "X11/ctrll4" "X11/ctrll1" 8.03945
-cap "X11/ctrll3" "X11/ctrll1" 6.13763
-cap "X11/XM4/a_n81_n100#" "X11/ctrll1" 2.1948
-cap "X11/XM3/a_n33_n100#" "X11/ctrll1" 1.0974
-cap "X11/XM5/a_n225_n100#" "X11/ctrll1" 0.617286
-cap "output_buffer_0/GND" "output_buffer_0/VDD" 0.541306
-cap "output_buffer_0/VDD" "output_buffer_0/GND" -235.461
-cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/VDD" -53.8237
-cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 482.461
-cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/BIAS" 221.452
-cap "output_buffer_0/BIAS" "output_buffer_0/VDD" 6.95277
-cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/XR2/a_n415_n1322#" 3.99736
-cap "output_buffer_0/VDD" "output_buffer_0/INB" 501.329
-cap "output_buffer_0/INB" "output_buffer_0/XR2/a_n415_n1322#" 29.4353
-cap "output_buffer_0/VDD" "output_buffer_0/XR2/a_n415_n1322#" 51.7107
-cap "X3/X1/VDD" "VDD" 545.265
-cap "VDD" "X3/X1/XR1/a_n703_n3602#" 36.4397
-cap "X3/X1/VDD" "output_buffer_0/OUTB" 8.11509
-cap "X3/X1/VDD" "X3/X1/XR1/a_n703_n3602#" -2.27886
-cap "X3/X1/VDD" "output_buffer_0/INA" 87.975
-cap "output_buffer_0/INA" "output_buffer_0/OUTB" 19.8899
-cap "output_buffer_0/INA" "X3/X1/XR1/a_n703_n3602#" -143.205
-cap "X3/X1/VDD" "output_buffer_0/XR3/a_n703_n2202#" -23.3291
-cap "output_buffer_0/XR3/a_n703_n2202#" "VDD" 26.0991
-cap "X3/X1/VDD" "output_buffer_0/BIAS" 415.058
-cap "output_buffer_0/INA" "VDD" 430.594
-cap "output_buffer_0/BIAS" "VDD" -58.102
-cap "X3/X1/VDD" "VDD" 495.269
-cap "output_buffer_0/INA" "output_buffer_0/XR3/a_n703_n2202#" -8.61382
-cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/BIAS" 289.45
-cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/VDD" 52.3181
-cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 51.779
-cap "X3/X1/XR2/a_n703_n3602#" "output_buffer_0/VDD" 1719.55
-cap "X4/XM1/a_n73_n100#" "output_buffer_0/VDD" 3.22302
-cap "output_buffer_0/VDD" "X4/GND" 198.704
-cap "X4/GND" "X3/X4/XR1/a_n703_n3602#" 42.2835
-cap "X4/GND" "output_buffer_0/GND" 2.75526
-cap "X4/XM1/a_n73_n100#" "X4/GND" 6.36974
-cap "output_buffer_0/VDD" "X4/ctrll1" 0.912412
-cap "output_buffer_0/VDD" "X3/X4/XR1/a_n703_n3602#" 54.6959
-cap "X5/ctrll2" "X4/XM1/a_n73_n100#" -3.50131
-cap "X3/X4/VDD" "X5/ctrll1" 11.0486
-cap "X4/GND" "X4/XM1/a_n73_n100#" 121.205
-cap "X3/X4/VDD" "X4/GND" -572.993
-cap "X4/GND" "X5/ctrll1" -238.394
-cap "X3/X4/VDD" "X4/XM1/a_n73_n100#" 13.8515
-cap "X4/XC6/c2_n451_n200#" "X4/GND" 13.2936
-cap "X5/ctrll2" "X4/GND" -1.23374
-cap "X5/ctrll1" "X4/XM1/a_n73_n100#" -108.563
-cap "X4/XM2/a_15_n100#" "X5/ctrll1" -182.618
-cap "X5/ctrll4" "X5/ctrll2" -1.22425
-cap "X3/X4/VDD" "X5/ctrll1" 3.93829
-cap "X4/XM2/a_15_n100#" "X5/ctrll2" -6.85034
-cap "X5/ctrll2" "X5/XM1/a_n73_n100#" 2.00386
-cap "X4/XM2/a_15_n100#" "X5/GND" 262.079
-cap "X5/GND" "X5/XM1/a_n73_n100#" 10.4631
-cap "X5/ctrll3" "X5/ctrll1" -1.09821
-cap "X4/XM3/a_n33_n100#" "X5/GND" 34.8578
-cap "X4/XM1/a_n73_n100#" "X5/ctrll1" -0.0241201
-cap "X5/GND" "X3/X4/VDD" 12.7812
-cap "X5/ctrll2" "X5/ctrll1" 1.05163
-cap "X5/GND" "X5/ctrll1" -110.192
-cap "X4/XM2/a_15_n100#" "X5/XM1/a_n73_n100#" 37.032
-cap "X5/ctrll3" "X5/ctrll2" -2.88281
-cap "X5/ctrll4" "X5/ctrll1" -0.787028
-cap "X4/XM1/a_n73_n100#" "X5/ctrll2" -0.0153937
-cap "X4/XM1/a_n73_n100#" "X5/GND" 56.2266
-cap "X5/GND" "X5/ctrll2" 30.9611
-cap "X4/XM4/a_n81_n100#" "X5/GND" 16.3171
-cap "X5/XM1/a_n73_n100#" "X5/ctrll2" -6.09474
-cap "X5/XM1/a_n73_n100#" "X5/ctrll1" -120.903
-cap "X5/XM1/a_n73_n100#" "output_buffer_0/GND" 73.665
-cap "X10/XM1/a_n73_n100#" "X5/GND" 6.40472
-cap "X5/GND" "X4/XM2/a_15_n100#" 9.43138
-cap "X5/ctrll2" "X5/ctrll4" -0.068972
-cap "X5/ctrll1" "X5/ctrll4" -0.116119
-cap "X5/ctrll1" "X5/ctrll2" 11.6681
-cap "X5/XM1/a_n73_n100#" "X5/GND" 30.3735
-cap "X5/XM1/a_n73_n100#" "X4/XM2/a_15_n100#" 37.032
-cap "X5/GND" "X5/XM2/a_15_n100#" -106.565
-cap "X10/XM1/a_n73_n100#" "X5/ctrll2" 0.47556
-cap "X5/ctrll2" "X5/GND" -7.40002
-cap "X5/ctrll1" "X5/GND" -5.31919
-cap "output_buffer_0/GND" "X5/XM3/a_n33_n100#" 8.28199
-cap "X5/ctrll1" "X4/XM2/a_15_n100#" 1.607
-cap "X5/XM4/a_n81_n100#" "output_buffer_0/GND" 0.134601
-cap "X5/GND" "output_buffer_0/GND" -4.86976
-cap "X10/XM1/a_n73_n100#" "output_buffer_0/GND" 58.8872
-cap "X5/GND" "output_buffer_0/GND" -0.453729
-cap "output_buffer_0/GND" "X5/XM4/a_n81_n100#" 8.39011
-cap "X5/GND" "X5/ctrll1" 937.916
-cap "X10/XM1/a_n73_n100#" "X5/ctrll1" -109.412
-cap "X5/ctrll2" "X5/ctrll1" 0.0583849
-cap "output_buffer_0/GND" "X5/XM2/a_15_n100#" 51.726
-cap "X5/ctrll1" "X5/XM2/a_15_n100#" -109.336
-cap "X5/GND" "X5/XM3/a_n33_n100#" 7.03392
-cap "X5/ctrll3" "X5/ctrll2" -2.30625
-cap "X5/ctrll4" "X5/ctrll2" -0.948364
-cap "X10/XM1/a_n73_n100#" "X5/GND" 34.9012
-cap "X5/GND" "X5/XM4/a_n81_n100#" 4.30804
-cap "X5/ctrll3" "X5/ctrll1" -1.42768
-cap "X10/XM1/a_n73_n100#" "X5/ctrll2" 0.320602
-cap "X5/ctrll2" "X5/GND" 1753.54
-cap "output_buffer_0/GND" "X5/XM3/a_n33_n100#" 13.6921
-cap "X10/XM1/a_n73_n100#" "X5/XM2/a_15_n100#" 74.064
-cap "X5/GND" "X5/XM2/a_15_n100#" 109.046
-cap "X5/ctrll2" "X5/XM2/a_15_n100#" -9.98175
-cap "X5/ctrll4" "X5/ctrll1" -0.774126
-cap "X11/XM1/a_n73_n100#" "X5/ctrll2" 2.00386
-cap "X5/XM2/a_15_n100#" "X5/ctrll1" 1.16399
-cap "X5/ctrll1" "X10/XM1/a_n73_n100#" 81.3315
-cap "GND" "X5/GND" -8.10218
-cap "X5/ctrll1" "X5/ctrll3" -1.23
-cap "X5/ctrll2" "X5/GND" 821.772
-cap "X5/XM2/a_15_n100#" "X10/XM1/a_n73_n100#" 1.95549
-cap "X11/XM1/a_n73_n100#" "X10/XM2/a_15_n100#" 37.032
-cap "X5/ctrll2" "X5/ctrll4" -1.13804
-cap "GND" "X10/XM4/a_n81_n100#" 8.52471
-cap "X5/ctrll2" "X5/ctrll1" 4.04207
-cap "X10/XM2/a_15_n100#" "X5/GND" 100.126
-cap "GND" "X10/XM1/a_n73_n100#" 15.5668
-cap "X10/XM3/a_n33_n100#" "X5/GND" 7.03392
-cap "X5/ctrll2" "X10/XM1/a_n73_n100#" 29.8242
-cap "X11/XM1/a_n73_n100#" "X5/GND" 9.67414
-cap "X10/XM2/a_15_n100#" "X5/ctrll1" -109.779
-cap "X5/ctrll2" "X5/ctrll3" -2.1525
-cap "X10/XM4/a_n81_n100#" "X5/GND" 4.30804
-cap "X5/GND" "X5/ctrll1" 889.147
-cap "X5/ctrll1" "X5/ctrll4" -0.916049
-cap "GND" "X10/XM2/a_15_n100#" 51.726
-cap "X5/GND" "X5/XM2/a_15_n100#" 7.86268
-cap "X10/XM2/a_15_n100#" "X5/ctrll2" -6.85034
-cap "GND" "X10/XM3/a_n33_n100#" 21.9741
-cap "GND" "X11/XM1/a_n73_n100#" 0.789004
-cap "X5/GND" "X10/XM1/a_n73_n100#" 51.589
-cap "X11/ctrll1" "X10/XM2/a_15_n100#" 1.607
-cap "X11/XM1/a_n73_n100#" "GND" 73.665
-cap "X11/XM1/a_n73_n100#" "X10/XM2/a_15_n100#" 37.032
-cap "X11/ctrll2" "X11/ctrll4" -0.068972
-cap "X11/ctrll1" "X11/ctrll4" -0.0516084
-cap "X11/ctrll2" "X11/GND" 7.01701
-cap "X11/ctrll1" "X11/GND" 3.19065
-cap "GND" "X11/XM4/a_n81_n100#" 0.134601
-cap "X11/XM1/a_n73_n100#" "X11/GND" 30.3735
-cap "GND" "X11/XM3/a_n33_n100#" 8.28199
-cap "GND" "X11/GND" -4.86976
-cap "X11/XM2/a_15_n100#" "X11/GND" -9.89457
-cap "X10/XM2/a_15_n100#" "X11/GND" 9.43138
-cap "X11/ctrll1" "X11/ctrll2" 8.38623
-cap "X11/XM1/a_n73_n100#" "X11/ctrll2" -6.09474
-cap "X11/ctrll1" "X11/XM1/a_n73_n100#" -120.903
-cap "X11/ctrll2" "X11/ctrll3" -0.730313
-cap "X11/ctrll1" "X11/ctrll3" -0.3075
-cap "X11/ctrll1" "X11/GND" 2446.72
-cap "GND" "X11/XM3/a_n33_n100#" 13.6921
-cap "X11/ctrll3" "X11/ctrll2" -0.960938
-cap "X11/XM2/a_15_n100#" "GND" 51.726
-cap "X11/ctrll4" "X11/ctrll2" -0.431075
-cap "X11/XM2/a_15_n100#" "X11/ctrll2" -2.34078
-cap "GND" "X11/GND" -0.913219
-cap "X11/ctrll3" "X11/ctrll1" -0.988393
-cap "X11/XM2/a_15_n100#" "X11/GND" 17.3823
-cap "X11/GND" "X11/ctrll2" 2959.4
-cap "X11/ctrll1" "X11/ctrll4" -0.580594
-cap "X11/ctrll1" "X11/XM2/a_15_n100#" -50.0812
-cap "X11/ctrll1" "X11/ctrll2" -0.428785
-cap "X11/XM4/a_n81_n100#" "GND" 8.39011
-cap "X11/GND" "X11/ctrll1" 1.05919
-cap "X11/XM2/a_15_n100#" "X11/ctrll1" 1.0974
-cap "X11/ctrll2" "X11/ctrll1" 3.46622
-cap "output_buffer_0/VDD" "output_buffer_0/GND" -1.09472
-cap "output_buffer_0/VDD" "output_buffer_0/XM2/a_n1091_n274#" -3.05242
-cap "output_buffer_0/VDD" "output_buffer_0/GND" 14.4151
-cap "output_buffer_0/GND" "output_buffer_0/BIAS" 1.49143
-cap "output_buffer_0/GND" "output_buffer_0/VDD" -0.483927
-cap "output_buffer_0/XM2/a_n989_n100#" "output_buffer_0/BIAS" 1.87706
-cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 2.89929
-cap "output_buffer_0/XM2/a_n1091_n274#" "output_buffer_0/VDD" 8.70234
-cap "output_buffer_0/XM2/a_n705_n100#" "output_buffer_0/BIAS" 0.437143
-cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 4.26656
-cap "output_buffer_0/VDD" "output_buffer_0/XR2/a_n415_n1322#" 9.07733
-cap "output_buffer_0/XM2/a_n609_n100#" "output_buffer_0/BIAS" 0.93853
-cap "output_buffer_0/INB" "output_buffer_0/OUTB" 9.71669
-cap "output_buffer_0/BIAS" "output_buffer_0/INB" 3.55271e-15
-cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/INB" -0.0675188
-cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/XR2/a_n415_n1322#" 7.99471
-cap "output_buffer_0/BIAS" "output_buffer_0/INA" -1.12824
-cap "output_buffer_0/BIAS" "output_buffer_0/XR2/a_n415_n1322#" -0.019782
-cap "output_buffer_0/OUTB" "X3/X1/XR1/a_n703_n3602#" -1.24645
-cap "output_buffer_0/OUTB" "X3/X1/VDD" 8.11509
-cap "output_buffer_0/INB" "output_buffer_0/OUTB" 9.71669
-cap "output_buffer_0/OUTB" "output_buffer_0/INA" 66.7289
-cap "output_buffer_0/BIAS" "output_buffer_0/INA" -1.12824
-cap "output_buffer_0/INA" "X3/X1/XR1/a_n703_n3602#" -0.0442274
-cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/BIAS" -0.318261
-cap "output_buffer_0/XR3/a_n703_n2202#" "X3/X1/VDD" 17.2331
-cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/VDD" 17.2331
-cap "output_buffer_0/VDD" "X3/X1/XR2/a_n703_n3602#" 52.0245
-cap "GND" "X10/m1_4820_n890#" 12.3302
-cap "GND" "X10/XM1/a_n73_n100#" 1.60101
-cap "GND" "X10/XM2/a_15_n100#" 9.48744
-cap "X11/m1_4820_n890#" "GND" 4.64599
-cap "X11/XM1/a_n73_n100#" "GND" 10.6734
-cap "X11/m1_4820_n890#" "GND" 7.68423
-cap "GND" "X11/XM2/a_15_n100#" 9.48744
-cap "output_buffer_0/GND" "output_buffer_0/BIAS" 27.8186
-cap "output_buffer_0/GND" "output_buffer_0/XM2/a_n989_n100#" 22.1835
-cap "output_buffer_0/XM2/a_n989_n100#" "output_buffer_0/BIAS" 5.27038
-cap "output_buffer_0/XM2/a_n609_n100#" "output_buffer_0/BIAS" 1.6926
-cap "output_buffer_0/XM2/a_n705_n100#" "output_buffer_0/BIAS" 0.897178
-cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/BIAS" -0.179595
-cap "output_buffer_0/XM2/a_n609_n100#" "output_buffer_0/XM2/a_n705_n100#" 11.0391
-cap "output_buffer_0/XM4/a_n1665_n100#" "GND" 2.75526
-merge "X11/GND" "X10/GND" -1927.15 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 34855937 -6480 0 0 -46076788 -21160 -71665653 -30356 -3709020 -10194 6101050 -16800 0 0
+cap "X3/X1/XR1/a_n703_n3602#" "X3/OUT180" -0.136867
+cap "m1_46210_32690#" "X3/OUT180" 15.1792
+cap "X3/X1/XR1/a_n703_n3602#" "X3/X1/VDD" 20.9466
+cap "m1_46210_32690#" "X3/X1/XR1/a_n703_n3602#" 3.5674
+cap "X3/X1/XR1/a_n703_n3602#" "VDD" 21.0344
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/BIAS" 2.41573
+cap "output_buffer_0/BIAS" "output_buffer_0/XR3/a_n703_n2202#" 96.9903
+cap "output_buffer_0/XR3/a_n703_n2202#" "VDD" 11.5834
+cap "X3/OUT0" "output_buffer_0/XR3/a_n703_n2202#" -0.0484357
+cap "output_buffer_0/BIAS" "VDD" -2.14106
+cap "output_buffer_0/BIAS" "X3/OUT0" 36.0515
+cap "X3/OUT0" "VDD" 162.094
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/BIAS" 2.74588
+cap "output_buffer_0/XR3/a_n703_n2202#" "X3/X4/VDD" 23.2554
+cap "X3/X4/VDD" "X3/X1/XR2/a_n703_n3602#" 15.7578
+cap "X3/X4/XR1/a_n703_n3602#" "X1/X1/VDD" 229.744
+cap "X4/XM1/a_n73_n100#" "X1/X1/VDD" 11.436
+cap "X1/X1/VDD" "X4/ctrll4" 2.53158
+cap "X1/X1/VDD" "X4/GND" 34.1921
+cap "X4/ctrll3" "X1/X1/VDD" 2.53896
+cap "X3/X4/XR1/a_n703_n3602#" "X4/GND" 45.7276
+cap "X1/X1/VDD" "X4/XC2/c2_n551_n200#" 4.35712
+cap "X4/XM3/a_n33_n100#" "X4/GND" 0.0425357
+cap "X5/ctrll2" "X4/XM1/a_n73_n100#" -0.136474
+cap "X4/XC2/c2_n551_n200#" "X5/ctrll3" -0.057865
+cap "X5/ctrll1" "X4/XC2/c2_n551_n200#" -0.0283352
+cap "X4/XC2/c2_n551_n200#" "X4/XM1/a_n73_n100#" -10.9648
+cap "X4/XM1/a_n73_n100#" "X5/ctrll3" -0.165938
+cap "X5/ctrll4" "X4/XC2/c2_n551_n200#" -0.514178
+cap "X4/XM2/a_15_n100#" "X4/GND" 61.0818
+cap "X1/X1/VDD" "X4/XC2/c2_n551_n200#" 5.28234
+cap "X5/ctrll1" "X4/XM1/a_n73_n100#" -0.0950479
+cap "X1/X1/VDD" "X5/ctrll3" 1.8181
+cap "X1/X1/VDD" "X4/XM1/a_n73_n100#" 23.3616
+cap "X1/X1/VDD" "X5/ctrll4" 1.8181
+cap "X4/XC2/c2_n551_n200#" "X4/GND" 317.945
+cap "X4/GND" "X5/ctrll3" 34.7135
+cap "X5/ctrll4" "X4/XM3/a_n33_n100#" -0.00165399
+cap "X4/XM4/a_n81_n100#" "X4/GND" 0.962491
+cap "X5/ctrll2" "X4/XC2/c2_n551_n200#" -0.0443982
+cap "X4/XM1/a_n73_n100#" "X1/X1/BIAS" 0.0177692
+cap "X4/XM1/a_n73_n100#" "X4/GND" 521.151
+cap "X5/ctrll4" "X4/GND" 22.9211
+cap "X5/ctrll4" "X1/X1/BIAS" 0.00674388
+cap "X1/X1/VDD" "X4/GND" 77.648
+cap "X5/XC2/c2_n551_n200#" "X5/GND" 11.9719
+cap "X5/GND" "X4/XM1/a_n73_n100#" 0.480986
+cap "X4/XM4/a_n81_n100#" "X5/GND" 43.7157
+cap "X4/XM2/a_15_n100#" "X5/XM1/a_n73_n100#" 15.5296
+cap "X4/XM2/a_15_n100#" "X5/ctrll3" 0.0166456
+cap "X4/XM4/a_n81_n100#" "X5/ctrll1" -0.184354
+cap "X4/XM1/a_n73_n100#" "X5/ctrll1" -0.00370888
+cap "X5/GND" "X1/X1/BIAS" 0.311827
+cap "X5/ctrll5" "X5/ctrll4" -1.61333
+cap "X5/XC2/c2_n551_n200#" "X4/XM5/a_n225_n100#" 33.4408
+cap "X4/XM4/a_n81_n100#" "X5/m1_4820_n890#" 0.00198605
+cap "X4/XM2/a_15_n100#" "X5/GND" 59.2993
+cap "X5/ctrll4" "X5/XM1/a_n73_n100#" 26.2562
+cap "X5/m1_4820_n1420#" "X5/ctrll5" 0.577579
+cap "X5/XC2/c2_n551_n200#" "X4/XM3/a_n33_n100#" 3.67974
+cap "X5/m1_4820_n1420#" "X5/ctrll4" 1.56685
+cap "X4/XM4/a_n81_n100#" "X4/XM3/a_n33_n100#" -9.30868
+cap "X5/ctrll3" "X5/ctrll4" -4.55172
+cap "X4/XM3/a_n33_n100#" "X5/ctrll2" 10.4637
+cap "X5/ctrll3" "X5/XM1/a_n73_n100#" 5.63414
+cap "X5/GND" "X5/ctrll5" -1.42109e-14
+cap "X1/X1/VDD" "X5/ctrll5" 0.0158998
+cap "X5/GND" "X5/m1_4820_n460#" 0.0830912
+cap "X5/m1_4820_n1420#" "X5/ctrll3" 1.69111
+cap "X5/GND" "X5/ctrll4" 12.3267
+cap "X4/XM2/a_15_n100#" "X4/XM3/a_n33_n100#" 23.3973
+cap "X5/GND" "X5/XM1/a_n73_n100#" 57.7386
+cap "X5/m1_4820_n1420#" "X5/GND" 6.66483
+cap "X5/ctrll5" "X4/XM5/a_n225_n100#" -0.0208387
+cap "X4/XM4/a_n81_n100#" "X5/XC2/c2_n551_n200#" 40.8118
+cap "X5/m1_4820_n460#" "X4/XM5/a_n225_n100#" 6.87035
+cap "X5/XC2/c2_n551_n200#" "X4/XM1/a_n73_n100#" 1.05693
+cap "X5/GND" "X5/ctrll3" 45.1166
+cap "X5/ctrll4" "X4/XM5/a_n225_n100#" -0.0552381
+cap "X5/m1_4820_n890#" "X5/ctrll4" -0.00121517
+cap "X5/ctrll1" "X5/XM1/a_n73_n100#" -0.00795749
+cap "X4/XM4/a_n81_n100#" "X5/ctrll2" -0.43334
+cap "X4/XM1/a_n73_n100#" "X5/ctrll2" -0.00342573
+cap "X4/XM5/a_n225_n100#" "X5/XM1/a_n73_n100#" 4.89984
+cap "X5/GND" "X1/X1/VDD" 0.0290277
+cap "X4/XM4/a_n81_n100#" "X1/X1/BIAS" 0.00142655
+cap "X4/XM1/a_n73_n100#" "X1/X1/BIAS" 0.0226336
+cap "X5/m1_4820_n1420#" "X4/XM5/a_n225_n100#" 15.7573
+cap "X4/XM3/a_n33_n100#" "X5/ctrll4" 2.5108
+cap "X5/XC2/c2_n551_n200#" "X4/XM2/a_15_n100#" 1.82094
+cap "X4/XM4/a_n81_n100#" "X4/XM2/a_15_n100#" -2.14249
+cap "X4/XM2/a_15_n100#" "X4/XM1/a_n73_n100#" -7.26018
+cap "X4/XM3/a_n33_n100#" "X5/XM1/a_n73_n100#" 62.1315
+cap "X5/m1_4820_n1420#" "X4/XM3/a_n33_n100#" 22.8039
+cap "X5/GND" "X4/XM5/a_n225_n100#" 4.82935
+cap "X4/XM3/a_n33_n100#" "X5/ctrll3" 22.0625
+cap "X4/XM2/a_15_n100#" "X4/XC2/c2_n551_n200#" -1.57371
+cap "X5/XC2/c2_n551_n200#" "X5/ctrll5" 2.47084
+cap "X4/XM4/a_n81_n100#" "X5/m1_4820_n460#" 0.821082
+cap "X5/GND" "X4/XM3/a_n33_n100#" 114.997
+cap "X5/XC2/c2_n551_n200#" "X5/ctrll4" 6.7791
+cap "X4/XM4/a_n81_n100#" "X5/ctrll4" 33.1047
+cap "X4/XM1/a_n73_n100#" "X5/ctrll4" 0.387956
+cap "X5/m1_4820_n890#" "X4/XM5/a_n225_n100#" 0.00158428
+cap "X4/XM4/a_n81_n100#" "X5/XM1/a_n73_n100#" 202.766
+cap "X5/ctrll4" "X5/ctrll2" -0.216071
+cap "X5/ctrll5" "X1/X1/BIAS" 0.0228219
+cap "X4/XM3/a_n33_n100#" "X5/ctrll1" 4.3597
+cap "X4/XM4/a_n81_n100#" "X5/m1_4820_n1420#" 27.5445
+cap "X1/X1/BIAS" "X5/ctrll4" 0.192682
+cap "X4/XM3/a_n33_n100#" "X5/m1_4820_n890#" 0.00119792
+cap "X4/XM1/a_n73_n100#" "X5/ctrll3" 1.1132
+cap "X4/XM4/a_n81_n100#" "X5/ctrll3" -0.749158
+cap "X5/ctrll2" "X5/XM1/a_n73_n100#" -0.0114257
+cap "X1/X1/BIAS" "X5/XM1/a_n73_n100#" 0.0121893
+cap "X4/XM2/a_15_n100#" "X5/ctrll4" -0.0729155
+cap "X5/ctrll3" "X5/ctrll2" -3.11321
+cap "output_buffer_0/GND" "X5/ctrll3" 3.04158
+cap "X5/ctrll1" "X5/XM1/a_n73_n100#" -0.0907992
+cap "X5/XM4/a_n81_n100#" "X5/ctrll1" -0.0811294
+cap "X1/X1/BIAS" "X5/XM1/a_n73_n100#" 0.260035
+cap "X4/XM4/a_n81_n100#" "X5/XM2/a_15_n100#" 21.4545
+cap "X5/ctrll3" "X5/GND" 23.7572
+cap "X4/XM5/a_n225_n100#" "X5/XM3/a_n33_n100#" 0.000241058
+cap "X5/XM4/a_n81_n100#" "X5/XM3/a_n33_n100#" -6.23708
+cap "X5/XM4/a_n81_n100#" "X4/XM5/a_n225_n100#" 6.60044
+cap "X5/XC2/c2_n551_n200#" "X4/XM4/a_n81_n100#" 110.086
+cap "X5/XM2/a_15_n100#" "X5/GND" 13.5202
+cap "X5/ctrll4" "X5/XM2/a_15_n100#" 1.67762
+cap "X5/ctrll4" "X5/ctrll5" -0.342222
+cap "X4/XM2/a_15_n100#" "X5/XC2/c2_n551_n200#" 20.0529
+cap "output_buffer_0/GND" "X5/XC2/c2_n551_n200#" 1.69057
+cap "X10/XC2/c2_n551_n200#" "X5/XM1/a_n73_n100#" 1.05693
+cap "X5/XM2/a_15_n100#" "X4/XM3/a_n33_n100#" 15.4537
+cap "X5/XM4/a_n81_n100#" "X10/XM1/a_n73_n100#" 13.6434
+cap "X5/XM4/a_n81_n100#" "X10/XC2/c2_n551_n200#" 11.3071
+cap "X5/XC2/c2_n551_n200#" "X5/GND" 60.8211
+cap "X5/ctrll4" "X5/XC2/c2_n551_n200#" 14.4916
+cap "X5/ctrll3" "X5/XM3/a_n33_n100#" 0.0944044
+cap "X5/XC2/c2_n551_n200#" "X4/XM3/a_n33_n100#" 32.3556
+cap "X5/ctrll3" "X5/XM1/a_n73_n100#" 9.43439
+cap "X5/XM4/a_n81_n100#" "X5/ctrll3" -0.279414
+cap "X1/X1/BIAS" "X5/ctrll5" 0.00170505
+cap "X4/XM4/a_n81_n100#" "X5/GND" 8.52166
+cap "X10/XM1/a_n73_n100#" "X5/ctrll3" 0.535247
+cap "X4/XM5/a_n225_n100#" "X5/XM2/a_15_n100#" 14.1545
+cap "X5/XM2/a_15_n100#" "X5/XM1/a_n73_n100#" -8.97241
+cap "X1/X1/VDD" "X5/ctrll5" 0.00271021
+cap "X5/XM4/a_n81_n100#" "X5/XM2/a_15_n100#" -0.605263
+cap "output_buffer_0/GND" "X5/GND" 0.472869
+cap "output_buffer_0/GND" "X5/ctrll4" 0.0298501
+cap "X5/XC2/c2_n551_n200#" "X4/XM5/a_n225_n100#" 37.499
+cap "X10/XM1/a_n73_n100#" "X5/XM2/a_15_n100#" 4.43806
+cap "X5/ctrll4" "X5/GND" 3.84639
+cap "X5/XM4/a_n81_n100#" "X5/XC2/c2_n551_n200#" -0.0602034
+cap "X10/XC2/c2_n551_n200#" "X5/ctrll5" 0.217188
+cap "X10/XC2/c2_n551_n200#" "X5/XM2/a_15_n100#" 1.82094
+cap "X4/XM3/a_n33_n100#" "X5/GND" 6.37546
+cap "X5/ctrll4" "X5/ctrll2" -0.0785714
+cap "X4/XM4/a_n81_n100#" "X5/XM3/a_n33_n100#" 0.000502504
+cap "X5/ctrll3" "X5/XM2/a_15_n100#" 3.8369
+cap "X4/XM4/a_n81_n100#" "X5/XM1/a_n73_n100#" 1.35633
+cap "X5/XC2/c2_n551_n200#" "X4/XM1/a_n73_n100#" 4.76677
+cap "X5/XM4/a_n81_n100#" "X4/XM4/a_n81_n100#" 0.604035
+cap "output_buffer_0/GND" "X5/XM3/a_n33_n100#" 1.61992
+cap "X5/ctrll4" "X5/ctrll1" -0.0743243
+cap "X4/XM2/a_15_n100#" "X5/XM1/a_n73_n100#" 4.62965
+cap "output_buffer_0/GND" "X5/XM1/a_n73_n100#" 13.0377
+cap "X1/X1/BIAS" "X5/GND" 0.0483899
+cap "X5/ctrll4" "X1/X1/BIAS" 0.198228
+cap "X5/XM3/a_n33_n100#" "X5/GND" 3.27291
+cap "X5/XM2/a_15_n100#" "X5/ctrll5" 0.860971
+cap "X5/XM4/a_n81_n100#" "output_buffer_0/GND" 7.44032
+cap "X5/ctrll4" "X5/XM3/a_n33_n100#" 0.0639975
+cap "X4/XM5/a_n225_n100#" "X5/GND" 3.75124
+cap "X5/GND" "X5/XM1/a_n73_n100#" 34.0355
+cap "X5/ctrll4" "X5/XM1/a_n73_n100#" 0.387956
+cap "X1/X1/VDD" "X5/GND" 0.0129534
+cap "X4/XM3/a_n33_n100#" "X5/XM3/a_n33_n100#" 0.000286629
+cap "X5/ctrll2" "X5/XM1/a_n73_n100#" -0.128474
+cap "X5/XM4/a_n81_n100#" "X5/GND" -1.89092
+cap "X4/XM3/a_n33_n100#" "X5/XM1/a_n73_n100#" 63.3568
+cap "X5/XM4/a_n81_n100#" "X5/ctrll4" 1.1557
+cap "X5/XC2/c2_n551_n200#" "X5/ctrll5" 3.0428
+cap "X5/XM4/a_n81_n100#" "X5/ctrll2" -0.157107
+cap "X5/XC2/c2_n551_n200#" "X5/XM2/a_15_n100#" -2.0772
+cap "X10/XM1/a_n73_n100#" "X5/GND" 2.38541
+cap "X10/XM1/a_n73_n100#" "X5/ctrll4" 3.83685
+cap "X10/XC2/c2_n551_n200#" "X5/GND" 1.0646
+cap "X5/ctrll4" "X10/XC2/c2_n551_n200#" 1.74056
+cap "X5/ctrll1" "X5/XM3/a_n33_n100#" -0.00171976
+cap "X5/ctrll1" "X5/XM4/a_n81_n100#" -0.106589
+cap "X5/ctrll4" "output_buffer_0/GND" 0.00610363
+cap "X5/XM3/a_n33_n100#" "X5/GND" 13.0467
+cap "X5/ctrll2" "X5/XM4/a_n81_n100#" -0.276232
+cap "X5/ctrll3" "X5/ctrll1" -0.123596
+cap "X5/ctrll4" "X5/ctrll5" -2.07778
+cap "X5/ctrll4" "X10/XC2/c2_n551_n200#" 19.5301
+cap "X10/XM3/a_n33_n100#" "X5/XM3/a_n33_n100#" 0.00119792
+cap "output_buffer_0/GND" "X10/XC2/c2_n551_n200#" 1.69057
+cap "X5/ctrll3" "X5/ctrll2" -2.49057
+cap "X5/ctrll4" "X5/XM4/a_n81_n100#" 31.9383
+cap "X5/ctrll5" "X10/XC2/c2_n551_n200#" 5.29646
+cap "X5/XM4/a_n81_n100#" "output_buffer_0/GND" 12.4928
+cap "X5/ctrll3" "X5/ctrll4" -6.06897
+cap "X5/GND" "X5/XM5/a_n225_n100#" 4.83294
+cap "X5/XM4/a_n81_n100#" "X10/XC2/c2_n551_n200#" 139.59
+cap "X5/ctrll3" "output_buffer_0/GND" 2.08981
+cap "X10/XM3/a_n33_n100#" "X5/XM5/a_n225_n100#" 0.00158428
+cap "X5/ctrll1" "X10/XM1/a_n73_n100#" -0.0950479
+cap "X5/ctrll2" "X10/XM1/a_n73_n100#" -0.136474
+cap "X5/ctrll3" "X5/XM4/a_n81_n100#" -0.469744
+cap "X5/XM4/a_n81_n100#" "X10/XM4/a_n81_n100#" 0.821082
+cap "X5/ctrll4" "X10/XM1/a_n73_n100#" 22.4193
+cap "X10/XM2/a_15_n100#" "X5/GND" 6.66483
+cap "X10/XM1/a_n73_n100#" "output_buffer_0/GND" 13.8425
+cap "X10/XM1/a_n73_n100#" "X5/XM4/a_n81_n100#" 190.479
+cap "X5/XM1/a_n73_n100#" "X10/XC2/c2_n551_n200#" 4.76677
+cap "X5/ctrll3" "X10/XM1/a_n73_n100#" 13.4201
+cap "X5/ctrll1" "X5/XM3/a_n33_n100#" -0.151265
+cap "X5/ctrll2" "X5/XM3/a_n33_n100#" -0.166678
+cap "X5/XM3/a_n33_n100#" "X5/ctrll4" 2.51354
+cap "X5/GND" "X1/X4/VDD" 0.0567618
+cap "X5/XM3/a_n33_n100#" "output_buffer_0/GND" 3.3341
+cap "X5/XM3/a_n33_n100#" "X10/XC2/c2_n551_n200#" 36.0353
+cap "X5/XM3/a_n33_n100#" "X5/XM4/a_n81_n100#" -3.0716
+cap "X5/ctrll4" "X5/XM5/a_n225_n100#" -0.056619
+cap "X5/ctrll3" "X5/XM3/a_n33_n100#" 22.0637
+cap "X5/ctrll5" "X5/XM5/a_n225_n100#" -0.0208387
+cap "X10/XC2/c2_n551_n200#" "X5/XM5/a_n225_n100#" 70.9397
+cap "X10/XM2/a_15_n100#" "X5/ctrll4" 1.56685
+cap "X1/X4/BIAS" "X5/GND" 0.199489
+cap "X10/XM4/a_n81_n100#" "X5/XM5/a_n225_n100#" 6.87035
+cap "X5/XM3/a_n33_n100#" "X10/XM1/a_n73_n100#" 125.488
+cap "X10/XM2/a_15_n100#" "X5/ctrll5" 0.577579
+cap "X5/XM2/a_15_n100#" "X10/XC2/c2_n551_n200#" 20.0529
+cap "X5/XM2/a_15_n100#" "X5/XM4/a_n81_n100#" -1.62845
+cap "X10/XM2/a_15_n100#" "X5/XM4/a_n81_n100#" 27.5445
+cap "X10/XM1/a_n73_n100#" "X5/XM5/a_n225_n100#" 4.89984
+cap "X5/ctrll3" "X10/XM2/a_15_n100#" 1.69111
+cap "X5/ctrll5" "X1/X4/VDD" 0.0326816
+cap "X5/XM2/a_15_n100#" "X10/XM1/a_n73_n100#" 15.7212
+cap "X5/ctrll4" "X5/GND" 10.5889
+cap "X5/GND" "output_buffer_0/GND" 1.15531
+cap "X10/XM3/a_n33_n100#" "X5/ctrll4" -0.0254132
+cap "X5/ctrll5" "X5/GND" 1.42109e-14
+cap "X5/GND" "X10/XC2/c2_n551_n200#" 71.7766
+cap "X5/GND" "X5/XM4/a_n81_n100#" 12.8857
+cap "X5/ctrll3" "X5/GND" 23.9795
+cap "X1/X4/BIAS" "X5/ctrll4" 0.202011
+cap "X10/XM3/a_n33_n100#" "X5/XM4/a_n81_n100#" 0.00198605
+cap "X5/GND" "X10/XM4/a_n81_n100#" 0.0830912
+cap "X5/XM3/a_n33_n100#" "X10/XM2/a_15_n100#" 22.8039
+cap "X1/X4/BIAS" "X5/ctrll5" 0.0191056
+cap "X1/X4/BIAS" "X5/XM4/a_n81_n100#" 0.00152324
+cap "X5/GND" "X10/XM1/a_n73_n100#" 88.4001
+cap "X10/XM2/a_15_n100#" "X5/XM5/a_n225_n100#" 15.7573
+cap "X1/X4/BIAS" "X10/XM1/a_n73_n100#" 0.257678
+cap "X11/XC2/c2_n551_n200#" "X10/XM2/a_15_n100#" 1.82094
+cap "X10/XM4/a_n81_n100#" "X10/XM2/a_15_n100#" -2.23372
+cap "X10/XM5/a_n225_n100#" "X5/ctrll4" -0.0552381
+cap "X10/XM2/a_15_n100#" "X10/XC2/c2_n551_n200#" -2.0772
+cap "X5/ctrll3" "X10/XM2/a_15_n100#" 3.8369
+cap "GND" "X5/ctrll4" 0.034458
+cap "X11/XC2/c2_n551_n200#" "X5/GND" 11.9719
+cap "X10/XM4/a_n81_n100#" "X5/GND" 12.3104
+cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n890#" 0.00198605
+cap "X5/ctrll4" "X5/ctrll2" -0.196429
+cap "X10/XM2/a_15_n100#" "X11/XM1/a_n73_n100#" 15.5296
+cap "X5/ctrll4" "X1/X4/BIAS" 0.192682
+cap "X11/XC2/c2_n551_n200#" "X10/XM3/a_n33_n100#" 3.67974
+cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n460#" 6.87035
+cap "X10/XM4/a_n81_n100#" "X10/XM3/a_n33_n100#" -9.30868
+cap "X10/XM4/a_n81_n100#" "X5/ctrll1" -0.1931
+cap "X5/GND" "X10/XC2/c2_n551_n200#" -0.0481685
+cap "X5/ctrll3" "X5/GND" 40.5535
+cap "X10/XM1/a_n73_n100#" "GND" 0.35411
+cap "X5/GND" "X11/XM1/a_n73_n100#" 56.5797
+cap "X10/XM1/a_n73_n100#" "X1/X4/BIAS" 0.0220085
+cap "X10/XM1/a_n73_n100#" "X5/ctrll2" -0.00342573
+cap "X5/ctrll3" "X10/XM3/a_n33_n100#" 22.1581
+cap "X5/ctrll5" "X11/XC2/c2_n551_n200#" 2.47084
+cap "X10/XM1/a_n73_n100#" "X5/ctrll4" 0.387956
+cap "X5/GND" "X11/m1_4820_n1420#" 6.66483
+cap "X5/ctrll1" "X11/XM1/a_n73_n100#" -0.00795749
+cap "X11/XM1/a_n73_n100#" "X10/XM3/a_n33_n100#" 62.1315
+cap "X11/m1_4820_n1420#" "X10/XM3/a_n33_n100#" 22.8039
+cap "X5/XM4/a_n81_n100#" "X10/XM2/a_15_n100#" 21.4545
+cap "X10/XM5/a_n225_n100#" "X5/GND" 4.83298
+cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n890#" 0.00158428
+cap "X10/XM2/a_15_n100#" "X5/ctrll4" 1.67762
+cap "X5/ctrll5" "X11/m1_4820_n1420#" 0.577579
+cap "X5/XM4/a_n81_n100#" "X5/GND" 8.52166
+cap "X5/XM3/a_n33_n100#" "X10/XM2/a_15_n100#" 15.4537
+cap "GND" "X5/GND" 1.41167
+cap "X5/XM4/a_n81_n100#" "X10/XM3/a_n33_n100#" 0.000502504
+cap "X5/GND" "X1/X4/BIAS" 0.295747
+cap "GND" "X10/XM3/a_n33_n100#" 4.01409
+cap "X5/GND" "X5/ctrll4" 14.8892
+cap "X5/ctrll4" "X11/m1_4820_n890#" -0.00121517
+cap "X10/XM1/a_n73_n100#" "X10/XM2/a_15_n100#" -8.97241
+cap "X5/ctrll2" "X10/XM3/a_n33_n100#" -0.166678
+cap "X10/XM4/a_n81_n100#" "X11/XC2/c2_n551_n200#" 40.8118
+cap "X5/XM3/a_n33_n100#" "X5/GND" 6.37546
+cap "X10/XM5/a_n225_n100#" "X5/ctrll5" -0.0208387
+cap "X10/XM2/a_15_n100#" "X5/XM5/a_n225_n100#" 14.1545
+cap "X5/ctrll1" "X5/ctrll4" -0.0743243
+cap "X5/ctrll4" "X10/XM3/a_n33_n100#" 2.60131
+cap "X5/XM3/a_n33_n100#" "X10/XM3/a_n33_n100#" 0.000286629
+cap "X10/XM4/a_n81_n100#" "X10/XC2/c2_n551_n200#" -0.0602034
+cap "X10/XM4/a_n81_n100#" "X5/ctrll3" -0.749158
+cap "X10/XM1/a_n73_n100#" "X5/GND" -0.170314
+cap "X5/GND" "X11/m1_4820_n460#" 0.0830912
+cap "X5/ctrll5" "X1/X4/BIAS" 0.020735
+cap "X10/XM4/a_n81_n100#" "X11/XM1/a_n73_n100#" 202.766
+cap "X5/GND" "X5/XM5/a_n225_n100#" 3.75124
+cap "X10/XM1/a_n73_n100#" "X5/ctrll1" -0.00370888
+cap "X5/ctrll5" "X5/ctrll4" -1.71111
+cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n1420#" 27.5445
+cap "X5/XM5/a_n225_n100#" "X10/XM3/a_n33_n100#" 0.000241058
+cap "X5/ctrll3" "X11/XM1/a_n73_n100#" 5.63414
+cap "X5/GND" "X10/XM2/a_15_n100#" 13.5202
+cap "X5/ctrll3" "X11/m1_4820_n1420#" 1.69111
+cap "X10/XM5/a_n225_n100#" "X11/XC2/c2_n551_n200#" 33.4408
+cap "X10/XM4/a_n81_n100#" "X5/XM4/a_n81_n100#" 0.604035
+cap "X10/XM4/a_n81_n100#" "GND" 19.9308
+cap "X10/XM4/a_n81_n100#" "X5/ctrll2" -0.43334
+cap "X10/XM4/a_n81_n100#" "X1/X4/BIAS" 0.00142655
+cap "X5/GND" "X10/XM3/a_n33_n100#" 14.8602
+cap "X10/XM3/a_n33_n100#" "X11/m1_4820_n890#" 0.00119792
+cap "X11/XC2/c2_n551_n200#" "X5/ctrll4" 6.7791
+cap "X5/ctrll5" "X10/XM2/a_15_n100#" 0.860971
+cap "X5/GND" "X1/X4/VDD" 0.0738918
+cap "X10/XM5/a_n225_n100#" "X11/XM1/a_n73_n100#" 4.89984
+cap "X10/XM4/a_n81_n100#" "X5/ctrll4" 33.1001
+cap "X5/ctrll1" "X10/XM3/a_n33_n100#" -0.152955
+cap "X5/ctrll3" "GND" 2.50124
+cap "X10/XM5/a_n225_n100#" "X11/m1_4820_n1420#" 15.7573
+cap "X5/ctrll3" "X5/ctrll2" -2.32453
+cap "X5/ctrll4" "X10/XC2/c2_n551_n200#" -8.88178e-16
+cap "GND" "X11/XM1/a_n73_n100#" 1.1589
+cap "X5/ctrll3" "X5/ctrll4" -4.24828
+cap "X5/ctrll5" "X5/GND" -1.42109e-14
+cap "X11/XM1/a_n73_n100#" "X1/X4/BIAS" 0.0121893
+cap "X11/XM1/a_n73_n100#" "X5/ctrll2" -0.0114257
+cap "X10/XM1/a_n73_n100#" "X11/XC2/c2_n551_n200#" 1.05693
+cap "X10/XM4/a_n81_n100#" "X11/m1_4820_n460#" 0.821082
+cap "X11/XM1/a_n73_n100#" "X5/ctrll4" 26.2562
+cap "X10/XM4/a_n81_n100#" "X5/XM5/a_n225_n100#" 6.60044
+cap "X5/ctrll5" "X1/X4/VDD" 0.0353918
+cap "X11/m1_4820_n1420#" "X5/ctrll4" 1.56685
+cap "X10/XM1/a_n73_n100#" "X5/ctrll3" 1.1132
+cap "X11/XC2/c2_n551_n200#" "X10/XM5/a_n225_n100#" 37.499
+cap "X11/XM4/a_n81_n100#" "X10/XM4/a_n81_n100#" 0.604035
+cap "X11/ctrll2" "X11/GND" 0.525375
+cap "X11/XM1/a_n73_n100#" "X10/XM4/a_n81_n100#" 1.35633
+cap "X11/XM2/a_15_n100#" "X11/XC2/c2_n551_n200#" -2.0772
+cap "X11/ctrll4" "X1/X4/BIAS" 0.0287647
+cap "X11/XM3/a_n33_n100#" "X10/XM5/a_n225_n100#" 0.000241058
+cap "X10/XM4/a_n81_n100#" "X11/GND" 8.52166
+cap "X11/ctrll3" "X11/XM2/a_15_n100#" 4.36228
+cap "GND" "X11/ctrll4" 0.0288295
+cap "X10/XM1/a_n73_n100#" "X11/XC2/c2_n551_n200#" 4.76677
+cap "X11/ctrll2" "X11/XM2/a_15_n100#" 0.119014
+cap "X11/ctrll5" "X11/XM2/a_15_n100#" 0.860971
+cap "X11/ctrll4" "X11/XM4/a_n81_n100#" 3.89049
+cap "X11/ctrll1" "X11/XM4/a_n81_n100#" -0.0673987
+cap "X11/ctrll4" "X11/XM1/a_n73_n100#" 0.413694
+cap "X11/ctrll3" "X11/XM3/a_n33_n100#" 0.0944044
+cap "X11/ctrll1" "X11/XM1/a_n73_n100#" -0.0907992
+cap "X11/XM2/a_15_n100#" "X10/XM4/a_n81_n100#" 21.4545
+cap "X11/ctrll4" "X11/GND" 4.47225
+cap "X11/ctrll5" "X11/XC2/c2_n551_n200#" 3.0428
+cap "X11/ctrll3" "X11/ctrll5" 0.0733051
+cap "X11/ctrll2" "X11/ctrll3" -0.609895
+cap "X11/XC2/c2_n551_n200#" "X10/XM4/a_n81_n100#" 110.086
+cap "X1/X4/BIAS" "X11/XM1/a_n73_n100#" 0.0723286
+cap "GND" "X11/XM4/a_n81_n100#" 7.4407
+cap "GND" "X11/XM1/a_n73_n100#" 13.0377
+cap "X11/XM3/a_n33_n100#" "X10/XM4/a_n81_n100#" 0.000502504
+cap "GND" "X11/GND" 0.509399
+cap "X11/XM1/a_n73_n100#" "X10/XM2/a_15_n100#" 4.62965
+cap "X11/ctrll2" "X11/ctrll5" 0.0400227
+cap "X11/ctrll4" "X11/XM2/a_15_n100#" 1.82853
+cap "X11/XM4/a_n81_n100#" "X11/GND" 5.42717
+cap "X11/XM1/a_n73_n100#" "X11/GND" 34.0355
+cap "X11/ctrll4" "X11/XC2/c2_n551_n200#" 14.4916
+cap "X10/XM3/a_n33_n100#" "X11/XM1/a_n73_n100#" 63.3568
+cap "X11/ctrll3" "X11/ctrll4" 0.496867
+cap "X10/XM3/a_n33_n100#" "X11/GND" 6.37546
+cap "X11/ctrll4" "X11/XM3/a_n33_n100#" 0.0544705
+cap "X11/ctrll3" "X11/ctrll1" 0.00941889
+cap "X11/ctrll1" "X11/XM3/a_n33_n100#" -0.00429941
+cap "X11/XM4/a_n81_n100#" "X10/XM5/a_n225_n100#" 6.60044
+cap "GND" "X11/XC2/c2_n551_n200#" 1.69057
+cap "X11/XM2/a_15_n100#" "X11/XM4/a_n81_n100#" -0.605263
+cap "X11/ctrll5" "X11/ctrll4" 0.103748
+cap "X11/ctrll2" "X11/ctrll4" 0.317545
+cap "X11/XM2/a_15_n100#" "X11/XM1/a_n73_n100#" -8.97241
+cap "X10/XM5/a_n225_n100#" "X11/GND" 3.75124
+cap "X11/ctrll1" "X11/ctrll5" 0.00364821
+cap "X11/ctrll3" "GND" 2.76232
+cap "GND" "X11/XM3/a_n33_n100#" 1.62889
+cap "X11/XC2/c2_n551_n200#" "X10/XM2/a_15_n100#" 20.0529
+cap "X10/XM3/a_n33_n100#" "X11/XM2/a_15_n100#" 15.4537
+cap "X11/XM4/a_n81_n100#" "X11/XC2/c2_n551_n200#" -0.0602034
+cap "X11/XM2/a_15_n100#" "X11/GND" 13.5202
+cap "X11/ctrll3" "X11/XM4/a_n81_n100#" 1.54498
+cap "X11/XM4/a_n81_n100#" "X11/XM3/a_n33_n100#" -0.884224
+cap "X11/ctrll3" "X11/XM1/a_n73_n100#" 9.43439
+cap "X10/XM3/a_n33_n100#" "X11/XC2/c2_n551_n200#" 32.3556
+cap "X11/XC2/c2_n551_n200#" "X11/GND" 60.8211
+cap "X11/ctrll3" "X11/GND" 24.6997
+cap "X11/XM2/a_15_n100#" "X10/XM5/a_n225_n100#" 14.1545
+cap "X11/XM3/a_n33_n100#" "X11/GND" 3.05164
+cap "X10/XM3/a_n33_n100#" "X11/XM3/a_n33_n100#" 0.000286629
+cap "X11/ctrll2" "X11/XM4/a_n81_n100#" 0.783263
+cap "X11/ctrll2" "X11/XM1/a_n73_n100#" -0.128474
+cap "X11/ctrll1" "X11/ctrll4" 0.0151896
+cap "X11/XM2/a_15_n100#" "X11/XM4/a_n81_n100#" -1.62845
+cap "X11/ctrll2" "X11/ctrll5" 0.477996
+cap "X11/ctrll3" "X11/XM5/a_n225_n100#" 12.876
+cap "X11/GND" "X11/ctrll3" 55.6711
+cap "X11/XM4/a_n81_n100#" "X11/XM3/a_n33_n100#" -3.04671
+cap "X11/GND" "X11/XM5/a_n225_n100#" -2.2402
+cap "X11/ctrll4" "X11/XM5/a_n225_n100#" 25.9647
+cap "X11/ctrll4" "X11/GND" 31.6131
+cap "X11/ctrll4" "X11/ctrll3" 13.5972
+cap "X11/XM3/a_n33_n100#" "X11/ctrll1" 7.31201
+cap "X11/XM1/a_n73_n100#" "X11/ctrll4" 0.122762
+cap "X11/XM4/a_n81_n100#" "X11/GND" -3.31642
+cap "X11/XM4/a_n81_n100#" "X11/ctrll3" 58.4801
+cap "GND" "X11/XM3/a_n33_n100#" 3.63726
+cap "X11/XM2/a_15_n100#" "X11/ctrll2" 0.135134
+cap "X11/XM5/a_n225_n100#" "X11/ctrll1" 4.71819
+cap "X11/GND" "X11/ctrll1" 5.89032
+cap "X11/ctrll5" "X11/XM5/a_n225_n100#" -2.41508
+cap "X11/GND" "X11/ctrll5" -0.779524
+cap "X11/ctrll4" "X11/XM4/a_n81_n100#" 11.2283
+cap "X11/ctrll5" "X11/ctrll3" 0.705107
+cap "X11/ctrll3" "X11/ctrll1" -0.347364
+cap "X11/ctrll2" "X11/XM3/a_n33_n100#" 36.8607
+cap "X11/GND" "GND" 1.48633
+cap "X11/ctrll4" "X11/ctrll5" 1.06643
+cap "X11/ctrll4" "X11/ctrll1" 0.182985
+cap "GND" "X11/ctrll3" 0.344958
+cap "X11/ctrll2" "X11/ctrll3" 8.09599
+cap "X11/ctrll2" "X11/XM5/a_n225_n100#" 9.74274
+cap "X11/XM4/a_n81_n100#" "X11/ctrll1" 10.1935
+cap "X11/GND" "X11/ctrll2" 37.7493
+cap "X11/ctrll4" "GND" 0.00632053
+cap "X11/XM4/a_n81_n100#" "GND" 12.4946
+cap "X11/ctrll5" "X11/ctrll1" 0.111052
+cap "X11/ctrll4" "X11/ctrll2" 1.01756
+cap "X11/XM2/a_15_n100#" "X11/ctrll3" 2.81139
+cap "X11/XM3/a_n33_n100#" "X11/ctrll3" 22.1703
+cap "X11/GND" "X11/XM3/a_n33_n100#" -0.547412
+cap "X11/XM4/a_n81_n100#" "X11/ctrll2" 22.2628
+cap "X11/ctrll4" "X11/XM2/a_15_n100#" 2.97397
+cap "X11/ctrll4" "X11/XM3/a_n33_n100#" 6.7098
+cap "X11/ctrll1" "X11/XM4/a_n81_n100#" 3.82579
+cap "X11/ctrll3" "X11/ctrll1" 0.193665
+cap "X11/ctrll1" "X11/GND" 1.22273
+cap "X11/ctrll1" "X11/XM3/a_n33_n100#" 3.29424
+cap "X11/ctrll1" "X11/ctrll5" 0.14189
+cap "X11/ctrll1" "X11/ctrll4" 0.245439
+cap "X11/ctrll1" "X11/XM5/a_n225_n100#" 1.54692
+cap "X11/XM5/a_n419_n274#" "X11/ctrll1" 2.84223
+cap "output_buffer_0/GND" "output_buffer_0/VDD" 0.749259
+cap "output_buffer_0/GND" "output_buffer_0/VDD" 45.397
+cap "output_buffer_0/VDD" "m1_46210_32690#" 1.06278
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/VDD" 0.630099
+cap "output_buffer_0/VDD" "output_buffer_0/XR2/a_n415_n1322#" 26.601
+cap "output_buffer_0/INB" "output_buffer_0/XR2/a_n415_n1322#" 0.398718
+cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 343.933
+cap "output_buffer_0/BIAS" "output_buffer_0/XR2/a_n415_n1322#" 98.2026
+cap "output_buffer_0/INB" "output_buffer_0/BIAS" 4.95221
+cap "output_buffer_0/INB" "output_buffer_0/XR2/a_n285_760#" 3.5234
+cap "output_buffer_0/BIAS" "output_buffer_0/INB" 7.0556
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/INA" 5.30908
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/BIAS" 3.63589
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/XR2/a_n285_760#" 0.805074
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/INB" 39.3859
+cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 5.21834
+cap "output_buffer_0/VDD" "output_buffer_0/INB" 345.999
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/VDD" 17.441
+cap "X3/X1/XR1/a_n703_n3602#" "VDD" 26.1446
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/INA" 37.757
+cap "VDD" "output_buffer_0/OUTB" 63.1931
+cap "output_buffer_0/INA" "output_buffer_0/OUTB" 97.6092
+cap "X3/X1/VDD" "VDD" 214.666
+cap "X3/X1/VDD" "output_buffer_0/INA" 308.084
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/INB" 0.161996
+cap "VDD" "output_buffer_0/INA" -5.85775
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/BIAS" 0.579847
+cap "X3/X1/XR1/a_n703_n3602#" "X3/X1/VDD" 8.66526
+cap "X3/X1/VDD" "output_buffer_0/OUTB" 71.5115
+cap "VDD" "output_buffer_0/INA" 241.756
+cap "output_buffer_0/XR3/a_n703_n2202#" "X3/X1/VDD" 13.0511
+cap "output_buffer_0/BIAS" "X3/X1/VDD" 279.417
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/INA" 4.86706
+cap "output_buffer_0/BIAS" "output_buffer_0/INA" 9.9417
+cap "X3/X1/VDD" "output_buffer_0/INA" 25.0809
+cap "VDD" "output_buffer_0/XR3/a_n703_n2202#" 42.3346
+cap "VDD" "output_buffer_0/BIAS" -57.4392
+cap "VDD" "X3/X1/VDD" 214.456
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/VDD" 0.00123687
+cap "output_buffer_0/BIAS" "output_buffer_0/VDD" -0.0721139
+cap "output_buffer_0/BIAS" "output_buffer_0/XR3/a_n703_n2202#" 80.0227
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/BIAS" 0.89601
+cap "X3/OUT0" "output_buffer_0/VDD" -0.583771
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/VDD" 73.4496
+cap "output_buffer_0/BIAS" "output_buffer_0/VDD" 47.0231
+cap "output_buffer_0/XR3/a_n703_n2202#" "X3/OUT0" 0.0084649
+cap "X3/X1/XR2/a_n703_n3602#" "output_buffer_0/VDD" 53.7038
+cap "output_buffer_0/BIAS" "output_buffer_0/VDD" -0.00634217
+cap "output_buffer_0/VDD" "X4/XM1/a_n73_n100#" 7.4177
+cap "X3/X4/XR1/a_n703_n3602#" "X4/GND" 64.6748
+cap "output_buffer_0/GND" "X4/GND" 3.50694
+cap "output_buffer_0/VDD" "X3/X4/XR1/a_n703_n3602#" 105.29
+cap "output_buffer_0/VDD" "X4/ctrll2" 8.7257
+cap "output_buffer_0/VDD" "X4/ctrll1" 1.23194
+cap "output_buffer_0/VDD" "X4/GND" 392.345
+cap "X3/X4/VDD" "X4/XM2/a_15_n100#" 2.25657
+cap "X3/X4/VDD" "X5/ctrll1" 43.1241
+cap "X5/ctrll1" "X4/XM1/a_n73_n100#" -6.23622
+cap "X3/X4/VDD" "X5/ctrll2" 6.30915
+cap "X5/ctrll2" "X4/XM1/a_n73_n100#" -1.33753
+cap "X3/X4/VDD" "X4/XM1/a_n73_n100#" 102.482
+cap "X4/GND" "X4/XC6/c2_n451_n200#" 41.3654
+cap "X4/GND" "X5/ctrll3" 7.56332
+cap "X4/GND" "X4/XM2/a_15_n100#" 14.1169
+cap "X4/GND" "X5/ctrll1" 197.407
+cap "X4/GND" "X5/ctrll2" 46.2099
+cap "X3/X4/VDD" "X4/GND" 566.486
+cap "X4/GND" "X4/XM1/a_n73_n100#" 641.206
+cap "X5/ctrll4" "X5/GND" 1.75526
+cap "X4/XM2/a_15_n100#" "X5/GND" 596.363
+cap "X5/ctrll1" "X4/XM2/a_15_n100#" 8.87353
+cap "X5/m1_4820_n1420#" "X5/GND" 1.15683
+cap "X5/ctrll3" "X5/GND" 35.2408
+cap "X4/XM2/a_15_n100#" "X5/ctrll2" 10.9847
+cap "X4/XM1/a_n73_n100#" "X5/GND" 36.113
+cap "X5/ctrll4" "X5/ctrll2" -0.216071
+cap "X5/ctrll1" "X4/XM1/a_n73_n100#" -0.0148229
+cap "X5/m1_4820_n1420#" "X5/ctrll2" 0.805195
+cap "X5/XM1/a_n73_n100#" "X5/GND" 14.5894
+cap "X5/ctrll3" "X5/ctrll2" -3.11321
+cap "X3/X4/VDD" "X5/GND" 8.60843
+cap "X4/XM1/a_n73_n100#" "X5/ctrll2" 1.09541
+cap "X5/ctrll1" "X3/X4/VDD" 4.05754
+cap "X5/XM1/a_n73_n100#" "X5/ctrll2" 15.3314
+cap "X5/m1_4820_n1420#" "X4/XM2/a_15_n100#" 3.6713
+cap "X5/ctrll1" "X5/GND" 354.275
+cap "X4/XM1/a_n73_n100#" "X4/XM2/a_15_n100#" -0.183756
+cap "X4/XM3/a_n33_n100#" "X5/GND" 148.127
+cap "X4/XM4/a_n81_n100#" "X5/GND" 51.1015
+cap "X5/ctrll2" "X5/GND" 136.754
+cap "X5/ctrll1" "X5/ctrll2" -2.61037
+cap "X3/X4/VDD" "X4/XM2/a_15_n100#" 1.85881
+cap "X5/XM1/a_n73_n100#" "X4/XM2/a_15_n100#" 72.9674
+cap "X5/ctrll3" "output_buffer_0/GND" 7.45378
+cap "X5/XM2/a_15_n100#" "output_buffer_0/GND" 131.701
+cap "X5/GND" "X5/XM1/a_n73_n100#" 164.211
+cap "output_buffer_0/GND" "X5/ctrll2" 24.0269
+cap "X5/XM2/a_15_n100#" "X5/ctrll2" 4.86641
+cap "X5/XM2/a_15_n100#" "X10/XM1/a_n73_n100#" 0.955497
+cap "output_buffer_0/GND" "X5/XM1/a_n73_n100#" 180.211
+cap "X5/ctrll2" "X10/XM1/a_n73_n100#" 1.24611
+cap "X5/ctrll2" "X5/XM1/a_n73_n100#" 17.1724
+cap "X5/XM3/a_n33_n100#" "X5/GND" 2.46716
+cap "X5/ctrll1" "X4/XM2/a_15_n100#" 8.1143
+cap "X5/GND" "X5/XM4/a_n81_n100#" 0.198072
+cap "X5/GND" "X4/XM2/a_15_n100#" 15.4271
+cap "X5/XM3/a_n33_n100#" "output_buffer_0/GND" 13.1802
+cap "output_buffer_0/GND" "X5/XM4/a_n81_n100#" 7.57338
+cap "X5/XM2/a_15_n100#" "X4/XM2/a_15_n100#" 2.46015
+cap "X5/XM1/a_n73_n100#" "X4/XM2/a_15_n100#" 109.14
+cap "X5/ctrll1" "X5/ctrll4" -0.0743243
+cap "X5/GND" "X5/XC6/c2_n451_n200#" 0.106854
+cap "X5/GND" "X5/ctrll4" 0.0821172
+cap "X5/ctrll1" "X5/GND" 266.227
+cap "output_buffer_0/GND" "X5/XC6/c2_n451_n200#" 0.929363
+cap "output_buffer_0/GND" "X5/ctrll4" 0.25462
+cap "X5/ctrll1" "X5/XM2/a_15_n100#" -0.176848
+cap "X5/ctrll1" "output_buffer_0/GND" 65.4904
+cap "X5/ctrll2" "X5/ctrll4" -0.0785714
+cap "X5/ctrll1" "X5/ctrll2" 5.06395
+cap "X5/GND" "X5/ctrll3" 2.74475
+cap "X5/GND" "output_buffer_0/GND" 124.475
+cap "X5/GND" "X5/XM2/a_15_n100#" 143.687
+cap "X5/ctrll1" "X5/XM1/a_n73_n100#" -0.860712
+cap "X5/GND" "X10/XM1/a_n73_n100#" 1.16859
+cap "X5/GND" "X5/ctrll2" 58.2705
+cap "X5/XM2/a_15_n100#" "output_buffer_0/GND" 90.4014
+cap "X5/GND" "X10/XM1/a_n73_n100#" 171.821
+cap "X10/XM1/a_n73_n100#" "X5/XM2/a_15_n100#" 181.152
+cap "X5/ctrll3" "X5/ctrll1" -0.123596
+cap "X10/XM1/a_n73_n100#" "output_buffer_0/GND" 133.35
+cap "X5/ctrll2" "X5/ctrll1" -0.95957
+cap "X5/GND" "X5/XM4/a_n81_n100#" 1.29696
+cap "X5/GND" "X5/ctrll3" 2.6452
+cap "X5/ctrll2" "X10/XM2/a_15_n100#" 0.805195
+cap "X5/GND" "X5/ctrll2" 36.1809
+cap "X5/ctrll3" "output_buffer_0/GND" 7.63102
+cap "output_buffer_0/GND" "X5/XM4/a_n81_n100#" 17.3273
+cap "X5/GND" "X5/XM3/a_n33_n100#" 8.33103
+cap "X5/ctrll2" "X5/XM2/a_15_n100#" 6.09142
+cap "X5/ctrll2" "output_buffer_0/GND" 14.7123
+cap "output_buffer_0/GND" "X5/XM3/a_n33_n100#" 43.4296
+cap "X10/XM1/a_n73_n100#" "X5/ctrll2" 30.1623
+cap "X5/GND" "X5/ctrll1" 123.483
+cap "X5/GND" "X5/ctrll4" 0.0509079
+cap "X5/GND" "X10/XM2/a_15_n100#" 1.15683
+cap "X5/XM2/a_15_n100#" "X5/ctrll1" 18.7561
+cap "X5/GND" "X10/XC6/c2_n451_n200#" 0.106854
+cap "output_buffer_0/GND" "X5/ctrll1" 45.98
+cap "output_buffer_0/GND" "X5/ctrll4" 0.124731
+cap "X5/ctrll2" "X5/ctrll3" -2.49057
+cap "X5/XM2/a_15_n100#" "X10/XM2/a_15_n100#" 3.6713
+cap "X10/XM1/a_n73_n100#" "X5/ctrll1" -1.02504
+cap "X5/GND" "X5/XM2/a_15_n100#" 164.587
+cap "X10/XC6/c2_n451_n200#" "output_buffer_0/GND" 0.929363
+cap "X5/GND" "output_buffer_0/GND" 60.881
+cap "X5/ctrll1" "X5/ctrll4" -0.0743243
+cap "X5/ctrll1" "X5/XM2/a_15_n100#" 2.93031
+cap "X5/ctrll3" "GND" 9.71
+cap "X11/XM1/a_n73_n100#" "GND" 1.1589
+cap "X5/ctrll4" "X5/ctrll2" -0.196429
+cap "X5/ctrll1" "X5/ctrll2" -2.72698
+cap "X5/ctrll4" "X5/GND" 0.133183
+cap "X5/XM2/a_15_n100#" "X5/GND" 0.905741
+cap "X5/ctrll1" "X5/GND" 224.226
+cap "X5/ctrll2" "X5/GND" 75.8012
+cap "X10/XM1/a_n73_n100#" "GND" 12.6598
+cap "X10/XM2/a_15_n100#" "X5/ctrll1" 13.3893
+cap "X10/XM2/a_15_n100#" "X5/XM2/a_15_n100#" 2.46015
+cap "X10/XM2/a_15_n100#" "X5/ctrll2" 13.0705
+cap "X10/XM2/a_15_n100#" "X5/GND" 308.54
+cap "X5/ctrll1" "GND" 41.8807
+cap "X5/ctrll4" "GND" 0.37935
+cap "X10/XM3/a_n33_n100#" "X5/GND" 19.3909
+cap "X5/GND" "X10/XM4/a_n81_n100#" 2.95
+cap "X5/ctrll2" "GND" 21.6129
+cap "X5/GND" "GND" 132.72
+cap "X5/ctrll2" "X11/m1_4820_n1420#" 0.805195
+cap "X5/GND" "X11/m1_4820_n1420#" 1.15683
+cap "X5/ctrll3" "X5/ctrll2" -2.32453
+cap "X5/ctrll2" "X11/XM1/a_n73_n100#" 15.3314
+cap "X10/XM2/a_15_n100#" "GND" 221.996
+cap "X5/GND" "X11/XM1/a_n73_n100#" 13.4305
+cap "X10/XM2/a_15_n100#" "X11/m1_4820_n1420#" 3.6713
+cap "X5/ctrll3" "X5/GND" 5.86333
+cap "X10/XM3/a_n33_n100#" "GND" 56.6099
+cap "X5/ctrll1" "X10/XM1/a_n73_n100#" 0.164325
+cap "X10/XM4/a_n81_n100#" "GND" 44.6347
+cap "X5/ctrll2" "X10/XM1/a_n73_n100#" 1.09541
+cap "X5/GND" "X10/XM1/a_n73_n100#" 4.53957
+cap "X10/XM2/a_15_n100#" "X11/XM1/a_n73_n100#" 72.9674
+cap "X11/GND" "X11/XM2/a_15_n100#" 137.493
+cap "GND" "X11/ctrll4" 0.25462
+cap "X11/ctrll3" "GND" 7.33639
+cap "X11/XM2/a_15_n100#" "X10/XM2/a_15_n100#" 2.46015
+cap "X11/XM2/a_15_n100#" "X11/ctrll1" -0.183658
+cap "GND" "X11/XM3/a_n33_n100#" 13.1802
+cap "X11/XC6/c2_n451_n200#" "GND" 0.929363
+cap "X11/GND" "GND" 112.13
+cap "X11/ctrll2" "X11/XM1/a_n73_n100#" 17.1724
+cap "GND" "X11/ctrll1" 58.9885
+cap "X11/GND" "X11/ctrll3" 1.69932
+cap "X11/XM4/a_n81_n100#" "GND" 7.57338
+cap "X11/GND" "X11/XC6/c2_n451_n200#" 0.106854
+cap "X11/GND" "X10/XM2/a_15_n100#" 15.4271
+cap "X11/GND" "X11/ctrll1" 260.591
+cap "GND" "X11/XM1/a_n73_n100#" 180.156
+cap "X10/XM2/a_15_n100#" "X11/ctrll1" 8.1143
+cap "X11/GND" "X11/XM1/a_n73_n100#" 164.211
+cap "X11/ctrll2" "X11/XM2/a_15_n100#" 5.3769
+cap "X10/XM2/a_15_n100#" "X11/XM1/a_n73_n100#" 109.14
+cap "X11/ctrll1" "X11/XM1/a_n73_n100#" -0.860712
+cap "X11/ctrll2" "GND" 22.5688
+cap "X11/ctrll2" "X11/ctrll3" -0.788679
+cap "X11/XM2/a_15_n100#" "GND" 131.746
+cap "X11/ctrll2" "X11/GND" 56.9083
+cap "X11/ctrll2" "X11/ctrll1" 3.30465
+cap "GND" "X11/ctrll1" 16.51
+cap "X11/XM2/a_15_n100#" "X11/ctrll1" 22.0578
+cap "X11/XM2/a_15_n100#" "X11/ctrll2" 14.0239
+cap "X11/ctrll1" "X11/GND" -0.500371
+cap "GND" "X11/ctrll2" 9.9608
+cap "X11/GND" "X11/ctrll2" 15.0935
+cap "GND" "X11/ctrll3" 4.72878
+cap "GND" "X11/XM4/a_n81_n100#" 17.286
+cap "GND" "X11/XM3/a_n33_n100#" 43.0731
+cap "GND" "X11/ctrll4" 0.123707
+cap "X11/ctrll1" "X11/ctrll2" -60.6545
+cap "X11/XM2/a_15_n100#" "GND" 99.2885
+cap "GND" "X11/GND" 45.4116
+cap "X11/XM2/a_15_n100#" "X11/GND" -0.0313216
+cap "X11/ctrll3" "X11/ctrll1" -0.494382
+cap "X11/ctrll3" "X11/ctrll2" -1.03774
+cap "X11/ctrll1" "X11/GND" 1.24725
+cap "X11/ctrll1" "X11/XM5/a_n419_n274#" 2.78927
+cap "X11/XM2/a_15_n100#" "X11/ctrll1" 9.50703
+cap "X11/ctrll2" "X11/ctrll1" 2.93834
+cap "output_buffer_0/GND" "output_buffer_0/VDD" 0.729463
+cap "output_buffer_0/XM2/a_n1091_n274#" "output_buffer_0/GND" 12.3247
+cap "output_buffer_0/VDD" "output_buffer_0/XM2/a_n945_n188#" 0.200113
+cap "output_buffer_0/XM2/a_n989_n100#" "output_buffer_0/VDD" 0.655445
+cap "output_buffer_0/XM1/a_n945_n188#" "output_buffer_0/VDD" 0.00779345
+cap "output_buffer_0/VDD" "output_buffer_0/GND" 19.4163
+cap "output_buffer_0/XM2/a_n1091_n274#" "output_buffer_0/VDD" 8.74228
+cap "output_buffer_0/BIAS" "output_buffer_0/XM2/a_n989_n100#" 0.661685
+cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 16.0616
+cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/VDD" 0.0128928
+cap "output_buffer_0/BIAS" "output_buffer_0/GND" 5.90944
+cap "output_buffer_0/VDD" "output_buffer_0/XM2/a_n989_n100#" 0.555938
+cap "output_buffer_0/BIAS" "output_buffer_0/XM2/a_n1091_n274#" 22.4453
+cap "output_buffer_0/VDD" "output_buffer_0/GND" 0.334722
+cap "output_buffer_0/VDD" "output_buffer_0/XM2/a_n1091_n274#" 23.832
+cap "output_buffer_0/BIAS" "output_buffer_0/INA" -1.29861
+cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/XR2/a_n415_n1322#" 0.909453
+cap "output_buffer_0/INB" "output_buffer_0/XR2/a_n415_n1322#" 16.8461
+cap "output_buffer_0/BIAS" "output_buffer_0/XR2/a_n415_n1322#" 13.833
+cap "output_buffer_0/BIAS" "output_buffer_0/XM2/a_n705_n100#" 2.0684
+cap "output_buffer_0/INB" "output_buffer_0/OUTB" 34.5385
+cap "output_buffer_0/XM2/a_n609_n100#" "output_buffer_0/BIAS" 0.32589
+cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/VDD" 0.00396826
+cap "output_buffer_0/INB" "output_buffer_0/VDD" 0.00967531
+cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 21.5373
+cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/INB" 1.31352
+cap "output_buffer_0/INB" "output_buffer_0/BIAS" 1.77636e-15
+cap "output_buffer_0/VDD" "output_buffer_0/XR2/a_n415_n1322#" 2.2211
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/OUTB" -6.19748
+cap "output_buffer_0/OUTB" "output_buffer_0/INA" 153.122
+cap "X3/X1/XR1/a_n703_n3602#" "VDD" 3.97427
+cap "output_buffer_0/INB" "output_buffer_0/OUTB" 11.9793
+cap "output_buffer_0/BIAS" "output_buffer_0/INA" -1.29861
+cap "output_buffer_0/OUTB" "X3/X1/VDD" 41.3024
+cap "VDD" "output_buffer_0/OUTB" 15.2317
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/INA" 35.604
+cap "X3/X1/XR1/a_n703_n3602#" "output_buffer_0/INB" 4.0588
+cap "X3/X1/XR1/a_n703_n3602#" "X3/X1/VDD" 13.6849
+cap "output_buffer_0/XR3/a_n703_n2202#" "X3/X1/VDD" 79.8632
+cap "output_buffer_0/BIAS" "output_buffer_0/VDD" 0.00497776
+cap "output_buffer_0/BIAS" "output_buffer_0/XR3/a_n703_n2202#" 5.83426
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/INA" 5.6844
+cap "output_buffer_0/XR3/a_n703_n2202#" "VDD" 5.42818
+cap "output_buffer_0/VDD" "output_buffer_0/XR3/a_n703_n2202#" 86.3759
+cap "output_buffer_0/VDD" "output_buffer_0/BIAS" 0.0117873
+cap "output_buffer_0/VDD" "X3/X1/XR2/a_n703_n3602#" 42.544
+cap "output_buffer_0/XM4/a_n1665_n100#" "X4/GND" 0.483224
+cap "output_buffer_0/XM2/a_n945_n188#" "output_buffer_0/VDD" 1.41629
+cap "output_buffer_0/GND" "output_buffer_0/VDD" 1.26734
+cap "output_buffer_0/XM2/a_n1091_n274#" "output_buffer_0/VDD" 2.23956
+cap "output_buffer_0/XM2/a_n989_n100#" "output_buffer_0/VDD" 0.168371
+cap "output_buffer_0/XM1/a_n945_n188#" "output_buffer_0/VDD" 0.00354985
+cap "output_buffer_0/GND" "output_buffer_0/VDD" 2.78484
+cap "output_buffer_0/BIAS" "output_buffer_0/XM2/a_n1091_n274#" 40.6826
+cap "output_buffer_0/BIAS" "output_buffer_0/VDD" 87.8326
+cap "output_buffer_0/XM2/a_n989_n100#" "output_buffer_0/VDD" 0.296701
+cap "output_buffer_0/BIAS" "output_buffer_0/GND" 32.7229
+cap "output_buffer_0/XM2/a_n1091_n274#" "output_buffer_0/VDD" 4.90943
+cap "output_buffer_0/XM2/a_n989_n100#" "output_buffer_0/BIAS" 0.0217191
+cap "output_buffer_0/XR2/a_n415_n1322#" "output_buffer_0/INB" 1.92095
+cap "output_buffer_0/BIAS" "output_buffer_0/XR2/a_n415_n1322#" 1.69777
+cap "output_buffer_0/XM2/a_n705_n100#" "output_buffer_0/BIAS" 0.830298
+cap "output_buffer_0/XR2/a_n285_760#" "output_buffer_0/INB" 5.15407
+cap "output_buffer_0/BIAS" "output_buffer_0/XM2/a_n609_n100#" 0.057817
+cap "output_buffer_0/INA" "output_buffer_0/XR2/a_n285_760#" 4.67917
+cap "output_buffer_0/INA" "output_buffer_0/XR2/a_n415_n1322#" 1.80678
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/BIAS" 0.149489
+cap "output_buffer_0/XM4/a_n993_n100#" "output_buffer_0/VDD" 0.000181285
+cap "output_buffer_0/VDD" "output_buffer_0/XM4/a_n1185_n100#" 0.000180334
+cap "output_buffer_0/XR3/a_n703_n2202#" "output_buffer_0/VDD" 1.6184
+cap "output_buffer_0/VDD" "output_buffer_0/XM4/a_n2817_n100#" 0.638083
+cap "output_buffer_0/BIAS" "output_buffer_0/VDD" 0.137746
+cap "output_buffer_0/VDD" "output_buffer_0/XM4/a_n1233_122#" 0.00141761
+cap "output_buffer_0/VDD" "output_buffer_0/XM4/a_n1425_122#" 0.00141761
+cap "output_buffer_0/VDD" "output_buffer_0/XM4/a_n1377_n100#" 0.000178273
+cap "output_buffer_0/XM4/a_n1041_122#" "output_buffer_0/VDD" 0.00143895
+cap "GND" "output_buffer_0/XM4/a_n1665_n100#" 3.80604
+merge "X11/GND" "X10/GND" -35314 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -90722 -6480 0 0 -1046287 -17930 -6689317 -30356 -3057280 -10194 -5880000 -16800 0 0
 merge "X10/GND" "X5/GND"
 merge "X5/GND" "output_buffer_0/GND"
 merge "output_buffer_0/GND" "X4/GND"
@@ -2802,7 +5118,7 @@
 merge "X8/GND" "X7/GND"
 merge "X7/GND" "X6/GND"
 merge "X6/GND" "GND"
-merge "X1/X4/OUTA" "X11/IN" -2090.65 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4734800 -200 -2656635 -2112 0 0 7524800 -2090 0 0
+merge "X1/X4/OUTA" "X11/IN" -2211.19 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -200 -275220 -2112 0 0 -272700 -2090 0 0
 merge "X11/IN" "X3/I4A"
 merge "X3/I4A" "m3_61085_29785#"
 merge "m3_61085_29785#" "X1/X1/INB"
@@ -2820,22 +5136,22 @@
 merge "X7/VSUBS" "X6/VSUBS"
 merge "X6/VSUBS" "X3/VSUBS"
 merge "X3/VSUBS" "VSUBS"
-merge "X3/I2B" "X3/X2/INB" 6777.91 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3330400 -220 2057200 -2306 -2928420 0 4300620 -1460 0 0
+merge "X3/I2B" "X3/X2/INB" -3144.59 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1000 -220 -180935 -2306 0 0 -130725 -1460 0 0
 merge "X3/X2/INB" "X1/S2B"
 merge "X1/S2B" "m3_56407_27820#"
 merge "m3_56407_27820#" "X1/X2/OUTB"
 merge "X1/X2/OUTB" "X6/IN"
 merge "X6/IN" "m3_55465_27385#"
-merge "X3/X2/INA" "X3/I2A" 4258.23 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2107890 -210 10047690 -1820 0 0 -1083200 -1700 0 0
+merge "X3/X2/INA" "X3/I2A" -3064.36 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -500 -210 -202125 -1820 0 0 -178125 -1700 0 0
 merge "X3/I2A" "X1/S2A"
 merge "X1/S2A" "X1/X2/OUTA"
 merge "X1/X2/OUTA" "X7/IN"
 merge "X7/IN" "m3_57710_27380#"
-merge "X3/I3B" "X1/S3B" 14199.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1904000 -200 20902350 -1610 0 0 -4757275 -1300 0 0
+merge "X3/I3B" "X1/S3B" -3280.67 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -200 -135600 -1610 0 0 -105525 -1300 0 0
 merge "X1/S3B" "X8/IN"
 merge "X8/IN" "X1/X3/OUTB"
 merge "X1/X3/OUTB" "m3_59620_27555#"
-merge "X10/ctrll3" "X11/ctrll3" -8523.15 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -11988605 -19750 0 0 0 0 0 0 0 0 0 0
+merge "X10/ctrll3" "X11/ctrll3" -1764.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -542100 -19750 0 0 0 0 0 0 0 0 0 0
 merge "X11/ctrll3" "m1_61595_35305#"
 merge "m1_61595_35305#" "X4/ctrll3"
 merge "X4/ctrll3" "m1_59465_35305#"
@@ -2849,7 +5165,7 @@
 merge "X6/ctrll3" "m1_59460_22265#"
 merge "m1_59460_22265#" "X7/ctrll3"
 merge "X7/ctrll3" "m1_57370_22265#"
-merge "output_buffer_0/BIAS" "X1/X1/BIAS" -5429.03 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6897817 -7632 0 0 0 0 0 0 0 0 0 0
+merge "output_buffer_0/BIAS" "X1/X1/BIAS" -3068.06 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -198954 -7632 0 0 0 0 0 0 0 0 0 0
 merge "X1/X1/BIAS" "X1/X1/XM4/a_n945_n188#"
 merge "X1/X1/XM4/a_n945_n188#" "bias_calc_0/BIASOUT"
 merge "bias_calc_0/BIASOUT" "m1_46210_32690#"
@@ -2859,12 +5175,12 @@
 merge "X3/X4/BIAS" "m1_55108_31074#"
 merge "m1_55108_31074#" "X3/X1/BIAS"
 merge "X3/X1/BIAS" "m1_50680_31080#"
-merge "X1/X1/OUTA" "X3/I1A" -5.00242 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5401300 -200 2375450 -2600 0 0 -2982800 -1710 0 0
+merge "X1/X1/OUTA" "X3/I1A" -3169.26 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -200 -236550 -2600 0 0 -178200 -1710 0 0
 merge "X3/I1A" "X5/IN"
 merge "X5/IN" "m3_57735_29855#"
 merge "m3_57735_29855#" "m3_57480_29855#"
 merge "m3_57480_29855#" "X1/S1A"
-merge "X10/ctrll4" "X11/ctrll4" -12227.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -17303460 -19780 0 0 0 0 0 0 0 0 0 0
+merge "X10/ctrll4" "X11/ctrll4" -1837.46 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -543000 -19780 0 0 0 0 0 0 0 0 0 0
 merge "X11/ctrll4" "m1_61570_34715#"
 merge "m1_61570_34715#" "X4/ctrll4"
 merge "X4/ctrll4" "m1_59460_34715#"
@@ -2878,17 +5194,17 @@
 merge "X6/ctrll4" "m1_59460_22855#"
 merge "m1_59460_22855#" "X7/ctrll4"
 merge "X7/ctrll4" "m1_57350_22855#"
-merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_93_n161#" "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n35_n161#" -15483.6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -13599019 -1910 -14642085 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_93_n161#" "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n35_n161#" -708.35 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -31664 -1910 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n35_n161#" "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n163_n161#"
 merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n163_n161#" "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_35_n64#"
 merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_35_n64#" "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n221_n64#"
 merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/a_n221_n64#" "bias_calc_0/BIAS2V"
 merge "bias_calc_0/BIAS2V" "m1_33455_32800#"
-merge "X1/X1/OUTB" "X3/I1B" 6893.94 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7330400 -200 6349800 -1610 -3110580 0 1341400 -1910 0 0
+merge "X1/X1/OUTB" "X3/I1B" -3088.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -200 -158700 -1610 0 0 -133300 -1910 0 0
 merge "X3/I1B" "X1/S1B"
 merge "X1/S1B" "X4/IN"
 merge "X4/IN" "m3_55465_29875#"
-merge "X10/ctrll5" "X11/ctrll5" -11859.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -16486705 -14190 0 0 0 0 0 0 0 0 0 0
+merge "X10/ctrll5" "X11/ctrll5" -2326.37 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -375300 -14190 0 0 0 0 0 0 0 0 0 0
 merge "X11/ctrll5" "m1_61555_33805#"
 merge "m1_61555_33805#" "X4/ctrll5"
 merge "X4/ctrll5" "m1_59460_33805#"
@@ -2902,12 +5218,12 @@
 merge "X6/ctrll5" "m1_59445_23765#"
 merge "m1_59445_23765#" "X7/ctrll5"
 merge "X7/ctrll5" "m1_57370_23765#"
-merge "output_buffer_0/INB" "X3/OUT180" -7159.61 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5882780 -100 -4504700 0 -4296700 -860 0 0 0 0 0 0
+merge "output_buffer_0/INB" "X3/OUT180" -313.481 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -100 0 0 -39000 -860 0 0 0 0 0 0
 merge "X3/OUT180" "m1_47700_36500#"
-merge "X3/X6/VOP" "X3/VOP" -5121.02 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1081350 -150 3531600 -18600 0 0 0 0 0 0 0 0
+merge "X3/X6/VOP" "X3/VOP" -5404.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -900 -150 -3814100 -18600 0 0 0 0 0 0 0 0
 merge "X3/VOP" "bias_calc_0/VOP"
 merge "bias_calc_0/VOP" "m1_35620_27495#"
-merge "X10/ctrll1" "X11/ctrll1" -2067.25 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1278580 -25210 0 0 0 0 0 0 0 0 0 0
+merge "X10/ctrll1" "X11/ctrll1" -3915.77 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -705900 -25210 0 0 0 0 0 0 0 0 0 0
 merge "X11/ctrll1" "m1_61580_36205#"
 merge "m1_61580_36205#" "X4/ctrll1"
 merge "X4/ctrll1" "m1_59485_36205#"
@@ -2921,7 +5237,7 @@
 merge "X6/ctrll1" "m1_59480_21365#"
 merge "m1_59480_21365#" "X7/ctrll1"
 merge "X7/ctrll1" "m1_57360_21365#"
-merge "output_buffer_0/VDD" "X3/X4/VDD" -24784 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19733237 -868 1579073 -984 -38028689 -33218 -11939035 -4090 -17707620 -3030 29828810 -39601 0 0
+merge "output_buffer_0/VDD" "X3/X4/VDD" -26993.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -13301 -868 -17775 -984 -6533445 -33218 -397550 -4090 -220125 -3030 -12819730 -39601 0 0
 merge "X3/X4/VDD" "m2_55046_36460#"
 merge "m2_55046_36460#" "X3/X1/VDD"
 merge "X3/X1/VDD" "X3/m2_18710_20900#"
@@ -2935,7 +5251,7 @@
 merge "sky130_fd_pr__pfet_01v8_lvt_75KH85_0/w_n359_n284#" "X1/X2/VDD"
 merge "X1/X2/VDD" "X3/X2/VDD"
 merge "X3/X2/VDD" "VDD"
-merge "X10/ctrll2" "X11/ctrll2" -18130.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -26192400 -19590 0 0 0 0 0 0 0 0 0 0
+merge "X10/ctrll2" "X11/ctrll2" -1641.66 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -537300 -19590 0 0 0 0 0 0 0 0 0 0
 merge "X11/ctrll2" "m1_61585_35845#"
 merge "m1_61585_35845#" "X4/ctrll2"
 merge "X4/ctrll2" "m1_59490_35845#"
@@ -2949,17 +5265,17 @@
 merge "X6/ctrll2" "m1_59475_21725#"
 merge "m1_59475_21725#" "X7/ctrll2"
 merge "X7/ctrll2" "m1_57370_21725#"
-merge "X1/X2/BIAS" "X3/X2/BIAS" 1027.79 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 985628 -760 0 0 0 0 0 0 0 0 0 0
+merge "X1/X2/BIAS" "X3/X2/BIAS" -1042.8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -12320 -760 0 0 0 0 0 0 0 0 0 0
 merge "X3/X2/BIAS" "m1_55094_26496#"
-merge "X3/I3A" "X1/S3A" -698.663 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2268000 -200 -3775780 -2092 0 0 9673340 -2010 0 0
+merge "X3/I3A" "X1/S3A" -2119.32 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -200 -270865 -2092 0 0 -248600 -2010 0 0
 merge "X1/S3A" "X9/IN"
 merge "X9/IN" "X1/X3/OUTA"
 merge "X1/X3/OUTA" "m3_61090_27360#"
-merge "output_buffer_0/INA" "X3/OUT0" -688.563 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -897000 -810 0 0 592540 -860 0 0 0 0 0 0
+merge "output_buffer_0/INA" "X3/OUT0" -746.401 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -17750 -810 0 0 -39000 -860 0 0 0 0 0 0
 merge "X3/OUT0" "m1_48170_36500#"
-merge "X1/X4/OUTB" "X10/IN" 8928.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7330400 -200 6353230 -2100 0 0 -10078695 -1200 0 0
+merge "X1/X4/OUTB" "X10/IN" -3340.13 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -200 -101835 -2100 0 0 -82850 -1200 0 0
 merge "X10/IN" "X3/I4B"
 merge "X3/I4B" "m3_59300_29490#"
 merge "m3_59300_29490#" "X1/S4B"
-merge "X3/AMP" "bias_calc_0/AMP" -2466.7 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2636800 -230 0 0 0 0 -2765220 -828 0 0 0 0
+merge "X3/AMP" "bias_calc_0/AMP" -949.752 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3300 -230 0 0 0 0 -8105 -828 0 0 0 0
 merge "bias_calc_0/AMP" "m1_35580_30580#"
diff --git a/mag/XM_bjt.mag b/mag/XM_bjt.mag
index 97d9828..ac20680 100644
--- a/mag/XM_bjt.mag
+++ b/mag/XM_bjt.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1666387681
+timestamp 1669926749
 << locali >>
 rect 20 4410 3200 4440
 rect 20 3960 3200 3990
@@ -56,7 +56,7 @@
 rect 1550 2195 1700 2200
 use sky130_fd_pr__rf_pnp_05v5_W3p40L3p40  sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0 $PDKPATH/libs.ref/sky130_fd_pr/mag
 array 0 4 644 0 6 644
-timestamp 1666199351
+timestamp 1663859327
 transform 1 0 0 0 1 0
 box 0 0 670 670
 << end >>
diff --git a/mag/XM_bjt_out.mag b/mag/XM_bjt_out.mag
index 532d0ca..acf566d 100644
--- a/mag/XM_bjt_out.mag
+++ b/mag/XM_bjt_out.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1666387681
+timestamp 1669926749
 << locali >>
 rect 300 1600 3000 1650
 rect 300 950 1410 1000
@@ -16,7 +16,7 @@
 rect 1550 895 1700 900
 use sky130_fd_pr__rf_pnp_05v5_W3p40L3p40  sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0 $PDKPATH/libs.ref/sky130_fd_pr/mag
 array 0 4 644 0 2 644
-timestamp 1666199351
+timestamp 1663859327
 transform 1 0 0 0 1 0
 box 0 0 670 670
 << end >>
diff --git a/mag/amp_dec.ext b/mag/amp_dec.ext
index 5705bdc..c558df8 100644
--- a/mag/amp_dec.ext
+++ b/mag/amp_dec.ext
@@ -10,120 +10,157 @@
 use sky130_fd_pr__nfet_01v8_lvt_HNLS5R XM26 1 0 9299 0 -1 9820
 use sky130_fd_pr__nfet_01v8_lvt_HNLS5R XM25 1 0 8499 0 -1 9820
 use sky130_fd_pr__cap_mim_m3_1_4RCNTW XC1 1 0 8050 0 1 3700
-node "AMP" 0 528.823 6040 590 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 421200 2940 0 0 0 0
-node "GND" 0 1723.61 5100 700 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 367200 2440 499200 2840 507600 2960 0 0 0 0 0 0
-node "VDD" 8 2074.96 6760 9720 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 104400 4480 1452900 10600 0 0 0 0 0 0 0 0
-node "IN4" 3 371.489 8970 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
-node "IN3" 3 365.046 8170 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
-node "IN2" 3 392.57 7370 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
-node "IN1" 3 426.806 6570 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
-node "m1_5160_9600#" 8 4252.16 5160 9600 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 409860 8760 1167200 9760 160000 1600 1448000 8040 0 0 0 0
+node "AMP" 0 462.556 6040 590 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 421200 2940 0 0 0 0
+node "GND" 0 1951.12 5100 700 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 367200 2440 499200 2840 507600 2960 0 0 0 0 0 0
+node "VDD" 8 1857.67 6760 9720 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 104400 4480 1452900 10600 0 0 0 0 0 0 0 0
+node "IN4" 3 363.85 8970 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
+node "IN3" 3 335.496 8170 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
+node "IN2" 3 334.507 7370 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
+node "IN1" 3 369.001 6570 9640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76700 2680 0 0 0 0 0 0 0 0 0 0
+node "m1_5160_9600#" 8 3943.21 5160 9600 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 409860 8760 1167200 9760 160000 1600 1448000 8040 0 0 0 0
 node "li_8790_9540#" 70 85.7645 8790 9540 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_7990_9540#" 70 82.0136 7990 9540 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7190_9540#" 70 86.6137 7190 9540 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_5840_9550#" 240 265.619 5840 9550 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30000 1580 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7190_9540#" 70 83.0516 7190 9540 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5840_9550#" 240 261.895 5840 9550 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30000 1580 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_8790_10060#" 70 85.7645 8790 10060 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_7990_10060#" 70 82.0136 7990 10060 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7190_10060#" 70 85.7757 7190 10060 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
-node "SUB" 237 261.156 5840 10060 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 29600 1560 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7190_10060#" 70 82.2136 7190 10060 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8800 520 0 0 0 0 0 0 0 0 0 0 0 0
+node "SUB" 237 257.746 5840 10060 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 29600 1560 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "VDD" "li_7190_9540#" 50.1813
-cap "AMP" "GND" 305.532
-cap "IN3" "VDD" 704.391
-cap "m1_5160_9600#" "VDD" 8671.78
-cap "li_5840_9550#" "VDD" 23.3147
-cap "IN2" "VDD" 703.247
-cap "li_8790_10060#" "li_7190_10060#" 0.811594
-cap "VDD" "li_8790_9540#" 49.7277
-cap "li_7990_10060#" "li_8790_10060#" 1.93103
-cap "li_8790_10060#" "li_8790_9540#" 12.8333
-cap "VDD" "IN4" 690.91
-cap "li_7190_10060#" "li_7190_9540#" 12.8333
-cap "m1_5160_9600#" "IN3" 1018.3
-cap "li_5840_9550#" "li_7190_9540#" 1.4
-cap "li_7190_10060#" "SUB" 1.83607
-cap "li_8790_10060#" "IN4" 29.4168
-cap "IN3" "IN2" 31.2472
-cap "IN3" "li_7990_10060#" 26.2189
-cap "li_5840_9550#" "SUB" 44.0851
-cap "li_7190_9540#" "li_8790_9540#" 0.811594
-cap "li_5840_9550#" "m1_5160_9600#" 50.0122
-cap "li_7990_10060#" "SUB" 0.794326
-cap "IN1" "VDD" 682.627
-cap "m1_5160_9600#" "IN2" 1013.91
-cap "li_7990_10060#" "li_7190_10060#" 1.93103
-cap "IN2" "li_7190_10060#" 29.2558
-cap "VDD" "li_7990_9540#" 47.7241
-cap "IN3" "IN4" 31.2472
-cap "m1_5160_9600#" "IN4" 1000.55
-cap "IN2" "IN4" 12.7179
-cap "IN3" "IN1" 12.7179
-cap "li_7990_9540#" "li_7190_9540#" 1.93103
-cap "SUB" "IN1" 22.3694
-cap "m1_5160_9600#" "IN1" 986.456
-cap "li_5840_9550#" "li_7990_9540#" 0.6
-cap "IN2" "IN1" 31.2472
-cap "li_7990_10060#" "li_7990_9540#" 12.8333
-cap "li_7990_9540#" "li_8790_9540#" 1.93103
-cap "XR18/a_n415_n4762#" "XR18/a_n285_n4632#" 336.142
-cap "XC1/c1_n2050_n3000#" "XR18/a_n285_n4632#" -222.739
-cap "XR18/a_n285_n4632#" "XC1/c1_n2050_n3000#" -1031.76
-cap "XC1/m3_n2150_n3100#" "XC1/c1_n2050_n3000#" 89.8449
-cap "XC1/c1_n2050_n3000#" "XC1/m3_n2150_n3100#" -178.42
-cap "XM28/a_n323_n274#" "XM28/a_n129_n100#" 169.007
-cap "XM28/a_n323_n274#" "XM28/a_n177_n188#" 1.92636
-cap "XR18/a_n285_4200#" "XM28/a_n323_n274#" 92.3277
-cap "XR18/a_n285_4200#" "XM28/a_n129_n100#" 5.11794
-cap "XR18/a_n285_4200#" "XM28/a_n177_n188#" 1.70039
-cap "XR18/a_n285_4200#" "XM25/a_n177_n188#" -3.55271e-15
-cap "XM25/a_n177_n188#" "XM27/a_n177_n188#" 13.1119
-cap "XR18/a_n285_4200#" "XM27/a_n177_n188#" -13.0074
-cap "XM28/a_n323_n274#" "XM25/a_n177_n188#" 3.36406
-cap "XR18/a_n285_4200#" "XM28/a_n323_n274#" 205.678
-cap "XM28/a_n177_n188#" "XM28/a_n129_n100#" 4.57582
-cap "XM28/a_n323_n274#" "XM27/a_n177_n188#" 163.81
-cap "XM26/a_n177_n188#" "XM28/a_n129_n100#" -1.86077
-cap "XM28/a_n177_n188#" "XM25/a_n177_n188#" 7.12798
-cap "XR18/a_n285_4200#" "XM28/a_n177_n188#" -6.58875
-cap "XM25/a_n177_n188#" "XM28/a_n129_n100#" -5.88405
-cap "XR18/a_n285_4200#" "XM28/a_n129_n100#" -1019.83
-cap "XM28/a_n177_n188#" "XM27/a_n177_n188#" 37.4828
-cap "XM28/a_n177_n188#" "XM28/a_n323_n274#" 207.648
-cap "XM28/a_n129_n100#" "XM27/a_n177_n188#" -77.4189
-cap "XM28/a_n323_n274#" "XM28/a_n129_n100#" 326.212
-cap "XM26/a_n177_n188#" "XM27/a_n177_n188#" 3.94117
-cap "XM28/a_n221_n100#" "XM28/a_n129_n100#" -888.871
-cap "XM27/a_n177_n188#" "XM28/a_n177_n188#" 3.54635
-cap "XM28/a_n323_n274#" "XM27/a_n177_n188#" 11.6773
-cap "XM25/a_n177_n188#" "XM28/a_n177_n188#" 7.12798
-cap "XM28/a_n323_n274#" "XM25/a_n177_n188#" 167.756
-cap "XM27/a_n177_n188#" "XM28/a_n129_n100#" -851.627
-cap "XM27/a_n177_n188#" "XM28/a_n221_n100#" 0.795193
-cap "XM28/a_n323_n274#" "XM26/a_n177_n188#" 123.552
-cap "XM25/a_n177_n188#" "XM28/a_n129_n100#" -9.86675
-cap "XM25/a_n177_n188#" "XM28/a_n221_n100#" -21.8254
-cap "XM26/a_n177_n188#" "XM28/a_n129_n100#" -80.7013
-cap "XM28/a_n323_n274#" "XM28/a_n177_n188#" 3.36406
-cap "XM26/a_n177_n188#" "XM28/a_n221_n100#" -32.5351
-cap "XM27/a_n177_n188#" "XM25/a_n177_n188#" 27.0931
-cap "XM28/a_n177_n188#" "XM28/a_n129_n100#" -1.87681
-cap "XM28/a_n323_n274#" "XM28/a_n129_n100#" -306.993
-cap "XM28/a_n221_n100#" "XM28/a_n177_n188#" -4.44089e-16
-cap "XM27/a_n177_n188#" "XM26/a_n177_n188#" 5.30131
-cap "XM28/a_n323_n274#" "XM28/a_n221_n100#" 395.724
-cap "XM26/a_n177_n188#" "XM25/a_n177_n188#" 27.0931
-cap "XM27/a_n177_n188#" "XM26/a_n177_n188#" 0.794557
-cap "XM26/a_n177_n188#" "XM27/a_63_n100#" 265.023
-cap "XM27/a_n33_n100#" "XM27/a_63_n100#" -52.7844
-cap "XM27/a_n323_n274#" "XM25/a_n177_n188#" 1.82198
-cap "XM27/a_n323_n274#" "XM27/a_63_n100#" 341.707
-cap "XM25/a_n177_n188#" "XM27/a_63_n100#" -2.26781
-cap "XM27/a_n33_n100#" "XM26/a_n177_n188#" 258.589
-cap "XM27/a_n323_n274#" "XM26/a_n177_n188#" 5.38843
-cap "XM27/a_n323_n274#" "XM27/a_n33_n100#" 84.6138
-cap "XM26/a_n177_n188#" "XM27/a_n81_122#" 3.24101
-cap "XM26/a_n177_n188#" "XM25/a_n177_n188#" 13.7956
-merge "XM26/a_159_n100#" "XM25/a_159_n100#" 20628.9 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 34739842 -7846 0 0 0 0 1217420 -5382 0 0 0 0
+cap "li_8790_10060#" "m1_5160_9600#" 4.0861
+cap "IN4" "VDD" 340.005
+cap "li_8790_9540#" "m1_5160_9600#" 2.85536
+cap "SUB" "li_7190_10060#" 1.59875
+cap "IN3" "li_7190_10060#" 1.28969
+cap "li_7990_10060#" "IN2" 1.55953
+cap "IN4" "li_7990_10060#" 1.28969
+cap "li_7990_9540#" "li_8790_9540#" 1.67763
+cap "VDD" "li_7190_10060#" 2.88976
+cap "IN1" "SUB" 9.54994
+cap "li_7190_9540#" "VDD" 15.345
+cap "li_5840_9550#" "li_7190_9540#" 1.21815
+cap "li_8790_10060#" "IN3" 1.55953
+cap "li_7990_9540#" "m1_5160_9600#" 2.86594
+cap "IN1" "VDD" 361.104
+cap "li_5840_9550#" "IN1" 0.93857
+cap "li_8790_10060#" "VDD" 2.88976
+cap "li_7990_10060#" "li_7190_10060#" 1.67763
+cap "m1_5160_9600#" "SUB" 37.2619
+cap "m1_5160_9600#" "IN3" 534.635
+cap "AMP" "GND" 340.075
+cap "li_8790_9540#" "VDD" 15.89
+cap "li_7990_10060#" "IN1" 0.284759
+cap "m1_5160_9600#" "VDD" 4027.43
+cap "li_7990_9540#" "IN3" 1.90031
+cap "li_8790_10060#" "li_7990_10060#" 1.67763
+cap "m1_5160_9600#" "li_5840_9550#" 49.5356
+cap "li_7190_10060#" "IN2" 7.51969
+cap "li_7190_9540#" "IN2" 1.90031
+cap "li_7990_9540#" "VDD" 15.8724
+cap "IN4" "li_7190_10060#" 0.00891055
+cap "IN3" "SUB" 0.000564875
+cap "IN1" "IN2" 22.6244
+cap "li_7990_10060#" "m1_5160_9600#" 4.0861
+cap "li_8790_10060#" "IN2" 0.284759
+cap "VDD" "SUB" 9.80458
+cap "IN3" "VDD" 342.88
+cap "li_5840_9550#" "SUB" 37.8916
+cap "li_8790_10060#" "IN4" 7.61386
+cap "li_7990_9540#" "li_7990_10060#" 11.0433
+cap "li_7190_9540#" "li_7190_10060#" 11.0433
+cap "m1_5160_9600#" "IN2" 556.534
+cap "IN4" "li_8790_9540#" 1.90031
+cap "li_5840_9550#" "VDD" 36.862
+cap "li_7990_10060#" "IN3" 7.51969
+cap "IN1" "li_7190_10060#" 1.56937
+cap "IN4" "m1_5160_9600#" 530.231
+cap "li_7990_10060#" "VDD" 2.88976
+cap "SUB" "IN2" 2.5112
+cap "IN3" "IN2" 22.6244
+cap "m1_5160_9600#" "li_7190_10060#" 4.0861
+cap "m1_5160_9600#" "li_7190_9540#" 4.15048
+cap "IN4" "IN3" 22.6244
+cap "li_8790_10060#" "li_8790_9540#" 11.0433
+cap "VDD" "IN2" 340.371
+cap "m1_5160_9600#" "IN1" 620.279
+cap "li_7990_9540#" "li_7190_9540#" 1.67763
+cap "XR18/a_n415_n4762#" "XR18/a_n285_n4632#" 301.673
+cap "XR18/a_n415_n4762#" "XC1/c1_n2050_n3000#" 42.8165
+cap "XR18/a_n285_n4632#" "XC1/c1_n2050_n3000#" 57.8912
+cap "XR18/a_n285_n4632#" "XC1/c1_n2050_n3000#" 56.3953
+cap "XR18/a_n415_n4762#" "XC1/c1_n2050_n3000#" 39.6169
+cap "XR18/a_n415_n4762#" "XR18/a_n285_n4632#" 156.009
+cap "XR18/a_n415_n4762#" "XC1/m3_n2150_n3100#" 156.009
+cap "XC1/c1_n2050_n3000#" "XR18/a_n415_n4762#" 39.6169
+cap "XR18/a_n415_n4762#" "XC1/m3_n2150_n3100#" 156.009
+cap "XR18/a_n415_n4762#" "XC1/c1_n2050_n3000#" 39.6169
+cap "XC1/m3_n2150_n3100#" "XR18/a_n415_n4762#" 67.9755
+cap "XC1/c1_n2050_n3000#" "XC1/m3_n2150_n3100#" 171.32
+cap "XC1/c1_n2050_n3000#" "XR18/a_n415_n4762#" 53.1332
+cap "XC1/m3_n2150_n3100#" "XC1/c1_n2050_n3000#" 67.3009
+cap "XR18/a_n285_4200#" "XM28/a_n323_n274#" 61.2417
+cap "XM28/a_n323_n274#" "XM28/a_n177_n188#" 9.47271
+cap "XM28/a_n323_n274#" "XR18/a_n285_4200#" 125.971
+cap "XM28/a_n323_n274#" "XM27/a_n177_n188#" 0.217772
+cap "XR18/a_n285_4200#" "XM28/a_n129_n100#" 39.528
+cap "XM28/a_n323_n274#" "XM28/a_n129_n100#" 120.341
+cap "XR18/a_n285_4200#" "XM28/a_n177_n188#" 5.22604
+cap "XM28/a_n323_n274#" "XM26/a_n177_n188#" 0.0115045
+cap "XM28/a_n129_n100#" "XM26/a_n177_n188#" 0.0411089
+cap "XM28/a_n323_n274#" "XM28/a_n177_n188#" 83.5575
+cap "XM27/a_n177_n188#" "XM28/a_n323_n274#" 83.4052
+cap "XM28/a_n129_n100#" "XM28/a_n177_n188#" -27.6374
+cap "XR18/a_n285_4200#" "XM28/a_n323_n274#" 176.424
+cap "XM28/a_n129_n100#" "XM27/a_n177_n188#" -25.5694
+cap "XM28/a_n129_n100#" "XR18/a_n285_4200#" -566.317
+cap "XM28/a_n129_n100#" "XM25/a_n177_n188#" 0.657325
+cap "XM25/a_n177_n188#" "XM28/a_n323_n274#" 4.56365
+cap "XM27/a_n177_n188#" "XM26/a_n177_n188#" 0.832529
+cap "XM27/a_n177_n188#" "XM28/a_n177_n188#" 22.8834
+cap "XR18/a_n285_4200#" "XM26/a_n177_n188#" 0.0952288
+cap "XR18/a_n285_4200#" "XM28/a_n177_n188#" 55.0806
+cap "XR18/a_n285_4200#" "XM27/a_n177_n188#" -13.0476
+cap "XM25/a_n177_n188#" "XM28/a_n177_n188#" 1.72537
+cap "XM25/a_n177_n188#" "XM27/a_n177_n188#" 6.27983
+cap "XR18/a_n285_4200#" "XM25/a_n177_n188#" 1.55569
+cap "XM28/a_n129_n100#" "XM28/a_n323_n274#" 104.44
+cap "XM27/a_n177_n188#" "XM26/a_n177_n188#" 2.52788
+cap "XM28/a_n129_n100#" "XM26/a_n177_n188#" 1.84315
+cap "XM28/a_n129_n100#" "XM27/a_n177_n188#" 1.3471
+cap "XM28/a_n221_n100#" "XM28/a_n323_n274#" 81.378
+cap "XM28/a_n323_n274#" "XM25/a_n177_n188#" 90.1535
+cap "XM28/a_n177_n188#" "XM28/a_n323_n274#" 3.23822
+cap "XM28/a_n221_n100#" "XM26/a_n177_n188#" 7.60326
+cap "XM26/a_n177_n188#" "XM25/a_n177_n188#" 19.0049
+cap "XM28/a_n221_n100#" "XM27/a_n177_n188#" 3.87395
+cap "XM28/a_n221_n100#" "XM28/a_n129_n100#" -487.214
+cap "XM27/a_n177_n188#" "XM25/a_n177_n188#" 17.0954
+cap "XM28/a_n129_n100#" "XM25/a_n177_n188#" -25.4329
+cap "XM27/a_n177_n188#" "XM28/a_n177_n188#" 0.491768
+cap "XM28/a_n129_n100#" "XM28/a_n177_n188#" 0.0782615
+cap "XM28/a_n221_n100#" "XM25/a_n177_n188#" -25.5787
+cap "XM26/a_n177_n188#" "XM28/a_n323_n274#" 85.7034
+cap "XM28/a_n221_n100#" "XM28/a_n177_n188#" 0.539922
+cap "XM27/a_n177_n188#" "XM28/a_n323_n274#" 9.80791
+cap "XM28/a_n177_n188#" "XM25/a_n177_n188#" 1.92113
+cap "XM28/a_n129_n100#" "XM28/a_n323_n274#" 107.121
+cap "XM27/a_n323_n274#" "XM25/a_n177_n188#" 2.42868
+cap "XM27/a_63_n100#" "XM27/a_n323_n274#" 33.3496
+cap "XM27/a_n33_n100#" "XM26/a_n177_n188#" -35.3892
+cap "XM27/a_n81_122#" "XM26/a_n177_n188#" 0.279934
+cap "XM27/a_n177_n188#" "XM26/a_n177_n188#" 0.00615795
+cap "XM26/a_n177_n188#" "XM25/a_n177_n188#" 4.37033
+cap "XM27/a_63_n100#" "XM26/a_n177_n188#" -29.8727
+cap "XM27/a_n33_n100#" "XM27/a_n81_122#" 0.0226805
+cap "XM27/a_n177_n188#" "XM27/a_n33_n100#" 0.00958414
+cap "XM27/a_n33_n100#" "XM25/a_n177_n188#" 0.840562
+cap "XM27/a_63_n100#" "XM27/a_n33_n100#" -237.358
+cap "XM27/a_n323_n274#" "XM26/a_n177_n188#" 3.69728
+cap "XM27/a_63_n100#" "XM27/a_n81_122#" 0.0093864
+cap "XM27/a_n177_n188#" "XM27/a_63_n100#" 1.18914e-06
+cap "XM27/a_63_n100#" "XM25/a_n177_n188#" 0.422889
+cap "XM27/a_n323_n274#" "XM27/a_n33_n100#" 23.1825
+merge "XM26/a_159_n100#" "XM25/a_159_n100#" -2910.61 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -340038 -7846 0 0 0 0 -831090 -5382 0 0 0 0
 merge "XM25/a_159_n100#" "XM25/a_n33_n100#"
 merge "XM25/a_n33_n100#" "XM25/a_n221_n100#"
 merge "XM25/a_n221_n100#" "XM26/a_n33_n100#"
@@ -138,7 +175,7 @@
 merge "XR18/a_n285_4200#" "XC1/c1_n2050_n3000#"
 merge "XC1/c1_n2050_n3000#" "m1_5160_9600#"
 merge "m1_5160_9600#" "AMP"
-merge "XM25/a_n323_n274#" "XM26/a_n323_n274#" -8837.55 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9033412 -2056 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XM25/a_n323_n274#" "XM26/a_n323_n274#" -979.976 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -16240 -2056 0 0 0 0 0 0 0 0 0 0 0 0
 merge "XM26/a_n323_n274#" "li_8790_9540#"
 merge "li_8790_9540#" "li_8790_10060#"
 merge "li_8790_10060#" "XM27/a_n323_n274#"
@@ -152,9 +189,9 @@
 merge "li_5840_9550#" "SUB"
 merge "SUB" "XR18/a_n415_n4762#"
 merge "XR18/a_n415_n4762#" "VSUBS"
-merge "XC1/m3_n2150_n3100#" "XR18/a_n285_n4632#" -3201.35 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -134454 -1942 0 0 -8247000 -1360 0 0 0 0 0 0
+merge "XC1/m3_n2150_n3100#" "XR18/a_n285_n4632#" -1215.47 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -229858 -1942 0 0 -75600 -1360 0 0 0 0 0 0
 merge "XR18/a_n285_n4632#" "GND"
-merge "XM25/a_63_n100#" "XM25/a_n129_n100#" 12601.7 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18628900 -3936 0 0 0 0 0 0 0 0 0 0
+merge "XM25/a_63_n100#" "XM25/a_n129_n100#" -337.602 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -73600 -3936 0 0 0 0 0 0 0 0 0 0
 merge "XM25/a_n129_n100#" "XM26/a_63_n100#"
 merge "XM26/a_63_n100#" "XM26/a_n129_n100#"
 merge "XM26/a_n129_n100#" "XM27/a_63_n100#"
@@ -162,11 +199,11 @@
 merge "XM27/a_n129_n100#" "XM28/a_63_n100#"
 merge "XM28/a_63_n100#" "XM28/a_n129_n100#"
 merge "XM28/a_n129_n100#" "VDD"
-merge "XM28/a_n81_122#" "XM28/a_n177_n188#" -12132.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -18757846 -1268 0 0 0 0 0 0 0 0 0 0
+merge "XM28/a_n81_122#" "XM28/a_n177_n188#" -238.84 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20752 -1268 0 0 0 0 0 0 0 0 0 0
 merge "XM28/a_n177_n188#" "IN1"
-merge "XM25/a_n81_122#" "XM25/a_n177_n188#" -12184.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -18757846 -1268 0 0 0 0 0 0 0 0 0 0
+merge "XM25/a_n81_122#" "XM25/a_n177_n188#" -230.062 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20752 -1268 0 0 0 0 0 0 0 0 0 0
 merge "XM25/a_n177_n188#" "IN3"
-merge "XM27/a_n81_122#" "XM27/a_n177_n188#" -20818.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -32225221 -1268 0 0 0 0 0 0 0 0 0 0
+merge "XM27/a_n81_122#" "XM27/a_n177_n188#" -227.901 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20752 -1268 0 0 0 0 0 0 0 0 0 0
 merge "XM27/a_n177_n188#" "IN2"
-merge "XM26/a_n81_122#" "XM26/a_n177_n188#" -11119.6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -17063201 -1268 0 0 0 0 0 0 0 0 0 0
+merge "XM26/a_n81_122#" "XM26/a_n177_n188#" -228.215 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20752 -1268 0 0 0 0 0 0 0 0 0 0
 merge "XM26/a_n177_n188#" "IN4"
diff --git a/mag/bias_calc.ext b/mag/bias_calc.ext
index 60e07d7..4c8d84d 100644
--- a/mag/bias_calc.ext
+++ b/mag/bias_calc.ext
@@ -17,726 +17,901 @@
 use sky130_fd_pr__pfet_01v8_lvt_D3M934 XM29 0 1 20269 -1 0 5127
 use sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4 XM30 0 1 21019 -1 0 3207
 use sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4 XM31 0 1 21019 -1 0 4807
-node "VOP" 7 756.118 20820 2435 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 192000 6520 0 0 0 0 0 0 0 0 0 0
-node "AMP" 7 808.666 20820 4180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 192000 6520 0 0 0 0 0 0 0 0 0 0
-node "m1_20160_2025#" 26 2458.61 20160 2025 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 372582 16800 2213050 15340 0 0 0 0 0 0 0 0
-node "GND" 4 5405.49 16970 -440 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1854300 13720 1936500 8840 1821550 7580 0 0 0 0 0 0
-node "BIASOUT" 19 3514.12 18360 350 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 290247 12886 3447375 13800 0 0 0 0 0 0 0 0
-node "m1_18270_400#" 16 3900.21 18270 400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 996068 21740 1639500 9970 0 0 0 0 0 0 0 0
-node "m1_17860_4190#" 0 18.1263 17860 4190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3500 240 0 0 0 0 0 0 0 0 0 0
-node "m1_16980_n520#" 30 4904.53 16980 -520 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 539850 22560 3057000 11390 0 0 0 0 0 0 0 0
-node "VCTRL" 18 2023.51 17100 4310 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 524400 17600 0 0 0 0 0 0 0 0 0 0
-node "m1_19235_6325#" 4 2461.01 19235 6325 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 262525 4800 1560750 9250 0 0 0 0 0 0 0 0
-node "VDD" 40 4485.8 17310 5130 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 538976 24000 3107100 23860 749850 8360 0 0 0 0 0 0
-node "m1_17310_5240#" 48 4663.88 17310 5240 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 758128 33000 5150200 25000 0 0 0 0 0 0 0 0
-node "BIAS2V" 0 4975.51 17220 5180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1534300 50200 0 0 0 0 0 0 0 0 0 0
-node "li_18070_n615#" 55 63.4847 18070 -615 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_19370_n95#" 280 270.827 19370 -95 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35000 1830 0 0 0 0 0 0 0 0 0 0 0 0
-node "SUB" 253 279.948 19070 -100 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 40050 1870 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_18070_n100#" 55 41.2588 18070 -100 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_18070_245#" 55 0 18070 245 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_20515_2435#" 93 38.3123 20515 2435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8925 580 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_21265_3940#" 51 62.5011 21265 3940 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4900 350 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_19985_3930#" 51 73.6206 19985 3930 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4900 350 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_20510_3935#" 188 61.0647 20510 3935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24825 1290 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_19870_4105#" 60 75.9577 19870 4105 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5775 400 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_19075_4105#" 60 75.9577 19075 4105 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5775 400 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_18715_4315#" 274 241.181 18715 4315 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26250 1570 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_18320_5030#" 50 27.076 18320 5030 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_18070_4315#" 576 273.186 18070 4315 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 55125 3220 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_17670_5030#" 50 37.1521 17670 5030 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_17535_4310#" 274 328.464 17535 4310 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26250 1570 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_20520_5545#" 93 38.4036 20520 5545 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8925 580 0 0 0 0 0 0 0 0 0 0 0 0
-node "PSUB" 585 800.338 18970 6975 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 75300 3790 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_18315_7170#" 50 0 18315 7170 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_17670_7175#" 50 25.8996 17670 7175 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
+node "VOP" 7 692.39 20820 2435 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 192000 6520 0 0 0 0 0 0 0 0 0 0
+node "AMP" 7 692.571 20820 4180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 192000 6520 0 0 0 0 0 0 0 0 0 0
+node "m1_20160_2025#" 26 1438.86 20160 2025 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 372582 16800 2213050 15340 0 0 0 0 0 0 0 0
+node "GND" 4 4244.03 16970 -440 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1854300 13720 1936500 8840 1821550 7580 0 0 0 0 0 0
+node "BIASOUT" 19 2365 18360 350 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 290247 12886 3447375 13800 0 0 0 0 0 0 0 0
+node "m1_18270_400#" 16 3422.62 18270 400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 996068 21740 1639500 9970 0 0 0 0 0 0 0 0
+node "m1_17860_4190#" 0 4.67265 17860 4190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3500 240 0 0 0 0 0 0 0 0 0 0
+node "m1_16980_n520#" 30 3705.55 16980 -520 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 539850 22560 3057000 11390 0 0 0 0 0 0 0 0
+node "VCTRL" 18 1509.69 17100 4310 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 524400 17600 0 0 0 0 0 0 0 0 0 0
+node "m1_19235_6325#" 4 2051.19 19235 6325 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 262525 4800 1560750 9250 0 0 0 0 0 0 0 0
+node "VDD" 40 2949.28 17310 5130 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 538976 24000 3107100 23860 749850 8360 0 0 0 0 0 0
+node "m1_17310_5240#" 48 2366.81 17310 5240 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 758128 33000 5150200 25000 0 0 0 0 0 0 0 0
+node "BIAS2V" 0 4051.24 17220 5180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1534300 50200 0 0 0 0 0 0 0 0 0 0
+node "li_18070_n615#" 55 59.3286 18070 -615 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_19370_n95#" 280 266.647 19370 -95 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35000 1830 0 0 0 0 0 0 0 0 0 0 0 0
+node "SUB" 253 250.867 19070 -100 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 40050 1870 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18070_n100#" 55 33.5551 18070 -100 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18070_245#" 55 19.5869 18070 245 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_20515_2435#" 93 77.614 20515 2435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8925 580 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_21265_3940#" 51 58.8556 21265 3940 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4900 350 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_19985_3930#" 51 58.9118 19985 3930 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4900 350 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_20510_3935#" 188 63.2666 20510 3935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24825 1290 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_19870_4105#" 60 70.9886 19870 4105 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5775 400 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_19075_4105#" 60 67.3262 19075 4105 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5775 400 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18715_4315#" 274 246.337 18715 4315 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26250 1570 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18320_5030#" 50 35.0478 18320 5030 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18070_4315#" 576 212.625 18070 4315 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 55125 3220 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_17670_5030#" 50 46.6425 17670 5030 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_17535_4310#" 274 257.566 17535 4310 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26250 1570 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_20520_5545#" 93 76.1821 20520 5545 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8925 580 0 0 0 0 0 0 0 0 0 0 0 0
+node "PSUB" 585 780.13 18970 6975 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 75300 3790 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18315_7170#" 50 36.1773 18315 7170 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_17670_7175#" 50 48.8775 17670 7175 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6200 390 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_20550_2400#" 15438 1950 20550 2400 nw 0 0 0 0 650000 6900 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_17930_210#" 10745 5266.8 17930 210 nw 0 0 0 0 1755600 9700 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_17100_7240#" 4031 8782.05 17100 7240 nw 0 0 0 0 2927350 8710 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_18320_5030#" "li_18715_4315#" 4.08333
-cap "BIASOUT" "li_18070_245#" 9.78043
-cap "li_18070_n615#" "li_18070_245#" 5.09091
-cap "VCTRL" "GND" 144.33
-cap "BIASOUT" "m1_17310_5240#" 9645.71
-cap "m1_18270_400#" "m1_20160_2025#" 3454.13
-cap "li_18715_4315#" "li_18070_4315#" 74.7316
-cap "m1_20160_2025#" "m1_19235_6325#" 3579.18
-cap "w_20550_2400#" "li_20510_3935#" 145.39
-cap "BIASOUT" "BIAS2V" 28.1196
-cap "m1_20160_2025#" "li_20520_5545#" 24.7818
-cap "m1_16980_n520#" "VCTRL" 5445.37
-cap "VDD" "BIASOUT" 145.578
-cap "m1_18270_400#" "VCTRL" 1230.19
-cap "li_18715_4315#" "w_17100_7240#" 20.3607
-cap "li_18070_4315#" "w_17930_210#" 74.1009
-cap "BIAS2V" "m1_17310_5240#" 8495.77
-cap "VDD" "PSUB" 114.401
-cap "VDD" "m1_17310_5240#" 20384.5
-cap "li_18320_5030#" "m1_17310_5240#" 21.2082
-cap "VOP" "AMP" 12.8348
-cap "VOP" "GND" 84.7727
-cap "VDD" "BIAS2V" 13395.7
-cap "li_20520_5545#" "li_20510_3935#" 8.06339
-cap "li_18070_4315#" "m1_17310_5240#" 190.792
-cap "PSUB" "w_17100_7240#" 40.5605
-cap "w_17100_7240#" "m1_17310_5240#" 1823.68
-cap "m1_18270_400#" "VOP" 1724.65
-cap "VCTRL" "w_17930_210#" 735.28
-cap "li_18320_5030#" "li_18070_4315#" 12.307
-cap "BIAS2V" "w_17100_7240#" 2457.19
-cap "m1_20160_2025#" "BIASOUT" 85.092
-cap "VDD" "w_17100_7240#" 2613.92
-cap "li_18320_5030#" "w_17100_7240#" 45.9719
-cap "w_20550_2400#" "li_20515_2435#" 87.5173
-cap "VCTRL" "BIASOUT" 203.401
-cap "li_18715_4315#" "li_17670_5030#" 1.10112
-cap "m1_20160_2025#" "m1_17310_5240#" 51.2047
-cap "m1_20160_2025#" "BIAS2V" 4643.71
-cap "VCTRL" "m1_17310_5240#" 4612.53
-cap "VDD" "m1_20160_2025#" 11038.7
-cap "BIAS2V" "li_18315_7170#" 82.1037
-cap "m1_17860_4190#" "GND" 1.45276
-cap "VCTRL" "BIAS2V" 8.2
-cap "VCTRL" "VDD" 38.8308
-cap "m1_16980_n520#" "m1_17860_4190#" 54.983
-cap "li_18070_n100#" "SUB" 1.15294
-cap "m1_18270_400#" "m1_17860_4190#" 8.13971
-cap "li_17670_5030#" "m1_17310_5240#" 22.9477
-cap "li_18315_7170#" "w_17100_7240#" 81.272
-cap "GND" "AMP" 37.6307
-cap "li_18320_5030#" "li_17670_5030#" 2.26263
-cap "m1_16980_n520#" "li_18070_n100#" 8.16789
-cap "VOP" "BIAS2V" 342.136
-cap "m1_16980_n520#" "GND" 7475.99
-cap "li_18070_4315#" "li_17670_5030#" 5.7619
-cap "li_18715_4315#" "li_17535_4310#" 18.2183
-cap "VDD" "VOP" 50.6709
-cap "m1_18270_400#" "GND" 1414.74
-cap "li_17670_5030#" "w_17100_7240#" 40.2368
-cap "li_20520_5545#" "w_20550_2400#" 87.8495
-cap "m1_19235_6325#" "AMP" 1751.53
-cap "VDD" "li_19985_3930#" 41.5053
-cap "GND" "m1_19235_6325#" 17.701
-cap "m1_17860_4190#" "w_17930_210#" 9.61538
-cap "BIAS2V" "li_17670_7175#" 82.1037
-cap "m1_18270_400#" "m1_16980_n520#" 260.94
-cap "li_19370_n95#" "SUB" 96.0784
-cap "li_19370_n95#" "li_18070_n100#" 0.730435
-cap "m1_18270_400#" "m1_19235_6325#" 136.561
-cap "m1_20160_2025#" "li_20510_3935#" 121.326
-cap "m1_17860_4190#" "BIASOUT" 6.7507
-cap "li_19870_4105#" "li_19075_4105#" 6.07895
-cap "li_19370_n95#" "GND" 69.2115
-cap "li_17535_4310#" "m1_17310_5240#" 152.751
-cap "li_17670_7175#" "w_17100_7240#" 55.3724
-cap "m1_17860_4190#" "m1_17310_5240#" 106.993
-cap "VOP" "m1_20160_2025#" 1694.04
-cap "li_18320_5030#" "li_17535_4310#" 1.12
-cap "BIASOUT" "SUB" 263.002
-cap "m1_17860_4190#" "BIAS2V" 2.35532
-cap "BIASOUT" "li_18070_n100#" 7.94512
-cap "li_18070_n615#" "li_18070_n100#" 8.75
-cap "li_18070_245#" "SUB" 1.15294
-cap "m1_16980_n520#" "w_17930_210#" 143.421
-cap "BIASOUT" "GND" 5369.64
-cap "li_18070_n615#" "GND" 36.247
-cap "li_18070_245#" "li_18070_n100#" 13.5484
-cap "m1_18270_400#" "w_17930_210#" 892.195
-cap "li_18070_4315#" "li_17535_4310#" 74.2728
-cap "li_18315_7170#" "li_17670_7175#" 2
-cap "m1_16980_n520#" "BIASOUT" 2090.4
-cap "GND" "m1_17310_5240#" 141.548
-cap "m1_18270_400#" "BIASOUT" 5838.6
-cap "li_19985_3930#" "li_20510_3935#" 9.78507
-cap "BIAS2V" "AMP" 342.136
-cap "m1_16980_n520#" "li_18070_245#" 10.2779
-cap "GND" "BIAS2V" 532.033
-cap "li_21265_3940#" "li_20510_3935#" 9.82558
-cap "VDD" "AMP" 51.2314
-cap "VDD" "GND" 165.42
-cap "m1_20160_2025#" "li_20515_2435#" 25.9663
-cap "m1_16980_n520#" "m1_17310_5240#" 9680.19
-cap "m1_18270_400#" "m1_17310_5240#" 4816.8
-cap "PSUB" "m1_19235_6325#" 126.992
-cap "m1_19235_6325#" "m1_17310_5240#" 60.1019
-cap "m1_16980_n520#" "BIAS2V" 3.3874
-cap "m1_18270_400#" "BIAS2V" 118.854
-cap "m1_16980_n520#" "VDD" 12.88
-cap "li_19370_n95#" "BIASOUT" 67.4741
-cap "m1_18270_400#" "VDD" 164.795
-cap "VCTRL" "li_17535_4310#" 33.4975
-cap "BIAS2V" "m1_19235_6325#" 511.803
-cap "VDD" "m1_19235_6325#" 1064.5
-cap "li_21265_3940#" "li_19985_3930#" 2.92369
-cap "li_19370_n95#" "li_18070_245#" 0.852174
-cap "BIASOUT" "li_19075_4105#" 58.9461
-cap "m1_17860_4190#" "VCTRL" 108.65
-cap "li_20515_2435#" "li_20510_3935#" 8.18362
-cap "BIASOUT" "w_17930_210#" 10.5092
-cap "li_17670_5030#" "li_17535_4310#" 8.4
-cap "li_18070_245#" "w_17930_210#" 71.0514
-cap "m1_20160_2025#" "w_20550_2400#" 461.367
-cap "m1_20160_2025#" "AMP" 1681.12
-cap "m1_20160_2025#" "GND" 127.828
-cap "w_17930_210#" "m1_17310_5240#" 786.221
-cap "XM37/a_285_n197#" "w_17930_210#" 12.9862
-cap "XM38/a_285_n197#" "w_17930_210#" 3.92628
-cap "XM37/a_355_n100#" "w_17930_210#" 203.85
-cap "XM37/a_285_n197#" "XM39/a_n611_n274#" 17.8127
-cap "XM37/a_355_n100#" "XM39/a_n611_n274#" 422.831
-cap "XM37/a_285_n197#" "XM39/a_n509_n100#" 3.19476
-cap "XM39/a_n611_n274#" "w_17930_210#" 75.7973
-cap "XM37/a_355_n100#" "XM39/a_n509_n100#" -1908.16
-cap "XM39/a_n509_n100#" "w_17930_210#" 5.92531
-cap "XM37/a_355_n100#" "XM37/a_483_n100#" -63.525
-cap "XM37/a_483_n100#" "w_17930_210#" 3.55271e-15
-cap "XM39/a_n509_n100#" "XM39/a_n611_n274#" 358.908
-cap "XM39/a_n611_n274#" "XM37/a_483_n100#" 22.4002
-cap "XM37/a_355_n100#" "XM38/a_355_n100#" 311.02
-cap "XM39/a_n509_n100#" "XM37/a_483_n100#" 1.84732
-cap "w_17930_210#" "XM38/a_355_n100#" 2.50308
-cap "XM39/a_n611_n274#" "XM38/a_355_n100#" -649.559
-cap "XM39/a_n509_n100#" "XM38/a_355_n100#" 70.3684
-cap "XM37/a_285_n197#" "XM38/a_285_n197#" 4.12088
-cap "XM37/a_285_n197#" "XM37/a_355_n100#" 26.6238
-cap "XM38/a_355_n100#" "XM37/a_355_n100#" 174.599
-cap "XM38/a_355_n100#" "XM38/a_285_n197#" 13.1799
-cap "XM37/a_355_n100#" "XM37/a_483_n100#" 6.76624
-cap "w_17930_210#" "XM37/a_285_n197#" 0.953605
-cap "XM39/a_n611_n274#" "XM37/a_285_n197#" 0.966204
-cap "XM39/a_n509_n100#" "w_17930_210#" 5.92531
-cap "XM38/a_355_n100#" "XM37/a_285_n197#" -5.55112e-17
-cap "XM39/a_n509_n100#" "XM39/a_n611_n274#" -233.329
-cap "w_17930_210#" "XM39/a_n611_n274#" 96.6332
-cap "XM38/a_355_n100#" "XM39/a_n509_n100#" -1785.8
-cap "XM38/a_285_n197#" "XM37/a_355_n100#" 13.5378
-cap "XM39/a_n509_n100#" "XM37/a_483_n100#" 1.84732
-cap "XM38/a_355_n100#" "w_17930_210#" 164.275
-cap "w_17930_210#" "XM37/a_483_n100#" 8.88178e-16
-cap "XM38/a_355_n100#" "XM39/a_n611_n274#" 190.544
-cap "XM37/a_483_n100#" "XM39/a_n611_n274#" 22.4002
-cap "XM38/a_285_n197#" "XM37/a_285_n197#" 4.12088
-cap "XM38/a_355_n100#" "XM37/a_483_n100#" -70.6687
-cap "XM39/a_n509_n100#" "XM38/a_285_n197#" 3.37888
-cap "XM39/a_n509_n100#" "XM37/a_355_n100#" -995.088
-cap "XM38/a_285_n197#" "w_17930_210#" 9.23231
-cap "w_17930_210#" "XM37/a_355_n100#" 16.0235
-cap "XM37/a_355_n100#" "XM39/a_n611_n274#" 14.7052
-cap "XM38/a_285_n197#" "XM39/a_n611_n274#" 25.8208
-cap "XM38/a_n925_n100#" "XM37/a_n1053_n100#" -771.525
-cap "w_17930_210#" "XM37/a_n1053_n100#" 232.31
-cap "XM37/a_n925_n100#" "XM39/a_n509_n100#" 1.33726
-cap "XM37/a_n1123_n197#" "XM39/a_n509_n100#" 0.708758
-cap "XM37/a_n1123_n197#" "XM37/a_n925_n100#" 415.501
-cap "XM39/a_n611_n274#" "XM37/a_n925_n100#" 18.657
-cap "XM37/a_n1123_n197#" "XM39/a_n611_n274#" 30.265
-cap "XM38/a_n1123_n197#" "XM37/a_n925_n100#" 0.771671
-cap "XM38/a_n1123_n197#" "XM37/a_n1123_n197#" 112.758
-cap "XM38/a_n925_n100#" "XM37/a_n1123_n197#" 0.00151493
-cap "XM38/a_n925_n100#" "XM37/a_n925_n100#" 5.42159
-cap "w_17930_210#" "XM37/a_n925_n100#" 448.743
-cap "w_17930_210#" "XM37/a_n1123_n197#" 682.686
-cap "w_17930_210#" "XM39/a_n611_n274#" 63.3407
-cap "XM38/a_n1123_n197#" "w_17930_210#" 114.779
-cap "XM37/a_n1053_n100#" "XM39/a_n509_n100#" 1.84732
-cap "XM37/a_n1053_n100#" "XM37/a_n925_n100#" -1429.14
-cap "XM37/a_n1053_n100#" "XM37/a_n1123_n197#" 409.357
-cap "XM37/a_n1053_n100#" "XM39/a_n611_n274#" 25.2299
-cap "XM38/a_n925_n100#" "w_17930_210#" 12.0431
-cap "XM38/a_n1123_n197#" "XM37/a_n1053_n100#" -254.062
-cap "XM38/a_n925_n100#" "XM37/a_n1053_n100#" -1449.62
-cap "XM38/a_n1123_n197#" "XM1/w_n1127_n319#" 0.22006
-cap "XM37/a_n1123_n197#" "XM37/a_n1053_n100#" -1.06581e-14
-cap "XM1/w_n1127_n319#" "XM39/a_n611_n274#" 0.932253
-cap "XM37/a_n925_n100#" "XM37/a_n1053_n100#" 6.76624
-cap "XM38/a_n925_n100#" "XM37/a_n1123_n197#" 0.00151493
-cap "XM37/a_n1053_n100#" "XM39/a_n509_n100#" 1.84732
-cap "XM37/a_n925_n100#" "XM38/a_n925_n100#" 10.6967
-cap "XM37/a_n1053_n100#" "w_17930_210#" 52.4906
-cap "XM38/a_n925_n100#" "XM39/a_n509_n100#" 1.33726
-cap "XM38/a_n1123_n197#" "XM37/a_n1053_n100#" 408.044
-cap "XM37/a_n1053_n100#" "XM39/a_n611_n274#" 39.3619
-cap "XM38/a_n925_n100#" "w_17930_210#" 221.223
-cap "XM37/a_n1123_n197#" "w_17930_210#" 25.0568
-cap "XM38/a_n1123_n197#" "XM38/a_n925_n100#" 307.104
-cap "XM38/a_n925_n100#" "XM39/a_n611_n274#" 170.369
-cap "XM38/a_n1123_n197#" "XM37/a_n1123_n197#" 112.758
-cap "XM37/a_n925_n100#" "w_17930_210#" 2.99371
-cap "XM1/w_n1127_n319#" "XM37/a_n1053_n100#" 0.158528
-cap "XM37/a_n1123_n197#" "XM39/a_n611_n274#" 19.3834
-cap "XM38/a_n1123_n197#" "XM37/a_n925_n100#" 3.96892
-cap "XM38/a_n1123_n197#" "XM39/a_n509_n100#" -161.774
-cap "XM37/a_n925_n100#" "XM39/a_n611_n274#" 3.98957
-cap "XM39/a_n509_n100#" "XM39/a_n611_n274#" -13.4765
-cap "XM38/a_n1123_n197#" "w_17930_210#" 549.998
-cap "XM39/a_n611_n274#" "w_17930_210#" 212.185
-cap "XM38/a_n1123_n197#" "XM39/a_n611_n274#" 254.802
-cap "XM1/w_n1127_n319#" "w_17930_210#" 0.555916
-cap "XM1/w_n1127_n319#" "XM38/a_n1123_n197#" 0.22006
-cap "XM40/a_n611_n274#" "XM38/a_n1123_n197#" 88.6788
-cap "XM40/a_n611_n274#" "XM1/w_n1127_n319#" 18.7685
-cap "XM38/a_n925_n100#" "XM38/a_n1123_n197#" 0.338702
-cap "XM38/a_n1123_n197#" "XM38/a_n1053_n100#" 0.103846
-cap "XM1/w_n1127_n319#" "XM38/a_n1053_n100#" 0.158528
-cap "XM40/a_n611_n274#" "XM38/a_n1053_n100#" 1.46574
-cap "XM38/a_n925_n100#" "XM40/a_n611_n274#" 1.46574
-cap "XM1/w_n1127_n319#" "XM1/a_n477_n100#" 3.55271e-15
-cap "XM1/w_n1127_n319#" "XM1/a_n349_n100#" 6.66134e-15
-cap "XM38/a_n1123_n197#" "XM40/a_447_n100#" -1167.36
-cap "w_17930_210#" "XM38/a_n1123_n197#" 16.1325
-cap "XM1/w_n1127_n319#" "w_17930_210#" 0.555916
-cap "XM40/a_n611_n274#" "w_17930_210#" 13.1875
-cap "XM40/a_n611_n274#" "XM40/a_447_n100#" -178.287
-cap "XM1/w_n1127_n319#" "XM1/a_n547_n197#" 6.66134e-16
-cap "XM37/a_n1949_n100#" "XR19/a_n415_n1322#" 2.47702
-cap "XM37/a_n1891_n197#" "XM38/a_n1949_n100#" 4.26326e-14
-cap "XM37/w_n2087_n319#" "XM38/a_n1949_n100#" 14.4518
-cap "XM37/a_n1891_n197#" "XM37/w_n2087_n319#" 473.121
-cap "XR19/a_n415_n1322#" "XM37/a_n1891_n197#" 17.5128
-cap "XR19/a_n415_n1322#" "XM37/w_n2087_n319#" 56.035
-cap "XM38/a_n1891_n197#" "XM37/a_n1821_n100#" -263.503
-cap "XM37/a_n1949_n100#" "XM37/a_n1821_n100#" -1567.62
-cap "XM38/a_n1949_n100#" "XM37/a_n1821_n100#" -955.695
-cap "XM37/w_n2087_n319#" "XM37/a_n1821_n100#" 215.899
-cap "XM37/a_n1891_n197#" "XM37/a_n1821_n100#" 388.072
-cap "XR19/a_n415_n1322#" "XM37/a_n1821_n100#" 2.06418
-cap "XM38/a_n1891_n197#" "XM37/w_n2087_n319#" 103.166
-cap "XM38/a_n1891_n197#" "XM37/a_n1891_n197#" 108.28
-cap "XM37/a_n1891_n197#" "XR19/a_n285_n1192#" 7.10543e-15
-cap "XM37/a_n1949_n100#" "XM38/a_n1949_n100#" 6.46154
-cap "XM37/a_n1949_n100#" "XM37/w_n2087_n319#" 450.296
-cap "XM37/a_n1949_n100#" "XM37/a_n1891_n197#" 484.086
-cap "XR19/a_n285_n1192#" "XM30/a_n611_n197#" -7.10543e-15
-cap "XM37/w_n2087_n319#" "XM37/a_n1891_n197#" 25.0568
-cap "XM37/a_n1821_n100#" "XM38/a_n1949_n100#" -1577.72
-cap "XM1/a_n931_n197#" "XM38/a_n1891_n197#" 10.5577
-cap "XM1/a_n931_n197#" "XM37/w_n2087_n319#" 7.42964
-cap "XM38/a_n1891_n197#" "XM38/a_n1949_n100#" 397.76
-cap "XM37/a_n1891_n197#" "XM38/a_n1949_n100#" 7.10543e-15
-cap "XM37/w_n2087_n319#" "XM38/a_n1949_n100#" 386.217
-cap "XM37/a_n1821_n100#" "XR19/a_n415_n1322#" 13.3596
-cap "XM38/a_n1891_n197#" "XR19/a_n415_n1322#" 93.9941
-cap "XM37/a_n1891_n197#" "XR19/a_n415_n1322#" 17.5128
-cap "XM37/w_n2087_n319#" "XM1/a_n861_n100#" 2.02123
-cap "XM37/w_n2087_n319#" "XR19/a_n415_n1322#" 194.523
-cap "XM1/a_n931_n197#" "XR19/a_n415_n1322#" 17.7496
-cap "XM1/a_n861_n100#" "XM38/a_n1949_n100#" 1.58291
-cap "XM38/a_n1949_n100#" "XR19/a_n415_n1322#" 385.604
-cap "XM37/a_n1821_n100#" "XR19/a_n285_n1192#" -1.42109e-14
-cap "XM1/a_n861_n100#" "XR19/a_n415_n1322#" 2.70711
-cap "XM38/a_n1891_n197#" "XR19/a_n285_n1192#" 0.428994
-cap "XM37/a_n1821_n100#" "XM1/a_n989_n100#" 1.36554
-cap "XM37/a_n1891_n197#" "XR19/a_n285_n1192#" 7.10543e-15
-cap "XM38/a_n1891_n197#" "XM1/a_n989_n100#" 0.0492328
-cap "XM37/w_n2087_n319#" "XM1/a_n989_n100#" 1.68436
-cap "XM1/a_n931_n197#" "XR19/a_n285_n1192#" -1.42109e-14
-cap "XM38/a_n1891_n197#" "XM37/a_n1949_n100#" -2.13163e-14
-cap "XM37/w_n2087_n319#" "XM37/a_n1949_n100#" 3.59245
-cap "XM37/a_n1949_n100#" "XM38/a_n1949_n100#" 6.46154
-cap "XM1/a_n989_n100#" "XR19/a_n415_n1322#" 2.25592
-cap "XM37/a_n1949_n100#" "XR19/a_n415_n1322#" 2.47702
-cap "XM1/w_n1127_n319#" "XM37/a_n1821_n100#" 1.68436
-cap "XM38/a_n1891_n197#" "XM1/w_n1127_n319#" 7.98503
-cap "XM1/w_n1127_n319#" "XM37/w_n2087_n319#" 15.8833
-cap "XM1/w_n1127_n319#" "XM38/a_n1949_n100#" 2.02123
-cap "XM38/a_n1891_n197#" "XM37/a_n1821_n100#" 458.007
-cap "XM37/w_n2087_n319#" "XM37/a_n1821_n100#" 80.9705
-cap "XM1/a_n989_n100#" "XR19/a_n285_n1192#" 0.0198845
-cap "XM37/a_n1821_n100#" "XM37/a_n1891_n197#" 7.10543e-15
-cap "XM38/a_n1891_n197#" "XM37/a_n1891_n197#" 108.28
-cap "XM38/a_n1891_n197#" "XM37/w_n2087_n319#" 90.8247
-cap "XM1/a_n931_n197#" "XM37/a_n1821_n100#" -4.44089e-16
-cap "XM1/w_n1127_n319#" "XR19/a_n415_n1322#" 36.2956
-cap "XM1/a_n931_n197#" "XM1/a_n989_n100#" 222.276
-cap "XM38/a_n1891_n197#" "XM1/a_n989_n100#" -414.841
-cap "XR19/a_n415_n1322#" "XM38/a_n1821_n100#" 1.83218
-cap "XM38/w_n2087_n319#" "XM1/a_n989_n100#" 1.68436
-cap "XM1/a_n861_n100#" "XR19/a_n415_n1322#" 24.7612
-cap "XM38/a_n1821_n100#" "XM1/a_n931_n197#" -4.44089e-16
-cap "XM1/a_n861_n100#" "XM1/a_n931_n197#" 263.002
-cap "XM1/a_n861_n100#" "XM38/a_n1891_n197#" 3.76123
-cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" 78.1834
-cap "XM1/a_n931_n197#" "XR19/a_n285_n1192#" -8.52651e-14
-cap "XM1/a_n861_n100#" "XM38/w_n2087_n319#" 2.02123
-cap "XM38/a_n1949_n100#" "XM1/w_n1127_n319#" 2.02123
-cap "XM38/a_n1891_n197#" "XR19/a_n285_n1192#" 0.801239
-cap "XM1/a_n861_n100#" "XM30/a_n611_n197#" 0.040263
-cap "XR19/a_n415_n1322#" "XM38/a_n1949_n100#" 2.19861
-cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 624.026
-cap "XM31/a_n29_n100#" "XM1/a_n989_n100#" 4.1046
-cap "XM1/a_n931_n197#" "XM1/w_n1127_n319#" 509.265
-cap "XM1/w_n1127_n319#" "XM38/a_n1891_n197#" 105.879
-cap "XM38/w_n2087_n319#" "XM1/w_n1127_n319#" 15.8833
-cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 165.752
-cap "XM30/a_n611_n197#" "XM1/w_n1127_n319#" 219.832
-cap "XM38/a_n1821_n100#" "XM1/a_n989_n100#" 1.36554
-cap "XM1/a_n861_n100#" "XM1/a_n989_n100#" -1310.77
-cap "XR19/a_n415_n1322#" "XM38/a_n1891_n197#" 18.4431
-cap "XM30/a_n611_n197#" "XM31/a_29_n197#" 13.3985
-cap "XM38/w_n2087_n319#" "XR19/a_n415_n1322#" 17.5
-cap "XR19/a_n285_n1192#" "XM1/a_n989_n100#" 0.0860787
-cap "XM1/a_n931_n197#" "XM38/a_n1891_n197#" 10.605
-cap "XM38/w_n2087_n319#" "XM1/a_n931_n197#" 7.42964
-cap "XM30/a_n611_n197#" "XR19/a_n415_n1322#" 14.4039
-cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 57.5961
-cap "XM38/a_n1821_n100#" "XR19/a_n285_n1192#" -8.88178e-16
-cap "XM30/a_n611_n197#" "XM38/a_n1891_n197#" 1.44247
-cap "XM1/w_n1127_n319#" "XM1/a_n989_n100#" 522.199
-cap "XM1/a_n861_n100#" "XM38/a_n1949_n100#" 1.58291
-cap "XM38/a_n1821_n100#" "XM1/w_n1127_n319#" 1.68436
-cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 23.4648
-cap "XM1/a_n861_n100#" "XM1/w_n1127_n319#" 339.888
-cap "XM31/a_n29_n100#" "XM38/a_n1891_n197#" 8.05796
-cap "XM30/a_n611_n197#" "XM31/a_29_n197#" 13.3985
-cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" 1.88693
-cap "XM1/a_n989_n100#" "XM30/a_n541_n100#" -238.176
-cap "XR20/a_n285_1140#" "XM30/a_n611_n197#" -3.55271e-15
-cap "XR20/a_n285_1140#" "XM30/a_n541_n100#" -1.47484
-cap "XM1/w_n1127_n319#" "XM1/a_n989_n100#" 155.694
-cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 0.00610036
-cap "XM30/a_n541_n100#" "XM1/a_n861_n100#" -2.78858
-cap "XM30/a_n541_n100#" "XM31/a_n29_n100#" 4.73371
-cap "XM1/w_n1127_n319#" "XM1/a_n861_n100#" 1.59553
-cap "XR19/a_n415_n1322#" "XM30/a_n611_n197#" 3.88889
-cap "XM1/w_n1127_n319#" "XR19/a_n415_n1322#" 7.86344
-cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 9.88891
-cap "XM30/a_n611_n197#" "XM30/a_n541_n100#" 58.4387
-cap "XM30/a_n541_n100#" "XM1/a_n931_n197#" -5.32166
-cap "XM1/w_n1127_n319#" "XM30/a_n611_n197#" 283.542
-cap "XM1/w_n1127_n319#" "XM1/a_n931_n197#" 12.2312
-cap "XM1/w_n1127_n319#" "XM30/a_n541_n100#" 272.712
-cap "XM1/a_n989_n100#" "XM31/a_n29_n100#" 2.41132
-cap "XM38/a_n1891_n197#" "XM2/a_35_n100#" -106.77
-cap "XM37/a_n1891_n197#" "XR19/a_n285_n1192#" 4.97642
-cap "XM2/a_35_n100#" "XM37/w_n2087_n319#" 157.443
-cap "XM38/a_n1949_n100#" "XM2/a_35_n100#" -376.8
-cap "XR19/a_n285_n1192#" "XM37/a_n1949_n100#" 0.145367
-cap "XM38/a_n1891_n197#" "XM37/w_n2087_n319#" 74.0578
-cap "XM38/a_n1949_n100#" "XM37/w_n2087_n319#" 9.63451
-cap "XM36/a_n35_n197#" "XM37/w_n2087_n319#" 2.25887
-cap "XM37/a_n1891_n197#" "XR19/a_n415_n1322#" 12.5729
-cap "XR19/a_n285_n1192#" "XM2/a_35_n100#" 0.436477
-cap "XR19/a_n415_n1322#" "XM37/a_n1949_n100#" 1.6517
-cap "XR19/a_n285_n1192#" "XM37/w_n2087_n319#" 19.1213
-cap "XM37/a_n1891_n197#" "XM2/a_n93_n100#" 4.31379
-cap "XR19/a_n415_n1322#" "XM2/a_35_n100#" 1.65135
-cap "XM37/a_n1891_n197#" "XM2/a_n35_n197#" 4.83487
-cap "XR19/a_n415_n1322#" "XM37/w_n2087_n319#" 54.6791
-cap "XM2/a_n35_n197#" "XM37/a_n1949_n100#" 4.31379
-cap "XM37/a_n1891_n197#" "XM37/a_n1949_n100#" 331.517
-cap "XM2/a_n35_n197#" "XM2/a_35_n100#" 3.09461
-cap "XM2/a_n93_n100#" "XM37/w_n2087_n319#" 8.78576
-cap "XM2/a_n35_n197#" "XM37/w_n2087_n319#" 4.51773
-cap "XM37/a_n1891_n197#" "XM2/a_35_n100#" 274.616
-cap "XM2/a_35_n100#" "XM37/a_n1949_n100#" -896.931
-cap "XM37/a_n1891_n197#" "XM38/a_n1891_n197#" 77.7283
-cap "XM37/a_n1891_n197#" "XM37/w_n2087_n319#" 657.578
-cap "XM37/a_n1891_n197#" "XM38/a_n1949_n100#" -2.07036
-cap "XM37/w_n2087_n319#" "XM37/a_n1949_n100#" 351.528
-cap "XM38/a_n1891_n197#" "XM37/a_n1949_n100#" -2.72982
-cap "XM38/a_n1949_n100#" "XM37/a_n1949_n100#" 0.871675
-cap "XR19/a_n415_n1322#" "XM37/a_n1949_n100#" 1.6517
-cap "XM3/a_n35_n197#" "XM2/a_35_n100#" 3.09461
-cap "XR19/a_n415_n1322#" "XM38/a_n1891_n197#" 66.8333
-cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 33.8583
-cap "XM38/a_n1891_n197#" "XM1/a_n931_n197#" 5.06579
-cap "XM2/a_35_n100#" "XM1/a_n989_n100#" 0.826291
-cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 14.3198
-cap "XM38/a_n1949_n100#" "XR19/a_n285_n1192#" 1.37855
-cap "XM38/a_n1949_n100#" "XM37/w_n2087_n319#" 315.47
-cap "XM2/a_35_n100#" "XM1/w_n1127_n319#" 1.34749
-cap "XM38/a_n1891_n197#" "XM2/a_35_n100#" 361.857
-cap "XM2/a_35_n100#" "XM1/a_n931_n197#" -4.44089e-16
-cap "XM38/a_n1949_n100#" "XM37/a_n1891_n197#" -2.07036
-cap "XR19/a_n415_n1322#" "XM2/a_35_n100#" 10.6878
-cap "XM3/a_n35_n197#" "XM37/w_n2087_n319#" 2.56005
-cap "XM1/a_n989_n100#" "XR19/a_n285_n1192#" -8.11174
-cap "XM3/a_n93_n100#" "XM38/a_n1891_n197#" 4.31379
-cap "XM37/w_n2087_n319#" "XM2/a_n35_n197#" 0.301182
-cap "XM37/w_n2087_n319#" "XM1/a_n989_n100#" 1.68388
-cap "XM30/a_n541_n100#" "XR19/a_n285_n1192#" -1.12563
-cap "XM38/a_n1949_n100#" "XM1/a_n861_n100#" 0.527638
-cap "XM38/a_n1891_n197#" "XR19/a_n285_n1192#" 19.6881
-cap "XR19/a_n285_n1192#" "XM37/a_n1949_n100#" 0.145367
-cap "XM1/w_n1127_n319#" "XR19/a_n285_n1192#" 43.2967
-cap "XM37/w_n2087_n319#" "XM37/a_n1949_n100#" 2.39497
-cap "XM37/w_n2087_n319#" "XM1/w_n1127_n319#" 15.8793
-cap "XM1/a_n931_n197#" "XR19/a_n285_n1192#" -43.6251
-cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" 249.579
-cap "XM38/a_n1891_n197#" "XM37/w_n2087_n319#" 359.196
-cap "XM37/w_n2087_n319#" "XM1/a_n931_n197#" 6.34762
-cap "XR19/a_n415_n1322#" "XM37/w_n2087_n319#" 192.204
-cap "XM38/a_n1891_n197#" "XM37/a_n1891_n197#" 77.7283
-cap "XR19/a_n415_n1322#" "XM37/a_n1891_n197#" 12.5729
-cap "XM2/a_35_n100#" "XR19/a_n285_n1192#" 2.20583
-cap "XM37/w_n2087_n319#" "XM2/a_35_n100#" -163.31
-cap "XM30/a_n611_n197#" "XR19/a_n285_n1192#" -6.41741
-cap "XM31/a_n611_n197#" "XR19/a_n285_n1192#" -6.90516
-cap "XR19/a_n415_n1322#" "XM1/a_n861_n100#" 1.80474
-cap "XM3/a_n93_n100#" "XM37/w_n2087_n319#" 5.1761
-cap "XM2/a_35_n100#" "XM37/a_n1891_n197#" 7.10543e-15
-cap "XM37/w_n2087_n319#" "XR19/a_n285_n1192#" 87.8298
-cap "XM3/a_n35_n197#" "XM38/a_n1949_n100#" 4.31379
-cap "XR19/a_n285_n1192#" "XM37/a_n1891_n197#" 4.97642
-cap "XM37/w_n2087_n319#" "XM37/a_n1891_n197#" 17.987
-cap "XM1/a_n861_n100#" "XR19/a_n285_n1192#" -5.74319
-cap "XM38/a_n1949_n100#" "XM1/w_n1127_n319#" 1.34773
-cap "XM37/w_n2087_n319#" "XM1/a_n861_n100#" 1.34701
-cap "XM38/a_n1891_n197#" "XM38/a_n1949_n100#" 220.827
-cap "XM38/a_n1949_n100#" "XM1/a_n931_n197#" 0.314191
-cap "XR19/a_n415_n1322#" "XM38/a_n1949_n100#" 226.863
-cap "XM38/a_n1949_n100#" "XM37/a_n1949_n100#" 0.871675
-cap "XR19/a_n285_n1192#" "XM31/a_n541_n100#" -1.11327
-cap "XM3/a_n35_n197#" "XM38/a_n1891_n197#" 4.83487
-cap "XM38/a_n1891_n197#" "XM1/a_n989_n100#" 0.351116
-cap "XM38/a_n1949_n100#" "XM2/a_35_n100#" -997.407
-cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 2.25592
-cap "XM38/a_n1891_n197#" "XM37/a_n1949_n100#" -2.72982
-cap "XM38/a_n1891_n197#" "XM1/w_n1127_n319#" 5.7326
-cap "XM1/a_n861_n100#" "XM38/a_n1949_n100#" 0.527638
-cap "XR19/a_n415_n1322#" "XM38/a_n1949_n100#" 1.37995
-cap "XM38/w_n2087_n319#" "XM1/a_n931_n197#" 6.34762
-cap "XR19/a_n415_n1322#" "XM3/a_35_n100#" 1.46576
-cap "XM1/w_n1127_n319#" "XM1/a_n989_n100#" 961.367
-cap "XM1/a_n931_n197#" "XM38/a_n1891_n197#" 5.06579
-cap "XM1/w_n1127_n319#" "XR19/a_n285_n1192#" 208.074
-cap "XM30/a_n541_n100#" "XM1/a_n931_n197#" 0.0236644
-cap "XM31/a_n541_n100#" "XM1/a_n931_n197#" 0.141986
-cap "XM1/a_n989_n100#" "XM1/a_n861_n100#" -834.516
-cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" 95.1967
-cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 25.3368
-cap "XM31/a_n611_n197#" "XR19/a_n285_n1192#" 5.96229
-cap "XM1/a_n861_n100#" "XR19/a_n285_n1192#" 4.97263
-cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" -279.422
-cap "XM30/a_n541_n100#" "XM30/a_n611_n197#" -1.63008
-cap "XM1/a_n989_n100#" "XM3/a_35_n100#" 0.826291
-cap "XM38/a_n1949_n100#" "XR19/a_n285_n1192#" -3.63126
-cap "XM1/w_n1127_n319#" "XM1/a_n931_n197#" 750.763
-cap "XR19/a_n285_n1192#" "XM3/a_35_n100#" -1.62852
-cap "XM1/a_n931_n197#" "XM1/a_n861_n100#" 311.04
-cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 140.793
-cap "XM31/a_n611_n197#" "XM1/a_n931_n197#" 50.8765
-cap "XM1/w_n1127_n319#" "XM30/a_n611_n197#" 70.1751
-cap "XM1/a_n989_n100#" "XR19/a_n285_n1192#" 8.05535
-cap "XM1/a_n931_n197#" "XM38/a_n1949_n100#" 0.314191
-cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 0.0201315
-cap "XM1/a_n931_n197#" "XM3/a_35_n100#" -4.44089e-16
-cap "XR19/a_n415_n1322#" "XM30/a_n611_n197#" 14.4039
-cap "XM30/a_n541_n100#" "XM31/a_n541_n100#" 33.2325
-cap "XM1/w_n1127_n319#" "XM38/w_n2087_n319#" 15.8793
-cap "XM30/a_n611_n197#" "XM31/a_n611_n197#" 103.17
-cap "XM38/w_n2087_n319#" "XM1/a_n861_n100#" 1.34701
-cap "XM1/w_n1127_n319#" "XM38/a_n1891_n197#" 5.7326
-cap "XR19/a_n415_n1322#" "XM38/w_n2087_n319#" 16.6458
-cap "XM30/a_n541_n100#" "XM1/w_n1127_n319#" 79.6292
-cap "XM1/w_n1127_n319#" "XM31/a_n541_n100#" 68.3788
-cap "XM1/a_n989_n100#" "XM1/a_n931_n197#" 256.251
-cap "XM1/a_n931_n197#" "XR19/a_n285_n1192#" 41.1288
-cap "XR19/a_n415_n1322#" "XM38/a_n1891_n197#" 10.5529
-cap "XM31/a_n541_n100#" "XM31/a_n611_n197#" -11.114
-cap "XM30/a_n541_n100#" "XM1/a_n861_n100#" 2.50054
-cap "XM30/a_n541_n100#" "XR19/a_n415_n1322#" 1.88702
-cap "XM31/a_n541_n100#" "XM1/a_n861_n100#" 3.63521
-cap "XR19/a_n415_n1322#" "XM31/a_n541_n100#" 1.88702
-cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" 128.84
-cap "XM30/a_n611_n197#" "XR19/a_n285_n1192#" 5.12156
-cap "XM38/w_n2087_n319#" "XM1/a_n989_n100#" 1.68388
-cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 643.307
-cap "XM1/w_n1127_n319#" "XM1/a_n861_n100#" 432.049
-cap "XM1/w_n1127_n319#" "XM31/a_n611_n197#" 151.391
-cap "XM38/w_n2087_n319#" "XR19/a_n285_n1192#" 16.754
-cap "XM1/a_n989_n100#" "XM38/a_n1891_n197#" 0.351116
-cap "XM31/a_n611_n197#" "XM1/a_n861_n100#" 0.120789
-cap "XM30/a_n541_n100#" "XM1/a_n989_n100#" -302.414
-cap "XM31/a_n541_n100#" "XM1/a_n989_n100#" -216.295
-cap "XM1/w_n1127_n319#" "XM38/a_n1949_n100#" 1.34773
-cap "XR19/a_n415_n1322#" "XM31/a_n611_n197#" 10.9046
-cap "XR19/a_n415_n1322#" "XM1/a_n861_n100#" 16.5074
-cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 57.5961
-cap "XM1/w_n1127_n319#" "XM3/a_35_n100#" 1.34749
-cap "XM30/a_n541_n100#" "XR19/a_n285_n1192#" 0.8431
-cap "XR19/a_n285_n1192#" "XM38/a_n1891_n197#" -12.6273
-cap "XM31/a_n541_n100#" "XR19/a_n285_n1192#" 0.858349
-cap "XM30/a_n541_n100#" "XM1/a_n931_n197#" -3.54778
-cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" -1.42109e-14
-cap "XM31/a_n611_n197#" "XR20/a_n285_1140#" 1.64683
-cap "XM1/a_n861_n100#" "XM31/a_n541_n100#" -1.85934
-cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" 1.57215
-cap "XM1/w_n1127_n319#" "XM31/a_n541_n100#" 187.447
-cap "XM30/a_n611_n197#" "XR20/a_n285_1140#" 1.41459
-cap "XM31/a_n611_n197#" "XR19/a_n415_n1322#" 2.94418
-cap "XM30/a_n541_n100#" "XM31/a_n541_n100#" 19.5176
-cap "XM1/a_n931_n197#" "XM31/a_n541_n100#" -3.54778
-cap "XM31/a_n611_n197#" "XM30/a_n611_n197#" 103.17
-cap "XM30/a_n611_n197#" "XR19/a_n415_n1322#" 3.88889
-cap "XM1/w_n1127_n319#" "XM1/a_n989_n100#" 324.678
-cap "XM1/w_n1127_n319#" "XR20/a_n285_1140#" 6.35342
-cap "XM30/a_n541_n100#" "XM1/a_n989_n100#" -172.713
-cap "XM31/a_n611_n197#" "XM1/a_n861_n100#" 0.0183011
-cap "XM30/a_n541_n100#" "XR20/a_n285_1140#" -0.982185
-cap "XM31/a_n611_n197#" "XM1/w_n1127_n319#" 217.804
-cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 13.6927
-cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 0.00305018
-cap "XM31/a_n611_n197#" "XM1/a_n931_n197#" 8.7352
-cap "XM30/a_n611_n197#" "XM1/w_n1127_n319#" 148.748
-cap "XM30/a_n541_n100#" "XM30/a_n611_n197#" 90.1549
-cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 9.88891
-cap "XM1/a_n989_n100#" "XM31/a_n541_n100#" -126.52
-cap "XM31/a_n541_n100#" "XR20/a_n285_1140#" -0.982185
-cap "XM1/w_n1127_n319#" "XM1/a_n861_n100#" 2.12737
-cap "XM31/a_n611_n197#" "XM31/a_n541_n100#" 96.706
-cap "XM30/a_n541_n100#" "XM1/a_n861_n100#" -1.86071
-cap "XM30/a_n541_n100#" "XM1/w_n1127_n319#" 205.079
-cap "XM1/w_n1127_n319#" "XM1/a_n931_n197#" 17.7748
-cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 2.50091
-cap "XR19/a_n285_n1192#" "XM2/w_n1127_n319#" 4.11792
-cap "XM37/a_n1891_n197#" "XM2/w_n1127_n319#" 5.1201
-cap "XM2/a_n861_n100#" "XM2/w_n1127_n319#" 191.974
-cap "XM2/a_n861_n100#" "XM37/a_n1891_n197#" 4.31379
-cap "XM2/a_n931_n197#" "XM2/w_n1127_n319#" 770.147
-cap "XM37/a_n1949_n100#" "XM2/w_n1127_n319#" 10.5413
-cap "XM2/a_n931_n197#" "XM37/a_n1891_n197#" 4.83487
-cap "XM2/a_n861_n100#" "XM2/a_n931_n197#" 335.194
-cap "XM2/a_n931_n197#" "XM37/a_n1949_n100#" 4.31379
-cap "XR19/a_n415_n1322#" "XM2/w_n1127_n319#" 36.4088
-cap "XM2/a_n989_n100#" "XM2/w_n1127_n319#" 339.249
-cap "XM2/a_n989_n100#" "XM37/a_n1891_n197#" 3.09794
-cap "XM2/a_n861_n100#" "XR19/a_n415_n1322#" 3.33454
-cap "XM2/a_n861_n100#" "XM2/a_n989_n100#" -1820.93
-cap "XM2/a_n931_n197#" "XR19/a_n415_n1322#" 18.8573
-cap "XM2/a_n931_n197#" "XM2/a_n989_n100#" 514.227
-cap "XM2/w_n1127_n319#" "XM2/a_n861_n100#" 133.099
-cap "XM38/a_n1891_n197#" "XM2/w_n1127_n319#" 5.1201
-cap "XM2/a_n989_n100#" "XR19/a_n415_n1322#" 15.1507
-cap "XM2/a_n931_n197#" "XM2/a_n989_n100#" 281.586
-cap "XM2/a_n989_n100#" "XM2/w_n1127_n319#" -284.98
-cap "XR19/a_n285_n1192#" "XM2/a_n861_n100#" -0.394844
-cap "XM1/a_n989_n100#" "XM2/a_n861_n100#" 0.00685172
-cap "XM2/a_n931_n197#" "XM38/a_n1949_n100#" 4.31379
-cap "XM2/a_n931_n197#" "XR19/a_n415_n1322#" 163.79
-cap "XM38/a_n1949_n100#" "XM2/w_n1127_n319#" 10.5413
-cap "XM2/w_n1127_n319#" "XR19/a_n415_n1322#" 610.868
-cap "XM2/a_n931_n197#" "XM2/w_n1127_n319#" 124.917
-cap "XR19/a_n285_n1192#" "XR19/a_n415_n1322#" 12.701
-cap "XM1/a_n989_n100#" "XR19/a_n415_n1322#" 2.70711
-cap "XM2/a_n931_n197#" "XM1/a_n989_n100#" 1.95395
-cap "XM2/a_n931_n197#" "XR19/a_n285_n1192#" -8.85323
-cap "XR19/a_n285_n1192#" "XM2/w_n1127_n319#" 25.4255
-cap "XM1/a_n989_n100#" "XM2/w_n1127_n319#" 2.30994
-cap "XM38/a_n1891_n197#" "XM2/a_n861_n100#" 4.31379
-cap "XR19/a_n285_n1192#" "XM1/a_n989_n100#" -3.74948
-cap "XM2/a_n989_n100#" "XM2/a_n861_n100#" -907.73
-cap "XM2/a_n989_n100#" "XM38/a_n1891_n197#" 3.09461
-cap "XR19/a_n285_n1192#" "XM31/a_n611_n197#" -3.64795
-cap "XR19/a_n285_n1192#" "XR19/a_n285_760#" -4.90891
-cap "XM2/a_n861_n100#" "XR19/a_n415_n1322#" 22.4569
-cap "XM2/a_n931_n197#" "XM2/a_n861_n100#" -456.308
-cap "XM2/a_n931_n197#" "XM38/a_n1891_n197#" 4.83487
-cap "XM2/a_n931_n197#" "XR19/a_n285_760#" 0.0473288
-cap "XM31/a_n611_n197#" "XR19/a_n285_760#" 31.5582
-cap "XM30/a_n541_n100#" "XM1/a_n989_n100#" 8.91903
-cap "XM2/a_n931_n197#" "XM3/a_n989_n100#" 1.31047
-cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 190.477
-cap "XM31/a_n611_n197#" "XM30/a_n611_n197#" 19.8582
-cap "XM3/a_n861_n100#" "XM2/a_n931_n197#" 417.105
-cap "XM31/a_n611_n197#" "XM3/a_n861_n100#" 0.120789
-cap "XR19/a_n415_n1322#" "XM31/a_n611_n197#" 17.902
-cap "XR19/a_n285_n1192#" "XM1/a_n989_n100#" 3.44841
-cap "XM3/w_n1127_n319#" "XM1/a_n989_n100#" 548.992
-cap "XM3/w_n1127_n319#" "XR19/a_n285_n1192#" 49.4124
-cap "XM3/a_n861_n100#" "XR19/a_n285_760#" 5.35933
-cap "XR19/a_n415_n1322#" "XR19/a_n285_760#" 2.83053
-cap "XR19/a_n415_n1322#" "XM3/a_n989_n100#" 1.3613
-cap "XM30/a_n541_n100#" "XR19/a_n285_760#" 8.05345
-cap "XM2/a_n931_n197#" "XR19/a_n285_n1192#" 11.4898
-cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" 167.489
-cap "XM2/a_n931_n197#" "XM1/a_n989_n100#" 334.495
-cap "XM31/a_n611_n197#" "XR19/a_n285_n1192#" 3.10941
-cap "XR19/a_n415_n1322#" "XM3/a_n861_n100#" 22.4494
-cap "XM31/a_n611_n197#" "XM3/w_n1127_n319#" 345.49
-cap "XM3/w_n1127_n319#" "XM2/a_n931_n197#" 783.229
-cap "XR19/a_n285_760#" "XR19/a_n285_n1192#" -1.1169
-cap "XR19/a_n285_760#" "XM1/a_n989_n100#" -491.734
-cap "XM3/w_n1127_n319#" "XR19/a_n285_760#" 97.7948
-cap "XM3/w_n1127_n319#" "XM3/a_n989_n100#" 1.22786
-cap "XM31/a_n611_n197#" "XM2/a_n931_n197#" 83.5143
-cap "XM3/a_n861_n100#" "XR19/a_n285_n1192#" 0.00829104
-cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" 3.75258
-cap "XM3/a_n861_n100#" "XM1/a_n989_n100#" -1314.31
-cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 28.5352
-cap "XR19/a_n415_n1322#" "XM3/w_n1127_n319#" 670.229
-cap "XM3/w_n1127_n319#" "XM3/a_n861_n100#" 291.089
-cap "XM31/a_n541_n100#" "XM30/a_n541_n100#" 4.73371
-cap "XM31/a_n611_n197#" "XM1/a_n861_n100#" 0.0183011
-cap "XM31/a_n541_n100#" "XM1/a_n931_n197#" -5.32166
-cap "XM31/a_n541_n100#" "XR20/a_n285_1140#" 0.000158893
-cap "XM31/a_n541_n100#" "XM31/a_n611_n197#" 125.569
-cap "XM1/a_n989_n100#" "XM1/w_n1127_n319#" 215.063
-cap "XM31/a_n611_n197#" "XM1/a_n931_n197#" 14.3389
-cap "XR20/a_n285_1140#" "XM31/a_n611_n197#" 0.858831
-cap "XM31/a_n611_n197#" "XR19/a_n415_n1322#" 4.83333
-cap "XM1/w_n1127_n319#" "XM1/a_n861_n100#" 1.59553
-cap "XM31/a_n541_n100#" "XM1/w_n1127_n319#" 306.583
-cap "XM30/a_n611_n197#" "XM31/a_n611_n197#" 19.8582
-cap "XM1/w_n1127_n319#" "XM1/a_n931_n197#" 14.7537
-cap "XR20/a_n285_1140#" "XM1/w_n1127_n319#" 1.43566
-cap "XM1/a_n989_n100#" "XM30/a_n541_n100#" 5.252
-cap "XM1/w_n1127_n319#" "XM31/a_n611_n197#" 425.202
-cap "XM31/a_n541_n100#" "XM1/a_n989_n100#" -276.189
-cap "XM1/w_n1127_n319#" "XR19/a_n415_n1322#" 9.34361
-cap "XM1/a_n989_n100#" "XM31/a_n611_n197#" 8.35871
-cap "XM31/a_n541_n100#" "XM1/a_n861_n100#" -2.80123
-cap "XM2/a_n931_n197#" "XM2/w_n1127_n319#" 1342.23
-cap "XR19/a_n285_760#" "XM2/a_n931_n197#" 9.47292
-cap "XM2/a_n861_n100#" "XM2/a_n989_n100#" -2138.44
-cap "XM2/a_n861_n100#" "XR19/a_n415_n1322#" 2.50091
-cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 3.33454
-cap "XM2/a_n861_n100#" "XM2/w_n1127_n319#" 95.4753
-cap "XM2/a_n989_n100#" "XM2/w_n1127_n319#" 251.587
-cap "XR19/a_n285_760#" "XM2/a_n861_n100#" 1.30344
-cap "XR19/a_n415_n1322#" "XM2/w_n1127_n319#" 17.8153
-cap "XR19/a_n285_760#" "XM2/a_n989_n100#" 0.849147
-cap "XM2/a_n861_n100#" "XM2/a_n931_n197#" 456.476
-cap "XM2/a_n931_n197#" "XM2/a_n989_n100#" 725.956
-cap "XR19/a_n285_760#" "XM2/w_n1127_n319#" 8.38491
-cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 16.9331
-cap "XM2/a_n931_n197#" "XM2/a_n861_n100#" -443.014
-cap "XM2/a_n989_n100#" "XM2/a_n931_n197#" 364.448
-cap "XM2/a_n989_n100#" "XM2/a_n861_n100#" -1082.63
-cap "XM2/w_n1127_n319#" "XM2/a_n931_n197#" -195.246
-cap "XM2/w_n1127_n319#" "XM2/a_n861_n100#" 80.8811
-cap "XM2/a_n931_n197#" "XR19/a_n285_760#" 50.4845
-cap "XR19/a_n285_760#" "XM2/a_n861_n100#" 8.8618
-cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 130.019
-cap "XR19/a_n415_n1322#" "XM2/a_n861_n100#" 15.6019
-cap "XR19/a_n285_760#" "XR19/a_n285_n1192#" -4.89568
-cap "XM2/a_n989_n100#" "XM2/w_n1127_n319#" -176.117
-cap "XM2/a_n989_n100#" "XR19/a_n285_760#" 5.70284
-cap "XM2/w_n1127_n319#" "XR19/a_n285_760#" 205.459
-cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 20.1743
-cap "XR19/a_n415_n1322#" "XM2/w_n1127_n319#" 599.139
-cap "XM3/a_n861_n100#" "XM29/a_n861_n100#" -65.9352
-cap "XR19/a_n415_n1322#" "XM3/a_n989_n100#" 1.78837
-cap "XM3/a_n861_n100#" "XM3/w_n1127_n319#" 36.0789
-cap "XM2/a_n221_n100#" "XR19/a_n285_760#" 0.056696
-cap "XM3/w_n1127_n319#" "XM29/a_n861_n100#" 33.7832
-cap "XM2/a_n931_n197#" "XM3/a_n861_n100#" 46.9276
-cap "XM3/a_n861_n100#" "XR19/a_n285_760#" -4.71621
-cap "XR19/a_n285_n1192#" "XR19/a_n285_760#" -1.11722
-cap "XM2/a_n931_n197#" "XM29/a_n861_n100#" 5.63708
-cap "XM2/a_n931_n197#" "XM3/w_n1127_n319#" 416.482
-cap "XM3/w_n1127_n319#" "XR19/a_n285_760#" 49.8188
-cap "XM3/w_n1127_n319#" "XM3/a_n989_n100#" 1.63294
-cap "XM2/a_n931_n197#" "XR19/a_n285_760#" -19.2407
-cap "XM2/a_n931_n197#" "XM3/a_n989_n100#" 0.436824
-cap "XM3/a_n989_n100#" "XR19/a_n285_760#" -1.60446
-cap "XM3/a_n861_n100#" "XR19/a_n415_n1322#" 5.48816
-cap "XM3/w_n1127_n319#" "XR19/a_n415_n1322#" 400.038
-cap "XM2/a_n931_n197#" "XR19/a_n415_n1322#" 15.9495
-cap "XM2/a_n477_n100#" "XR19/a_n285_760#" -0.976068
-cap "XR19/a_n415_n1322#" "XR19/a_n285_760#" 39.0862
-merge "XM3/a_n291_n197#" "XM3/a_n419_n197#" -151992 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -230759072 -32400 0 0 0 0 0 0 0 0 0 0
+cap "VCTRL" "li_18715_4315#" 1.75116
+cap "GND" "m1_19235_6325#" 24.3761
+cap "li_19075_4105#" "m1_17860_4190#" 0.245133
+cap "li_18320_5030#" "BIASOUT" 1.41928
+cap "m1_17310_5240#" "li_17670_5030#" 22.4602
+cap "w_17100_7240#" "li_17670_5030#" 27.2145
+cap "li_20520_5545#" "li_20510_3935#" 4.62623
+cap "li_17670_7175#" "BIAS2V" 25.1379
+cap "li_19985_3930#" "m1_20160_2025#" 4.02089
+cap "GND" "li_18070_4315#" 12.6207
+cap "VDD" "li_17670_7175#" 11.3134
+cap "GND" "li_21265_3940#" 0.0981852
+cap "li_19870_4105#" "GND" 10.4628
+cap "w_17930_210#" "li_18070_245#" 37.3419
+cap "li_18070_n615#" "m1_17310_5240#" 1.52956
+cap "li_18070_n100#" "li_18070_245#" 11.3166
+cap "li_19075_4105#" "m1_17310_5240#" 6.31533
+cap "GND" "BIAS2V" 247.927
+cap "li_20520_5545#" "w_20550_2400#" 49.6629
+cap "li_18320_5030#" "li_18070_4315#" 5.97656
+cap "m1_16980_n520#" "BIASOUT" 1223.22
+cap "BIASOUT" "VCTRL" 132.473
+cap "GND" "w_17930_210#" 132.564
+cap "li_20520_5545#" "m1_19235_6325#" 9.94608
+cap "GND" "VDD" 533.713
+cap "m1_20160_2025#" "li_18715_4315#" 0.587343
+cap "GND" "li_18070_n100#" 4.81241
+cap "li_18320_5030#" "BIAS2V" 0.000170647
+cap "SUB" "m1_18270_400#" 23.1792
+cap "w_17930_210#" "li_18320_5030#" 2.63753
+cap "li_19985_3930#" "li_20510_3935#" 6.578
+cap "m1_18270_400#" "li_19370_n95#" 20.3046
+cap "VDD" "li_18320_5030#" 5.34679
+cap "m1_20160_2025#" "li_20510_3935#" 63.2413
+cap "m1_18270_400#" "m1_17310_5240#" 2304.76
+cap "w_17100_7240#" "m1_18270_400#" 21.9943
+cap "VCTRL" "li_18070_4315#" 4.47066
+cap "li_20520_5545#" "BIAS2V" 1.61385
+cap "m1_18270_400#" "li_20515_2435#" 5.38004
+cap "PSUB" "m1_19235_6325#" 192.076
+cap "li_19985_3930#" "BIASOUT" 4.06319
+cap "m1_16980_n520#" "BIAS2V" 5.61604
+cap "m1_20160_2025#" "BIASOUT" 11.8367
+cap "BIAS2V" "VCTRL" 13.814
+cap "w_20550_2400#" "li_19985_3930#" 2.21356
+cap "li_20520_5545#" "VDD" 2.37312
+cap "li_18320_5030#" "li_17670_5030#" 0.487572
+cap "w_20550_2400#" "m1_20160_2025#" 193.686
+cap "li_18315_7170#" "BIAS2V" 28.6679
+cap "w_17930_210#" "m1_16980_n520#" 91.7449
+cap "m1_16980_n520#" "VDD" 10.3248
+cap "GND" "li_18070_n615#" 19.9855
+cap "w_17930_210#" "VCTRL" 257.74
+cap "GND" "li_19075_4105#" 10.8488
+cap "VDD" "VCTRL" 35.0838
+cap "m1_16980_n520#" "li_18070_n100#" 8.01624
+cap "m1_20160_2025#" "m1_19235_6325#" 1694.21
+cap "VDD" "li_18315_7170#" 13.0377
+cap "PSUB" "BIAS2V" 77.1827
+cap "BIASOUT" "li_18715_4315#" 7.53979
+cap "m1_16980_n520#" "li_17535_4310#" 4.32073
+cap "m1_17860_4190#" "m1_17310_5240#" 42.0426
+cap "w_17100_7240#" "m1_17860_4190#" 0.265615
+cap "SUB" "li_19370_n95#" 78.8428
+cap "li_17535_4310#" "VCTRL" 17.1018
+cap "SUB" "m1_17310_5240#" 17.2835
+cap "VDD" "PSUB" 96.0558
+cap "li_19870_4105#" "m1_20160_2025#" 11.343
+cap "m1_16980_n520#" "li_17670_5030#" 6.20056
+cap "VOP" "m1_20160_2025#" 893.982
+cap "m1_17310_5240#" "li_19370_n95#" 2.544
+cap "m1_20160_2025#" "li_21265_3940#" 5.05177
+cap "VCTRL" "li_17670_5030#" 2.85778
+cap "BIASOUT" "li_20510_3935#" 0.396424
+cap "li_19985_3930#" "BIAS2V" 2.10375
+cap "BIAS2V" "m1_20160_2025#" 2045.27
+cap "w_20550_2400#" "li_20510_3935#" 101.397
+cap "w_17100_7240#" "m1_17310_5240#" 643.286
+cap "li_20520_5545#" "AMP" 3.79354
+cap "GND" "m1_18270_400#" 1589.71
+cap "li_19985_3930#" "VDD" 14.3282
+cap "li_18070_4315#" "li_18715_4315#" 18.2839
+cap "VDD" "m1_20160_2025#" 4726.01
+cap "m1_16980_n520#" "li_18070_n615#" 4.22053
+cap "m1_16980_n520#" "li_19075_4105#" 1.13973
+cap "li_19075_4105#" "VCTRL" 1.3446
+cap "w_20550_2400#" "BIASOUT" 2.79982
+cap "BIAS2V" "li_18715_4315#" 2.93785
+cap "li_18320_5030#" "m1_18270_400#" 2.85778
+cap "li_21265_3940#" "li_20510_3935#" 5.25787
+cap "w_17930_210#" "li_18715_4315#" 2.4905
+cap "VDD" "li_18715_4315#" 18.0723
+cap "li_18070_245#" "SUB" 1.01651
+cap "w_20550_2400#" "m1_19235_6325#" 15.8723
+cap "BIAS2V" "li_20510_3935#" 10.3415
+cap "li_17670_7175#" "m1_17310_5240#" 7.25847
+cap "li_18070_245#" "m1_17310_5240#" 14.2863
+cap "w_17100_7240#" "li_17670_7175#" 32.3946
+cap "li_19870_4105#" "BIASOUT" 4.0918
+cap "VDD" "li_20510_3935#" 2.34873
+cap "m1_16980_n520#" "m1_18270_400#" 175.609
+cap "GND" "SUB" 22.9441
+cap "li_19870_4105#" "w_20550_2400#" 4.89884
+cap "w_20550_2400#" "VOP" 24.8024
+cap "w_20550_2400#" "li_21265_3940#" 2.23012
+cap "m1_20160_2025#" "AMP" 889.791
+cap "m1_18270_400#" "VCTRL" 584.415
+cap "BIAS2V" "BIASOUT" 70.8152
+cap "GND" "li_19370_n95#" 46.6618
+cap "li_19075_4105#" "m1_20160_2025#" 1.60999
+cap "w_20550_2400#" "BIAS2V" 68.0124
+cap "li_19870_4105#" "m1_19235_6325#" 0.268412
+cap "VOP" "m1_19235_6325#" 8.44569
+cap "GND" "m1_17310_5240#" 453.347
+cap "m1_19235_6325#" "li_21265_3940#" 3.63226
+cap "w_17930_210#" "BIASOUT" 43.7668
+cap "GND" "li_20515_2435#" 2.27486
+cap "VDD" "BIASOUT" 140.336
+cap "BIAS2V" "m1_19235_6325#" 683.058
+cap "li_18070_n100#" "BIASOUT" 3.93104
+cap "w_20550_2400#" "VDD" 7.30484
+cap "li_18320_5030#" "m1_17310_5240#" 27.764
+cap "w_17100_7240#" "li_18320_5030#" 35.3626
+cap "VDD" "m1_19235_6325#" 1012.33
+cap "VOP" "li_21265_3940#" 0.162969
+cap "BIAS2V" "li_18070_4315#" 3.42453
+cap "m1_16980_n520#" "m1_17860_4190#" 18.8498
+cap "li_19870_4105#" "BIAS2V" 3.80188
+cap "VOP" "BIAS2V" 154
+cap "m1_17860_4190#" "VCTRL" 66
+cap "BIAS2V" "li_21265_3940#" 1.93058
+cap "li_19985_3930#" "m1_18270_400#" 0.539136
+cap "w_17930_210#" "li_18070_4315#" 41.0106
+cap "m1_18270_400#" "m1_20160_2025#" 1605.42
+cap "m1_16980_n520#" "SUB" 23.4756
+cap "li_19870_4105#" "w_17930_210#" 0.0702626
+cap "VDD" "li_18070_4315#" 18.8126
+cap "m1_16980_n520#" "li_19370_n95#" 0.702498
+cap "SUB" "VCTRL" 3.37835
+cap "VDD" "li_21265_3940#" 0.886708
+cap "li_19870_4105#" "VDD" 6.15584
+cap "VDD" "VOP" 33.6489
+cap "w_17100_7240#" "li_20520_5545#" 0.0493671
+cap "VCTRL" "li_19370_n95#" 0.447705
+cap "w_17930_210#" "BIAS2V" 3.66124
+cap "GND" "li_18070_245#" 2.84674
+cap "m1_16980_n520#" "m1_17310_5240#" 4604.23
+cap "VDD" "BIAS2V" 5822.22
+cap "li_17535_4310#" "li_18070_4315#" 34.7295
+cap "w_17100_7240#" "m1_16980_n520#" 1.50026
+cap "m1_17310_5240#" "VCTRL" 2084.98
+cap "li_18070_n615#" "BIASOUT" 0.611458
+cap "li_19075_4105#" "BIASOUT" 16.9286
+cap "w_17100_7240#" "VCTRL" 17.0362
+cap "w_20550_2400#" "AMP" 24.8348
+cap "m1_18270_400#" "li_18715_4315#" 1.96817
+cap "li_18315_7170#" "m1_17310_5240#" 6.73228
+cap "w_17930_210#" "VDD" 14.3919
+cap "w_20550_2400#" "li_19075_4105#" 0.28063
+cap "li_17535_4310#" "BIAS2V" 2.88317
+cap "w_17100_7240#" "li_18315_7170#" 45.0947
+cap "w_17930_210#" "li_18070_n100#" 6.70842
+cap "li_17670_5030#" "li_18070_4315#" 2.8022
+cap "AMP" "m1_19235_6325#" 778.193
+cap "w_17930_210#" "li_17535_4310#" 2.66072
+cap "PSUB" "m1_17310_5240#" 8.32169
+cap "VDD" "li_17535_4310#" 4.06939
+cap "w_17100_7240#" "PSUB" 60.7685
+cap "AMP" "li_21265_3940#" 0.171704
+cap "li_19870_4105#" "AMP" 1.06829
+cap "VDD" "li_17670_5030#" 5.27051
+cap "VOP" "AMP" 13.3671
+cap "li_19870_4105#" "li_19075_4105#" 5.33947
+cap "li_19985_3930#" "m1_17310_5240#" 0.0627088
+cap "BIAS2V" "AMP" 154
+cap "m1_18270_400#" "BIASOUT" 3181.79
+cap "m1_17310_5240#" "m1_20160_2025#" 0.46777
+cap "m1_16980_n520#" "li_18070_245#" 6.95488
+cap "w_17100_7240#" "m1_20160_2025#" 0.844293
+cap "li_19075_4105#" "BIAS2V" 1.29079
+cap "li_17535_4310#" "li_17670_5030#" 5.97656
+cap "w_20550_2400#" "m1_18270_400#" 8.7999
+cap "m1_20160_2025#" "li_20515_2435#" 24.4448
+cap "li_17670_7175#" "li_18315_7170#" 1.72297
+cap "w_17930_210#" "li_18070_n615#" 4.15609
+cap "VDD" "AMP" 33.6822
+cap "w_17930_210#" "li_19075_4105#" 8.35095
+cap "m1_18270_400#" "m1_19235_6325#" 35.4851
+cap "VDD" "li_19075_4105#" 2.65986
+cap "li_18070_n615#" "li_18070_n100#" 7.52953
+cap "GND" "m1_16980_n520#" 4017.63
+cap "m1_17310_5240#" "li_18715_4315#" 41.3279
+cap "GND" "VCTRL" 27.8118
+cap "w_17100_7240#" "li_18715_4315#" 11.9467
+cap "m1_18270_400#" "li_18070_4315#" 2.59527
+cap "m1_18270_400#" "li_21265_3940#" 3.65149
+cap "li_19870_4105#" "m1_18270_400#" 0.757526
+cap "VOP" "m1_18270_400#" 777.248
+cap "m1_17860_4190#" "BIASOUT" 0.191515
+cap "m1_18270_400#" "BIAS2V" 107.023
+cap "li_20515_2435#" "li_20510_3935#" 4.35532
+cap "SUB" "BIASOUT" 109.873
+cap "BIASOUT" "li_19370_n95#" 69.64
+cap "w_17930_210#" "m1_18270_400#" 259.94
+cap "VDD" "m1_18270_400#" 106.603
+cap "m1_17310_5240#" "BIASOUT" 4445.54
+cap "w_17100_7240#" "BIASOUT" 21.06
+cap "GND" "li_19985_3930#" 12.2365
+cap "BIASOUT" "li_20515_2435#" 0.161286
+cap "GND" "m1_20160_2025#" 139.734
+cap "li_17535_4310#" "m1_18270_400#" 1.34909
+cap "w_20550_2400#" "li_20515_2435#" 48.2155
+cap "m1_16980_n520#" "VCTRL" 2650.77
+cap "m1_17310_5240#" "m1_19235_6325#" 13.634
+cap "w_17100_7240#" "m1_19235_6325#" 28.393
+cap "GND" "li_18715_4315#" 38.2729
+cap "w_17930_210#" "m1_17860_4190#" 0.119711
+cap "m1_17310_5240#" "li_18070_4315#" 123.856
+cap "li_19870_4105#" "m1_17310_5240#" 0.243106
+cap "w_17100_7240#" "li_18070_4315#" 21.279
+cap "VOP" "li_20515_2435#" 3.78832
+cap "w_17930_210#" "SUB" 29.0812
+cap "m1_18270_400#" "AMP" 8.57341
+cap "BIAS2V" "m1_17310_5240#" 3904.77
+cap "li_18320_5030#" "li_18715_4315#" 3.33022
+cap "w_17930_210#" "li_19370_n95#" 4.18084
+cap "GND" "li_20510_3935#" 5.05465
+cap "li_19075_4105#" "m1_18270_400#" 3.69123
+cap "w_17100_7240#" "BIAS2V" 973.123
+cap "li_18070_n100#" "SUB" 1.01651
+cap "li_18070_245#" "BIASOUT" 4.17713
+cap "BIAS2V" "li_20515_2435#" 1.69435
+cap "li_20520_5545#" "m1_20160_2025#" 23.8073
+cap "w_17930_210#" "m1_17310_5240#" 533.199
+cap "VDD" "m1_17310_5240#" 9095.93
+cap "w_17100_7240#" "VDD" 751.333
+cap "li_18070_n100#" "m1_17310_5240#" 3.22222
+cap "VDD" "li_20515_2435#" 2.06356
+cap "GND" "BIASOUT" 3839.77
+cap "li_17535_4310#" "m1_17310_5240#" 80.0891
+cap "GND" "w_20550_2400#" 17.8063
+cap "w_17100_7240#" "li_17535_4310#" 0.692198
+cap "w_17930_210#" "XM39/a_n509_n100#" 63.0764
+cap "w_17930_210#" "XM38/a_355_n100#" 6.61037
+cap "w_17930_210#" "XM37/a_355_n100#" 245.139
+cap "w_17930_210#" "XM37/a_285_n197#" 10.3403
+cap "XM39/a_n509_n100#" "XM38/a_355_n100#" 8.22148
+cap "XM37/a_355_n100#" "XM39/a_n509_n100#" -766.137
+cap "XM37/a_355_n100#" "XM38/a_355_n100#" 16.4329
+cap "XM37/a_483_n100#" "XM39/a_n611_n274#" 27.4197
+cap "XM37/a_285_n197#" "XM38/a_355_n100#" 1.57943
+cap "XM39/a_n509_n100#" "XM37/a_285_n197#" 20.4253
+cap "XM37/a_355_n100#" "XM37/a_285_n197#" 52.1015
+cap "w_17930_210#" "XM39/a_n611_n274#" 122.05
+cap "XM38/a_285_n197#" "w_17930_210#" 0.528289
+cap "w_17930_210#" "XM37/a_483_n100#" 3.89438
+cap "XM38/a_285_n197#" "XM37/a_355_n100#" 1.54875
+cap "XM39/a_n509_n100#" "XM39/a_n611_n274#" 174.044
+cap "XM39/a_n611_n274#" "XM38/a_355_n100#" 16.9826
+cap "XM37/a_355_n100#" "XM39/a_n611_n274#" 317.049
+cap "XM37/a_483_n100#" "XM39/a_n509_n100#" 4.10343
+cap "XM37/a_355_n100#" "XM37/a_483_n100#" -18.4061
+cap "XM37/a_285_n197#" "XM39/a_n611_n274#" 53.4984
+cap "XM38/a_285_n197#" "XM37/a_285_n197#" 3.7061
+cap "XM39/a_n509_n100#" "XM37/a_483_n100#" 2.05266
+cap "w_17930_210#" "XM37/a_285_n197#" 0.183382
+cap "XM39/a_n509_n100#" "XM38/a_355_n100#" -826.735
+cap "XM39/a_n509_n100#" "XM37/a_285_n197#" 0.837151
+cap "XM37/a_355_n100#" "w_17930_210#" 139.798
+cap "XM39/a_n509_n100#" "XM37/a_355_n100#" 142.979
+cap "XM39/a_n611_n274#" "XM38/a_285_n197#" 79.7263
+cap "XM39/a_n611_n274#" "XM37/a_483_n100#" 24.6745
+cap "XM39/a_n509_n100#" "w_17930_210#" 59.145
+cap "XM39/a_n611_n274#" "XM37/a_285_n197#" 0.187692
+cap "XM38/a_355_n100#" "XM39/a_n611_n274#" 187.865
+cap "XM39/a_n611_n274#" "XM37/a_355_n100#" 52.0257
+cap "XM39/a_n611_n274#" "w_17930_210#" 124.074
+cap "XM39/a_n509_n100#" "XM39/a_n611_n274#" 200.584
+cap "XM38/a_355_n100#" "XM38/a_285_n197#" 67.454
+cap "XM38/a_285_n197#" "XM37/a_285_n197#" 3.70565
+cap "XM37/a_355_n100#" "XM38/a_285_n197#" 55.9031
+cap "XM38/a_355_n100#" "XM37/a_483_n100#" -30.4956
+cap "XM38/a_355_n100#" "XM37/a_285_n197#" 1.54621
+cap "XM37/a_355_n100#" "XM37/a_483_n100#" 15.0298
+cap "XM38/a_355_n100#" "XM37/a_355_n100#" 103.888
+cap "XM38/a_285_n197#" "w_17930_210#" 12.4124
+cap "XM39/a_n509_n100#" "XM38/a_285_n197#" 21.3411
+cap "XM37/a_483_n100#" "w_17930_210#" 5.18536
+cap "XM38/a_355_n100#" "w_17930_210#" 98.5446
+cap "XM38/a_355_n100#" "XM38/a_285_n197#" 8.6655
+cap "XM38/a_355_n100#" "XM1/w_n1127_n319#" 0.850001
+cap "XM40/a_n611_n274#" "XM38/a_355_n100#" 4.93462
+cap "XM38/a_285_n197#" "XM40/a_447_n100#" 0.962629
+cap "XM40/a_n611_n274#" "XM40/a_447_n100#" 0.236658
+cap "w_17930_210#" "XM39/a_n509_n100#" 3.98951
+cap "XM37/a_n1053_n100#" "XM39/a_n509_n100#" 3.93222
+cap "w_17930_210#" "XM39/a_n611_n274#" 1.7187
+cap "XM37/a_n1053_n100#" "XM39/a_n611_n274#" 11.6445
+cap "XM38/a_n925_n100#" "XM37/a_n925_n100#" 4.03095
+cap "XM38/a_n1123_n197#" "XM37/a_n1123_n197#" 119.896
+cap "XM38/a_n925_n100#" "w_17930_210#" 26.5198
+cap "XM38/a_n925_n100#" "XM37/a_n1053_n100#" 0.979633
+cap "XM37/a_n1053_n100#" "XM37/a_n925_n100#" -693.657
+cap "w_17930_210#" "XM37/a_n925_n100#" 168.768
+cap "XM39/a_n509_n100#" "XM37/a_n1123_n197#" 4.55105
+cap "XM39/a_n611_n274#" "XM37/a_n1123_n197#" 6.38495
+cap "w_17930_210#" "XM37/a_n1053_n100#" 70.4218
+cap "XM38/a_n925_n100#" "XM37/a_n1123_n197#" 40.176
+cap "XM37/a_n925_n100#" "XM37/a_n1123_n197#" 75.9182
+cap "w_17930_210#" "XM37/a_n1123_n197#" 258.596
+cap "XM38/a_n1123_n197#" "XM37/a_n925_n100#" 7.95257
+cap "XM37/a_n1053_n100#" "XM37/a_n1123_n197#" 140.248
+cap "XM38/a_n1123_n197#" "w_17930_210#" 34.849
+cap "XM38/a_n1123_n197#" "XM37/a_n1053_n100#" 1.8577
+cap "XM39/a_n509_n100#" "XM37/a_n925_n100#" 1.41441
+cap "XM39/a_n611_n274#" "XM37/a_n925_n100#" 1.46726
+cap "w_17930_210#" "XM1/w_n1127_n319#" 0.53828
+cap "XM1/w_n1127_n319#" "XM37/a_n1053_n100#" 0.0554614
+cap "XM37/a_n1123_n197#" "w_17930_210#" 6.13877
+cap "XM37/a_n1123_n197#" "XM37/a_n1053_n100#" 1.03085
+cap "XM38/a_n1123_n197#" "XM1/w_n1127_n319#" 1.53718
+cap "XM37/a_n1123_n197#" "XM38/a_n1123_n197#" 100.776
+cap "XM37/a_n1123_n197#" "XM39/a_n509_n100#" 21.6739
+cap "w_17930_210#" "XM37/a_n1053_n100#" -2.29841
+cap "XM1/w_n1127_n319#" "XM39/a_n611_n274#" 3.82827
+cap "XM38/a_n1123_n197#" "XM37/a_n1053_n100#" 75.5369
+cap "w_17930_210#" "XM38/a_n1123_n197#" 371.001
+cap "XM38/a_n925_n100#" "XM1/w_n1127_n319#" 4.25377
+cap "XM37/a_n1123_n197#" "XM39/a_n611_n274#" 8.67721
+cap "w_17930_210#" "XM39/a_n509_n100#" 54.2427
+cap "XM39/a_n509_n100#" "XM37/a_n1053_n100#" 24.648
+cap "XM38/a_n1123_n197#" "XM39/a_n509_n100#" 273.209
+cap "XM37/a_n1123_n197#" "XM38/a_n925_n100#" 7.00693
+cap "w_17930_210#" "XM39/a_n611_n274#" 132.638
+cap "w_17930_210#" "XM37/a_n925_n100#" 8.78983
+cap "XM37/a_n1053_n100#" "XM39/a_n611_n274#" 12.9787
+cap "XM37/a_n1053_n100#" "XM37/a_n925_n100#" 3.779
+cap "XM38/a_n925_n100#" "XM37/a_n1053_n100#" -705.823
+cap "w_17930_210#" "XM38/a_n925_n100#" 82.7707
+cap "XM38/a_n1123_n197#" "XM39/a_n611_n274#" 126.149
+cap "XM39/a_n509_n100#" "XM39/a_n611_n274#" 74.0864
+cap "XM38/a_n925_n100#" "XM38/a_n1123_n197#" 153.627
+cap "XM38/a_n1123_n197#" "XM37/a_n925_n100#" 51.1301
+cap "XM39/a_n509_n100#" "XM37/a_n925_n100#" 3.92817
+cap "XM38/a_n925_n100#" "XM39/a_n509_n100#" 15.6101
+cap "XM39/a_n611_n274#" "XM37/a_n925_n100#" 5.23511
+cap "XM38/a_n925_n100#" "XM39/a_n611_n274#" 53.6546
+cap "XM38/a_n925_n100#" "XM37/a_n925_n100#" 5.8834
+cap "XM1/w_n1127_n319#" "XM40/a_n611_n274#" 16.552
+cap "XM1/w_n1127_n319#" "XM38/a_n925_n100#" 0.37354
+cap "XM1/w_n1127_n319#" "XM1/a_n547_n197#" 3.92173
+cap "XM1/w_n1127_n319#" "XM38/a_n1123_n197#" 105.576
+cap "XM1/a_n477_n100#" "XM38/a_n1123_n197#" 6.2149
+cap "XM40/a_n611_n274#" "XM38/a_n1053_n100#" 2.18885
+cap "XM30/a_n157_n100#" "XM38/a_n1123_n197#" 0.885892
+cap "XM1/w_n1127_n319#" "w_17930_210#" 0.0599728
+cap "XM40/a_447_n100#" "XM40/a_n611_n274#" 50.7005
+cap "XM1/w_n1127_n319#" "XM1/a_n477_n100#" 5.97255
+cap "XM30/a_n227_n197#" "XM38/a_n1123_n197#" 1.47399
+cap "XM1/a_n349_n100#" "XM38/a_n1123_n197#" 7.67144
+cap "XM40/a_447_n100#" "XM38/a_n925_n100#" -0.00152099
+cap "XM40/a_447_n100#" "XM38/a_n1123_n197#" 47.312
+cap "XM40/a_n611_n274#" "XM38/a_n925_n100#" 22.4973
+cap "XM1/w_n1127_n319#" "XM38/a_n1053_n100#" 0.014693
+cap "XM40/a_n611_n274#" "XM38/a_n1123_n197#" 92.3082
+cap "XM1/w_n1127_n319#" "XM1/a_n349_n100#" 8.81198
+cap "XM38/a_n925_n100#" "XM38/a_n1123_n197#" 1.66142
+cap "XM1/a_n547_n197#" "XM38/a_n1123_n197#" 21.36
+cap "XM1/w_n1127_n319#" "XM40/a_447_n100#" 29.5816
+cap "w_17930_210#" "XM40/a_n611_n274#" 10.8086
+cap "XM38/a_n1949_n100#" "XM37/a_n1821_n100#" 0.90523
+cap "XM37/a_n1949_n100#" "XM37/w_n2087_n319#" 165.777
+cap "XR19/a_n285_n1192#" "XM37/a_n1821_n100#" 0.246391
+cap "XM37/a_n1949_n100#" "XM37/a_n1891_n197#" 59.0391
+cap "XM37/a_n1949_n100#" "XM38/a_n1891_n197#" 6.36293
+cap "XM37/a_n1949_n100#" "XR19/a_n415_n1322#" 0.664464
+cap "XM37/a_n1949_n100#" "XM38/a_n1949_n100#" 4.92328
+cap "XM37/w_n2087_n319#" "XM37/a_n1891_n197#" 259.237
+cap "XM37/a_n1949_n100#" "XM37/a_n1821_n100#" -707.927
+cap "XM37/w_n2087_n319#" "XR19/a_n415_n1322#" 1.70918
+cap "XM37/w_n2087_n319#" "XM38/a_n1891_n197#" 17.9412
+cap "XR19/a_n415_n1322#" "XM37/a_n1891_n197#" 6.10754
+cap "XM38/a_n1891_n197#" "XM37/a_n1891_n197#" 99.4732
+cap "XM37/a_n1949_n100#" "XR19/a_n285_n1192#" 0.325858
+cap "XM37/w_n2087_n319#" "XM38/a_n1949_n100#" 29.9777
+cap "XM37/w_n2087_n319#" "XM37/a_n1821_n100#" 65.007
+cap "XM37/a_n1891_n197#" "XM38/a_n1949_n100#" 44.3198
+cap "XM37/a_n1891_n197#" "XM37/a_n1821_n100#" 138.341
+cap "XR19/a_n415_n1322#" "XM37/a_n1821_n100#" 0.166577
+cap "XM37/w_n2087_n319#" "XR19/a_n285_n1192#" 11.5359
+cap "XM38/a_n1891_n197#" "XM37/a_n1821_n100#" 0.859094
+cap "XR19/a_n285_n1192#" "XM37/a_n1891_n197#" 8.42426
+cap "XM38/a_n1949_n100#" "XM38/a_n1891_n197#" 135.537
+cap "XM37/a_n1821_n100#" "XM1/a_n989_n100#" 0.000618161
+cap "XR19/a_n415_n1322#" "XM38/a_n1891_n197#" 52.7734
+cap "XM37/a_n1821_n100#" "XM37/a_n1949_n100#" 0.907297
+cap "XR19/a_n285_n1192#" "XM37/a_n1949_n100#" 4.48375
+cap "XM37/a_n1821_n100#" "XM37/w_n2087_n319#" -0.209032
+cap "XR19/a_n285_n1192#" "XM37/w_n2087_n319#" 93.2383
+cap "XM1/a_n861_n100#" "XM37/a_n1821_n100#" 0.0597476
+cap "XM37/a_n1821_n100#" "XM1/a_n931_n197#" 0.0183612
+cap "XM37/a_n1891_n197#" "XM37/a_n1821_n100#" 0.859074
+cap "XM37/a_n1891_n197#" "XR19/a_n285_n1192#" 16.1749
+cap "XM1/a_n989_n100#" "XM37/w_n2087_n319#" 0.655178
+cap "XM1/w_n1127_n319#" "XM37/a_n1821_n100#" 0.350475
+cap "XM38/a_n1949_n100#" "XR19/a_n285_n1192#" 18.0077
+cap "XM38/a_n1949_n100#" "XM37/a_n1821_n100#" -709.152
+cap "XR19/a_n415_n1322#" "XM37/a_n1821_n100#" 25.8857
+cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" 123.157
+cap "XM38/a_n1891_n197#" "XM37/a_n1821_n100#" 75.5407
+cap "XM38/a_n1949_n100#" "XM1/a_n989_n100#" 4.41939
+cap "XM38/a_n1891_n197#" "XR19/a_n285_n1192#" 73.3822
+cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 14.1728
+cap "XM37/a_n1949_n100#" "XM37/w_n2087_n319#" 9.67495
+cap "XM1/a_n861_n100#" "XM37/w_n2087_n319#" 3.98347
+cap "XM38/a_n1891_n197#" "XM1/a_n989_n100#" 0.28501
+cap "XM1/a_n931_n197#" "XM37/w_n2087_n319#" 3.24647
+cap "XM37/a_n1891_n197#" "XM37/w_n2087_n319#" 6.13877
+cap "XM1/w_n1127_n319#" "XM37/w_n2087_n319#" 6.82759
+cap "XM38/a_n1949_n100#" "XM37/a_n1949_n100#" 4.92441
+cap "XM38/a_n1949_n100#" "XM37/w_n2087_n319#" 109.461
+cap "XR19/a_n415_n1322#" "XM37/a_n1949_n100#" 5.17269
+cap "XM38/a_n1949_n100#" "XM1/a_n861_n100#" 4.61253
+cap "XM38/a_n1891_n197#" "XM37/a_n1949_n100#" 44.8725
+cap "XR19/a_n415_n1322#" "XM1/a_n861_n100#" 24.2792
+cap "XR19/a_n415_n1322#" "XM37/w_n2087_n319#" 147.369
+cap "XM38/a_n1949_n100#" "XM1/a_n931_n197#" 15.2848
+cap "XM38/a_n1949_n100#" "XM37/a_n1891_n197#" 6.35452
+cap "XM37/a_n1891_n197#" "XR19/a_n415_n1322#" 10.7721
+cap "XM38/a_n1891_n197#" "XM1/a_n861_n100#" 2.47169
+cap "XM38/a_n1891_n197#" "XM37/w_n2087_n319#" 218.609
+cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 28.1456
+cap "XM37/a_n1891_n197#" "XM38/a_n1891_n197#" 99.4575
+cap "XM38/a_n1949_n100#" "XM1/w_n1127_n319#" 34.6781
+cap "XM38/a_n1891_n197#" "XM1/a_n931_n197#" 7.19015
+cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 45.2151
+cap "XM37/a_n1821_n100#" "XR19/a_n285_n1192#" 16.0194
+cap "XM38/a_n1949_n100#" "XR19/a_n415_n1322#" 75.0756
+cap "XM38/a_n1891_n197#" "XM1/w_n1127_n319#" 20.5753
+cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 9.02109
+cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 32.0626
+cap "XM38/a_n1949_n100#" "XM1/a_n989_n100#" 0.329711
+cap "XM38/a_n1949_n100#" "XM1/a_n861_n100#" 1.74869
+cap "XM38/a_n1949_n100#" "XM1/a_n931_n197#" 10.6921
+cap "XM1/a_n861_n100#" "XM1/w_n1127_n319#" 121.862
+cap "XM30/a_n611_n197#" "XM31/a_29_n197#" 0.366697
+cap "XM38/a_n1891_n197#" "XM1/a_n861_n100#" 13.8742
+cap "XM1/a_n989_n100#" "XM1/w_n1127_n319#" 197.736
+cap "XM1/a_n931_n197#" "XM1/w_n1127_n319#" 242.677
+cap "XM38/a_n1891_n197#" "XM1/a_n989_n100#" -218.752
+cap "XM31/a_n29_n100#" "XM30/a_n611_n197#" 0.00422973
+cap "XM38/a_n1891_n197#" "XM1/a_n931_n197#" 45.9335
+cap "XM38/a_n1821_n100#" "XR19/a_n415_n1322#" 4.48397
+cap "XM30/a_n611_n197#" "XR19/a_n285_n1192#" 8.40052
+cap "XM38/a_n1821_n100#" "XM1/w_n1127_n319#" 0.165639
+cap "XM38/a_n1949_n100#" "XR19/a_n415_n1322#" 32.2778
+cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 347.546
+cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 11.2971
+cap "XR19/a_n415_n1322#" "XM38/a_n1891_n197#" 17.3893
+cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" 53.5865
+cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 57.6989
+cap "XM31/a_29_n197#" "XM1/a_n989_n100#" 0.504665
+cap "XM38/w_n2087_n319#" "XM1/a_n861_n100#" 15.2756
+cap "XM38/w_n2087_n319#" "XM1/a_n989_n100#" 0.322224
+cap "XM38/a_n1949_n100#" "XM1/w_n1127_n319#" 3.17615
+cap "XM38/w_n2087_n319#" "XM1/a_n931_n197#" 15.8488
+cap "XM31/a_n29_n100#" "XM1/a_n989_n100#" 0.316517
+cap "XM1/a_n861_n100#" "XR19/a_n285_n1192#" 17.0487
+cap "XM38/a_n1891_n197#" "XM1/w_n1127_n319#" 59.532
+cap "XM1/a_n989_n100#" "XR19/a_n285_n1192#" 13.0101
+cap "XM1/a_n931_n197#" "XR19/a_n285_n1192#" 187.49
+cap "XR19/a_n415_n1322#" "XM30/a_n611_n197#" 5.75435
+cap "XM1/a_n989_n100#" "XM1/a_n861_n100#" -666.053
+cap "XR19/a_n415_n1322#" "XM38/w_n2087_n319#" 22.2652
+cap "XM1/a_n931_n197#" "XM1/a_n989_n100#" 125.238
+cap "XM1/a_n931_n197#" "XM1/a_n861_n100#" 38.0171
+cap "XM30/a_n611_n197#" "XM1/w_n1127_n319#" 145.402
+cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" 118.634
+cap "XM30/a_n611_n197#" "XM38/a_n1891_n197#" 12.9692
+cap "XM38/a_n1891_n197#" "XM31/a_29_n197#" 0.61219
+cap "XM38/w_n2087_n319#" "XM1/w_n1127_n319#" 1.70145
+cap "XM31/a_n29_n100#" "XM38/a_n1891_n197#" 0.354002
+cap "XM38/a_n1821_n100#" "XM1/a_n861_n100#" 1.2725
+cap "XR19/a_n285_n1192#" "XM1/w_n1127_n319#" 124.968
+cap "XM38/a_n1821_n100#" "XM1/a_n931_n197#" 0.165552
+cap "XM38/a_n1891_n197#" "XR19/a_n285_n1192#" 1.52852
+cap "XM38/a_n1821_n100#" "XM1/a_n989_n100#" 0.00066351
+cap "XR19/a_n415_n1322#" "XM1/a_n861_n100#" 24.7881
+cap "XM30/a_n541_n100#" "XM30/a_n611_n197#" 42.8628
+cap "XM31/a_29_n197#" "XM1/a_n989_n100#" 0.277154
+cap "XM1/a_n931_n197#" "XM1/w_n1127_n319#" 5.85723
+cap "XM1/a_n989_n100#" "XM1/w_n1127_n319#" -1.14716
+cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 16.2083
+cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" -17.0167
+cap "XM1/a_n861_n100#" "XM1/w_n1127_n319#" 3.57021
+cap "XM31/a_n29_n100#" "XM30/a_n611_n197#" 0.123571
+cap "XM30/a_n541_n100#" "XM1/a_n989_n100#" -126.313
+cap "XR20/a_n285_1140#" "XM1/w_n1127_n319#" 0.131591
+cap "XM31/a_n29_n100#" "XM30/a_n541_n100#" 0.385606
+cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 5.47221
+cap "XR20/a_n285_1140#" "XM30/a_n611_n197#" 1.2278
+cap "XM31/a_n29_n100#" "XM1/a_n989_n100#" 0.2716
+cap "XM31/a_29_n197#" "XM30/a_n611_n197#" 0.363339
+cap "XM30/a_n611_n197#" "XM1/w_n1127_n319#" 159.241
+cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 0.240803
+cap "XM30/a_n541_n100#" "XM31/a_29_n197#" 0.351676
+cap "XM30/a_n541_n100#" "XM1/w_n1127_n319#" 112.384
+cap "XM30/a_n611_n197#" "XR19/a_n415_n1322#" 1.26732
+cap "XM37/w_n2087_n319#" "XM38/a_n1891_n197#" 12.1098
+cap "XM37/a_n1949_n100#" "XM37/a_n1891_n197#" 58.7388
+cap "XM38/a_n1891_n197#" "XM37/a_n1891_n197#" 71.6027
+cap "XM2/a_35_n100#" "XM37/a_n1949_n100#" -448.822
+cap "XM38/a_n1891_n197#" "XM2/a_35_n100#" 0.687312
+cap "XM2/a_n35_n197#" "XM37/a_n1949_n100#" 0.682827
+cap "XM36/a_n93_n100#" "XM37/a_n1949_n100#" 0.666505
+cap "XM37/w_n2087_n319#" "XM36/a_n35_n197#" 2.60634
+cap "XM36/a_n35_n197#" "XM37/a_n1891_n197#" 13.1799
+cap "XM38/a_n1891_n197#" "XM37/a_n1949_n100#" 4.36051
+cap "XM37/w_n2087_n319#" "XM38/a_n1949_n100#" 21.6833
+cap "XM38/a_n1949_n100#" "XM37/a_n1891_n197#" 31.2108
+cap "XM2/a_35_n100#" "XM38/a_n1949_n100#" 0.724184
+cap "XM36/a_n35_n197#" "XM37/a_n1949_n100#" 1.64149
+cap "XM38/a_n1949_n100#" "XM37/a_n1949_n100#" 3.08206
+cap "XM37/w_n2087_n319#" "XR19/a_n285_n1192#" 18.1931
+cap "XM37/w_n2087_n319#" "XR19/a_n415_n1322#" 3.21903
+cap "XM37/a_n1891_n197#" "XR19/a_n285_n1192#" 11.3478
+cap "XR19/a_n415_n1322#" "XM37/a_n1891_n197#" 6.0288
+cap "XM37/w_n2087_n319#" "XM37/a_n1891_n197#" 337.892
+cap "XM2/a_35_n100#" "XR19/a_n285_n1192#" 0.324807
+cap "XM2/a_n93_n100#" "XM37/w_n2087_n319#" 1.68225
+cap "XM2/a_n93_n100#" "XM37/a_n1891_n197#" 1.96159
+cap "XR19/a_n415_n1322#" "XM2/a_35_n100#" 0.146564
+cap "XM37/w_n2087_n319#" "XM2/a_35_n100#" 103.244
+cap "XM2/a_35_n100#" "XM37/a_n1891_n197#" 108.664
+cap "XM37/w_n2087_n319#" "XM36/a_n93_n100#" 3.12949
+cap "XM37/w_n2087_n319#" "XM2/a_n35_n197#" 10.1553
+cap "XM2/a_n35_n197#" "XM37/a_n1891_n197#" 6.02427
+cap "XM36/a_n93_n100#" "XM37/a_n1891_n197#" 6.39605
+cap "XM2/a_35_n100#" "XM2/a_n35_n197#" 0.423057
+cap "XM37/a_n1949_n100#" "XR19/a_n285_n1192#" 0.629219
+cap "XM2/a_35_n100#" "XM36/a_n93_n100#" -0.0434335
+cap "XR19/a_n415_n1322#" "XM37/a_n1949_n100#" 0.549843
+cap "XM37/w_n2087_n319#" "XM37/a_n1949_n100#" 129.13
+cap "XM38/a_n1949_n100#" "XR19/a_n285_n1192#" 59.9979
+cap "XM37/a_n1891_n197#" "XM38/a_n1949_n100#" 4.35475
+cap "XM2/a_n93_n100#" "XM38/a_n1949_n100#" 0.016603
+cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 12.0246
+cap "XR19/a_n415_n1322#" "XM38/a_n1949_n100#" 54.1641
+cap "XM38/a_n1949_n100#" "XM3/a_n35_n197#" 9.50388
+cap "XM37/a_n1891_n197#" "XM2/a_35_n100#" 0.687296
+cap "XM2/a_n93_n100#" "XM2/a_35_n100#" 0.0112679
+cap "XM2/a_35_n100#" "XR19/a_n285_n1192#" 45.7734
+cap "XM1/w_n1127_n319#" "XM38/a_n1949_n100#" 37.7143
+cap "XR19/a_n415_n1322#" "XM2/a_35_n100#" 26.6921
+cap "XM2/a_35_n100#" "XM3/a_n35_n197#" 0.449006
+cap "XM37/a_n1891_n197#" "XR19/a_n285_n1192#" 6.97021
+cap "XM38/a_n1949_n100#" "XM37/a_n1949_n100#" 3.2019
+cap "XM1/a_n931_n197#" "XM38/a_n1891_n197#" 3.96251
+cap "XR19/a_n415_n1322#" "XM37/a_n1891_n197#" 7.22835
+cap "XM1/a_n931_n197#" "XM37/w_n2087_n319#" 2.14046
+cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" 124.865
+cap "XM38/a_n1949_n100#" "XM38/a_n1891_n197#" 94.6581
+cap "XM1/a_n989_n100#" "XM38/a_n1949_n100#" 4.27731
+cap "XM37/w_n2087_n319#" "XM38/a_n1949_n100#" 92.9501
+cap "XM1/w_n1127_n319#" "XM2/a_35_n100#" 3.40672
+cap "XM2/a_35_n100#" "XM37/a_n1949_n100#" 0.725838
+cap "XM1/a_n861_n100#" "XM38/a_n1949_n100#" 2.1765
+cap "XM3/a_n93_n100#" "XM38/a_n1891_n197#" 1.96159
+cap "XM2/a_35_n100#" "XM38/a_n1891_n197#" 65.1422
+cap "XM1/a_n989_n100#" "XM2/a_35_n100#" 0.152081
+cap "XM37/w_n2087_n319#" "XM3/a_n93_n100#" 2.04399
+cap "XM37/w_n2087_n319#" "XM2/a_35_n100#" 51.3072
+cap "XR19/a_n285_n1192#" "XM37/a_n1949_n100#" 2.11065
+cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 24.5058
+cap "XM37/a_n1891_n197#" "XM38/a_n1891_n197#" 71.591
+cap "XM2/a_n93_n100#" "XM38/a_n1891_n197#" 1.35909
+cap "XM37/w_n2087_n319#" "XM2/a_n93_n100#" 2.62334
+cap "XR19/a_n285_n1192#" "XM38/a_n1891_n197#" 87.7022
+cap "XR19/a_n415_n1322#" "XM37/a_n1949_n100#" 2.23738
+cap "XM2/a_35_n100#" "XM1/a_n861_n100#" 0.194687
+cap "XM37/w_n2087_n319#" "XM2/a_n35_n197#" 1.19886
+cap "XM37/w_n2087_n319#" "XR19/a_n285_n1192#" 74.8271
+cap "XM37/w_n2087_n319#" "XM37/a_n1891_n197#" 3.94505
+cap "XM3/a_n35_n197#" "XM38/a_n1891_n197#" 6.02427
+cap "XR19/a_n415_n1322#" "XM38/a_n1891_n197#" 49.4366
+cap "XM1/a_n989_n100#" "XR19/a_n415_n1322#" 6.04802
+cap "XM37/w_n2087_n319#" "XM3/a_n35_n197#" 6.66089
+cap "XR19/a_n415_n1322#" "XM37/w_n2087_n319#" 136.127
+cap "XR19/a_n415_n1322#" "XM1/a_n861_n100#" 9.50466
+cap "XM1/w_n1127_n319#" "XM38/a_n1891_n197#" 16.0355
+cap "XM1/w_n1127_n319#" "XM37/w_n2087_n319#" 7.10867
+cap "XM37/a_n1949_n100#" "XM38/a_n1891_n197#" 31.6307
+cap "XM1/a_n931_n197#" "XM38/a_n1949_n100#" 11.4374
+cap "XM37/w_n2087_n319#" "XM37/a_n1949_n100#" 6.84872
+cap "XM1/a_n989_n100#" "XM38/a_n1891_n197#" 0.274303
+cap "XM37/w_n2087_n319#" "XM38/a_n1891_n197#" 241.72
+cap "XM1/a_n989_n100#" "XM37/w_n2087_n319#" 0.46062
+cap "XM38/a_n1949_n100#" "XM3/a_n93_n100#" 3.50476
+cap "XM1/a_n931_n197#" "XM2/a_35_n100#" 0.777667
+cap "XM2/a_35_n100#" "XM38/a_n1949_n100#" -476.648
+cap "XM1/a_n861_n100#" "XM38/a_n1891_n197#" 1.71306
+cap "XM37/w_n2087_n319#" "XM1/a_n861_n100#" 1.18009
+cap "XM30/a_n541_n100#" "XR19/a_n285_n1192#" 1.72505
+cap "XM38/a_n1949_n100#" "XR19/a_n415_n1322#" 9.64138
+cap "XM1/a_n989_n100#" "XR19/a_n285_n1192#" 26.4382
+cap "XM30/a_n541_n100#" "XM30/a_n611_n197#" 10.7154
+cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 9.28228
+cap "XR19/a_n415_n1322#" "XM1/a_n931_n197#" 39.6915
+cap "XM38/w_n2087_n319#" "XM1/a_n931_n197#" 11.7242
+cap "XM38/a_n1891_n197#" "XR19/a_n415_n1322#" 5.68922
+cap "XM1/w_n1127_n319#" "XR19/a_n285_n1192#" 96.5579
+cap "XM1/a_n989_n100#" "XM30/a_n611_n197#" 66.4529
+cap "XM31/a_n541_n100#" "XM1/a_n931_n197#" 9.7933
+cap "XM31/a_n611_n197#" "XM1/a_n931_n197#" 53.1794
+cap "XM1/w_n1127_n319#" "XM30/a_n611_n197#" 159.179
+cap "XM30/a_n541_n100#" "XM1/a_n861_n100#" 2.70583
+cap "XM30/a_n541_n100#" "XM1/a_n989_n100#" -177.264
+cap "XM1/a_n989_n100#" "XM1/a_n861_n100#" -478.183
+cap "XM3/a_35_n100#" "XR19/a_n415_n1322#" 2.42767
+cap "XM1/w_n1127_n319#" "XM1/a_n861_n100#" 191.399
+cap "XM1/w_n1127_n319#" "XM30/a_n541_n100#" 17.0321
+cap "XR19/a_n285_n1192#" "XM1/a_n931_n197#" 168.262
+cap "XM1/w_n1127_n319#" "XM1/a_n989_n100#" 399.137
+cap "XM30/a_n611_n197#" "XM1/a_n931_n197#" 57.1756
+cap "XM38/a_n1949_n100#" "XM1/a_n861_n100#" 1.40275
+cap "XM1/a_n989_n100#" "XM38/a_n1949_n100#" 0.26458
+cap "XM38/a_n1891_n197#" "XM1/a_n861_n100#" 4.75654
+cap "XM38/w_n2087_n319#" "XR19/a_n415_n1322#" 11.4812
+cap "XM1/a_n861_n100#" "XM1/a_n931_n197#" 63.0899
+cap "XM1/a_n989_n100#" "XM38/a_n1891_n197#" 0.0235884
+cap "XM30/a_n541_n100#" "XM1/a_n931_n197#" 13.2451
+cap "XM1/a_n989_n100#" "XM1/a_n931_n197#" 156.427
+cap "XM1/w_n1127_n319#" "XM38/a_n1949_n100#" -1.23056
+cap "XM31/a_n541_n100#" "XR19/a_n415_n1322#" 0.654561
+cap "XM31/a_n611_n197#" "XR19/a_n415_n1322#" 4.48291
+cap "XM1/w_n1127_n319#" "XM38/a_n1891_n197#" 0.307646
+cap "XM1/w_n1127_n319#" "XM1/a_n931_n197#" 565.914
+cap "XM31/a_n611_n197#" "XM31/a_n541_n100#" 9.34038
+cap "XM3/a_35_n100#" "XM1/a_n861_n100#" 1.20576
+cap "XM1/a_n989_n100#" "XM3/a_35_n100#" 0.00544206
+cap "XM38/a_n1949_n100#" "XM1/a_n931_n197#" 6.13744
+cap "XR19/a_n285_n1192#" "XR19/a_n415_n1322#" 49.8285
+cap "XM30/a_n611_n197#" "XR19/a_n415_n1322#" 4.78692
+cap "XM1/w_n1127_n319#" "XM3/a_35_n100#" 0.167736
+cap "XM31/a_n541_n100#" "XR19/a_n285_n1192#" 1.86141
+cap "XM31/a_n611_n197#" "XR19/a_n285_n1192#" 15.8012
+cap "XM38/a_n1891_n197#" "XM1/a_n931_n197#" 3.26707
+cap "XM31/a_n541_n100#" "XM30/a_n611_n197#" 3.29745
+cap "XM31/a_n611_n197#" "XM30/a_n611_n197#" 23.9134
+cap "XM30/a_n541_n100#" "XR19/a_n415_n1322#" 1.00527
+cap "XM38/w_n2087_n319#" "XM1/a_n861_n100#" 17.4457
+cap "XR19/a_n415_n1322#" "XM1/a_n861_n100#" 33.9084
+cap "XM1/a_n989_n100#" "XM38/w_n2087_n319#" 0.257802
+cap "XM1/a_n989_n100#" "XR19/a_n415_n1322#" 1.55098
+cap "XM30/a_n541_n100#" "XM31/a_n541_n100#" 3.94271
+cap "XM31/a_n541_n100#" "XM1/a_n861_n100#" 2.59396
+cap "XM1/w_n1127_n319#" "XM38/w_n2087_n319#" 1.43977
+cap "XR19/a_n285_n1192#" "XM30/a_n611_n197#" 16.7981
+cap "XM31/a_n611_n197#" "XM30/a_n541_n100#" 3.29769
+cap "XM31/a_n611_n197#" "XM1/a_n861_n100#" 7.71264
+cap "XM3/a_35_n100#" "XM1/a_n931_n197#" 0.319137
+cap "XM1/a_n989_n100#" "XM31/a_n541_n100#" -132.554
+cap "XM1/w_n1127_n319#" "XR19/a_n415_n1322#" 298.882
+cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" 52.8125
+cap "XM1/w_n1127_n319#" "XM31/a_n541_n100#" 7.08472
+cap "XM1/w_n1127_n319#" "XM31/a_n611_n197#" 106.312
+cap "XR19/a_n285_n1192#" "XM1/a_n861_n100#" 95.276
+cap "XM31/a_n611_n197#" "XM31/a_n541_n100#" 23.6141
+cap "XM1/w_n1127_n319#" "XM1/a_n861_n100#" 5.07036
+cap "XR20/a_n285_1140#" "XM30/a_n611_n197#" 2.34352
+cap "XM1/a_n931_n197#" "XM1/w_n1127_n319#" 5.50773
+cap "XR19/a_n415_n1322#" "XM30/a_n611_n197#" 1.21591
+cap "XR20/a_n285_1140#" "XM31/a_n611_n197#" 2.22727
+cap "XM1/a_n989_n100#" "XM30/a_n611_n197#" 0.026753
+cap "XR19/a_n415_n1322#" "XM31/a_n611_n197#" 1.33733
+cap "XM1/w_n1127_n319#" "XM30/a_n611_n197#" 135.991
+cap "XM30/a_n541_n100#" "XM30/a_n611_n197#" 33.255
+cap "XM31/a_n611_n197#" "XM1/w_n1127_n319#" 90.5242
+cap "XM31/a_n611_n197#" "XM30/a_n541_n100#" 12.4844
+cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" 2.4756
+cap "XM1/w_n1127_n319#" "XM31/a_n541_n100#" 63.5598
+cap "XM31/a_n541_n100#" "XM1/a_n989_n100#" -75.666
+cap "XM31/a_n541_n100#" "XM30/a_n541_n100#" 6.63248
+cap "XM30/a_n611_n197#" "XM1/a_n861_n100#" 5.24303
+cap "XM1/a_n931_n197#" "XM30/a_n611_n197#" 15.5784
+cap "XM31/a_n611_n197#" "XM1/a_n861_n100#" 3.81978
+cap "XR20/a_n285_1140#" "XM1/w_n1127_n319#" 0.397247
+cap "XM31/a_n611_n197#" "XM1/a_n931_n197#" 12.9197
+cap "XR19/a_n415_n1322#" "XM1/w_n1127_n319#" 0.502633
+cap "XM31/a_n611_n197#" "XM30/a_n611_n197#" 23.5532
+cap "XM1/w_n1127_n319#" "XM1/a_n989_n100#" 10.8079
+cap "XM31/a_n541_n100#" "XM30/a_n611_n197#" 12.2436
+cap "XM1/a_n989_n100#" "XM30/a_n541_n100#" -95.551
+cap "XM1/w_n1127_n319#" "XM30/a_n541_n100#" 98.4101
+cap "XM2/w_n1127_n319#" "XR19/a_n415_n1322#" 1.66005
+cap "XM2/a_n861_n100#" "XR19/a_n415_n1322#" 0.63582
+cap "XM2/a_n861_n100#" "XM2/w_n1127_n319#" 5.4421
+cap "XM2/a_n861_n100#" "XM37/a_n1891_n197#" 3.22193
+cap "XM37/a_n1891_n197#" "XM2/w_n1127_n319#" 17.9883
+cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 0.825898
+cap "XM2/a_n931_n197#" "XR19/a_n415_n1322#" 2.90554
+cap "XM2/a_n861_n100#" "XM2/a_n989_n100#" -888.51
+cap "XM2/w_n1127_n319#" "XM2/a_n989_n100#" 158.722
+cap "XM2/a_n931_n197#" "XM2/a_n861_n100#" 187.987
+cap "XM2/a_n931_n197#" "XM2/w_n1127_n319#" 454.413
+cap "XM2/w_n1127_n319#" "XR19/a_n285_n1192#" 3.61538
+cap "XM37/a_n1891_n197#" "XM2/a_n989_n100#" 0.799585
+cap "XM2/a_n861_n100#" "XM37/a_n1949_n100#" 0.68464
+cap "XM2/w_n1127_n319#" "XM37/a_n1949_n100#" 14.9189
+cap "XM2/a_n931_n197#" "XM37/a_n1891_n197#" 3.1266
+cap "XM2/a_n931_n197#" "XM2/a_n989_n100#" 169.88
+cap "XM2/a_n989_n100#" "XM37/a_n1949_n100#" 0.45756
+cap "XM2/a_n931_n197#" "XM37/a_n1949_n100#" 4.2537
+cap "XM1/a_n989_n100#" "XM2/a_n931_n197#" 5.60322
+cap "XM2/w_n1127_n319#" "XM38/a_n1949_n100#" 14.2887
+cap "XM2/a_n989_n100#" "XR19/a_n285_n1192#" 17.2964
+cap "XM2/a_n989_n100#" "XM2/w_n1127_n319#" 17.1491
+cap "XM2/a_n861_n100#" "XM2/w_n1127_n319#" 36.6263
+cap "XM38/a_n1949_n100#" "XM2/a_n931_n197#" 4.50365
+cap "XM2/w_n1127_n319#" "XR19/a_n285_n1192#" -2.48592
+cap "XM2/a_n989_n100#" "XM2/a_n931_n197#" 83.1679
+cap "XM2/a_n861_n100#" "XM2/a_n931_n197#" 140.636
+cap "XM2/w_n1127_n319#" "XM2/a_n931_n197#" 276.487
+cap "XR19/a_n285_760#" "XR19/a_n285_n1192#" -5.26517
+cap "XM1/a_n989_n100#" "XR19/a_n415_n1322#" 13.7365
+cap "XM2/a_n989_n100#" "XM38/a_n1891_n197#" 0.571079
+cap "XM2/a_n861_n100#" "XM38/a_n1891_n197#" 2.94348
+cap "XM2/w_n1127_n319#" "XM38/a_n1891_n197#" 14.5546
+cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 22.4354
+cap "XM2/a_n861_n100#" "XR19/a_n415_n1322#" 47.4346
+cap "XM38/a_n1891_n197#" "XM2/a_n931_n197#" 2.27013
+cap "XM1/a_n989_n100#" "XM2/a_n989_n100#" 0.345047
+cap "XM1/a_n989_n100#" "XM2/a_n861_n100#" 4.24381
+cap "XR19/a_n415_n1322#" "XM2/w_n1127_n319#" 214.23
+cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" 0.458872
+cap "XM1/a_n989_n100#" "XM2/w_n1127_n319#" 0.660628
+cap "XM2/a_n989_n100#" "XM38/a_n1949_n100#" 0.450442
+cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 68.3445
+cap "XM2/a_n861_n100#" "XM38/a_n1949_n100#" 0.672351
+cap "XM2/a_n861_n100#" "XM2/a_n989_n100#" -449.077
+cap "XM3/a_n989_n100#" "XM1/a_n989_n100#" 0.0472622
+cap "XR19/a_n285_760#" "XR19/a_n415_n1322#" 3.3713
+cap "XM3/a_n989_n100#" "XM2/a_n931_n197#" 5.16414
+cap "XR19/a_n285_n1192#" "XM3/a_n861_n100#" 21.5986
+cap "XM3/a_n989_n100#" "XR19/a_n415_n1322#" 11.5678
+cap "XM1/a_n989_n100#" "XM3/a_n861_n100#" -673.571
+cap "XM1/a_n989_n100#" "XR19/a_n285_n1192#" 6.75368
+cap "XM2/a_n931_n197#" "XM3/a_n861_n100#" 140.509
+cap "XR19/a_n285_760#" "XM30/a_n611_n197#" 0.88492
+cap "XM2/a_n931_n197#" "XR19/a_n285_n1192#" 32.4518
+cap "XR19/a_n415_n1322#" "XM3/a_n861_n100#" 52.8043
+cap "XM3/w_n1127_n319#" "XR19/a_n285_760#" 52.8101
+cap "XM2/a_n931_n197#" "XM1/a_n989_n100#" 145.856
+cap "XR19/a_n415_n1322#" "XR19/a_n285_n1192#" -1.40848
+cap "XM3/w_n1127_n319#" "XM3/a_n989_n100#" 0.921646
+cap "XM31/a_n611_n197#" "XM30/a_n541_n100#" 0.00715769
+cap "XR19/a_n415_n1322#" "XM1/a_n989_n100#" 10.2015
+cap "XR19/a_n285_760#" "XM31/a_n611_n197#" 17.485
+cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 73.4602
+cap "XM3/w_n1127_n319#" "XM3/a_n861_n100#" 157.891
+cap "XM3/w_n1127_n319#" "XR19/a_n285_n1192#" 14.3526
+cap "XM30/a_n611_n197#" "XM1/a_n989_n100#" 1.35829
+cap "XM31/a_n611_n197#" "XM3/a_n861_n100#" 13.1492
+cap "XM30/a_157_n197#" "XM1/a_n989_n100#" 6.95298e-05
+cap "XM3/w_n1127_n319#" "XM1/a_n989_n100#" 180.237
+cap "XM31/a_n611_n197#" "XR19/a_n285_n1192#" 7.20522
+cap "XM3/w_n1127_n319#" "XM2/a_n931_n197#" 338.836
+cap "XM3/w_n1127_n319#" "XR19/a_n415_n1322#" 383.058
+cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" 66.7855
+cap "XR19/a_n285_760#" "XM30/a_n541_n100#" 0.528211
+cap "XM31/a_n611_n197#" "XM2/a_n931_n197#" 88.0633
+cap "XR19/a_n415_n1322#" "XM31/a_n611_n197#" 6.91388
+cap "XR19/a_n285_760#" "XM3/a_n861_n100#" 7.96952
+cap "XM31/a_n611_n197#" "XM30/a_n611_n197#" 0.618539
+cap "XM3/a_n989_n100#" "XM3/a_n861_n100#" 3.29897
+cap "XR19/a_n285_760#" "XR19/a_n285_n1192#" -0.852672
+cap "XM3/w_n1127_n319#" "XM31/a_n611_n197#" 162.761
+cap "XM1/a_n989_n100#" "XM30/a_n541_n100#" 0.853282
+cap "XR19/a_n285_760#" "XM1/a_n989_n100#" -258.431
+cap "XR19/a_n285_760#" "XM2/a_n931_n197#" 37.9942
+cap "XM1/w_n1127_n319#" "XR19/a_n415_n1322#" 0.276171
+cap "XM31/a_n611_n197#" "XM30/a_n611_n197#" 0.612848
+cap "XM31/a_n611_n197#" "XR19/a_n415_n1322#" 1.56872
+cap "XR20/a_n285_1140#" "XM1/w_n1127_n319#" 0.0630935
+cap "XM1/a_n861_n100#" "XM1/w_n1127_n319#" 4.19594
+cap "XM1/a_n989_n100#" "XM1/w_n1127_n319#" -2.61045
+cap "XM31/a_n611_n197#" "XR20/a_n285_1140#" 0.992446
+cap "XM31/a_n611_n197#" "XM1/a_n861_n100#" 6.17438
+cap "XM31/a_n541_n100#" "XM30/a_n611_n197#" 0.507846
+cap "XM31/a_n611_n197#" "XM1/a_n989_n100#" -20.9314
+cap "XM30/a_n541_n100#" "XM1/a_n989_n100#" 0.755313
+cap "XM31/a_n611_n197#" "XM1/w_n1127_n319#" 177.45
+cap "XM1/a_n931_n197#" "XM1/w_n1127_n319#" 5.33017
+cap "XM1/a_n989_n100#" "XM31/a_n541_n100#" -147.899
+cap "XM30/a_n541_n100#" "XM31/a_n611_n197#" 0.231844
+cap "XM31/a_n611_n197#" "XM1/a_n931_n197#" 21.743
+cap "XM1/a_n989_n100#" "XM30/a_n611_n197#" 0.761443
+cap "XM31/a_n541_n100#" "XM1/w_n1127_n319#" 122.073
+cap "XM31/a_n611_n197#" "XM31/a_n541_n100#" 52.6122
+cap "XM30/a_n541_n100#" "XM31/a_n541_n100#" 0.480813
+cap "XM2/a_n989_n100#" "XM2/a_n931_n197#" 132.411
+cap "XM2/a_n931_n197#" "XM2/w_n1127_n319#" 620.372
+cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 3.18096
+cap "XR19/a_n285_760#" "XM2/a_n931_n197#" 6.38754
+cap "XM2/a_n989_n100#" "XM2/w_n1127_n319#" 37.811
+cap "XM2/a_n931_n197#" "XM2/a_n861_n100#" 365.398
+cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 0.796219
+cap "XR19/a_n285_760#" "XM2/a_n989_n100#" 1.55622
+cap "XM2/a_n989_n100#" "XM2/a_n861_n100#" -993.87
+cap "XR19/a_n415_n1322#" "XM2/w_n1127_n319#" 0.507419
+cap "XR19/a_n285_760#" "XM2/w_n1127_n319#" 0.896906
+cap "XM2/a_n861_n100#" "XM2/w_n1127_n319#" 49.2524
+cap "XR19/a_n415_n1322#" "XM2/a_n861_n100#" 0.263008
+cap "XR19/a_n285_760#" "XM2/a_n861_n100#" 1.12171
+cap "XM2/a_n861_n100#" "XM2/a_n989_n100#" -495.38
+cap "XR19/a_n415_n1322#" "XR19/a_n285_760#" 3.48497
+cap "XR19/a_n285_760#" "XM2/a_n931_n197#" 148.548
+cap "XR19/a_n285_760#" "XR19/a_n285_n1192#" -4.90136
+cap "XR19/a_n415_n1322#" "XM2/a_n931_n197#" 58.8948
+cap "XR19/a_n415_n1322#" "XM29/a_n861_n100#" 0.614811
+cap "XM2/a_n861_n100#" "XR19/a_n285_760#" 82.3729
+cap "XM29/a_n861_n100#" "XM2/a_n931_n197#" 0.097257
+cap "XR19/a_n415_n1322#" "XM2/a_n861_n100#" 45.6838
+cap "XM2/a_n861_n100#" "XM2/a_n931_n197#" 257.196
+cap "XM2/w_n1127_n319#" "XM2/a_n989_n100#" -51.1729
+cap "XR19/a_n285_760#" "XM2/w_n1127_n319#" -6.45697
+cap "XR19/a_n285_760#" "XM2/a_n989_n100#" 11.3657
+cap "XR19/a_n415_n1322#" "XM2/w_n1127_n319#" 346.998
+cap "XM2/w_n1127_n319#" "XM2/a_n931_n197#" 326.946
+cap "XM29/a_n861_n100#" "XM2/w_n1127_n319#" 0.0396599
+cap "XR19/a_n415_n1322#" "XM2/a_n989_n100#" 6.50012
+cap "XM2/a_n931_n197#" "XM2/a_n989_n100#" 70.2838
+cap "XM29/a_n861_n100#" "XM2/a_n989_n100#" 0.00438857
+cap "XM2/a_n861_n100#" "XM2/w_n1127_n319#" 5.22671
+cap "XM3/a_n861_n100#" "XM3/a_n989_n100#" 7.22381
+cap "XM3/w_n1127_n319#" "XR19/a_n285_760#" 86.9257
+cap "XM2/a_n931_n197#" "XR19/a_n285_760#" 81.2957
+cap "XM29/a_n861_n100#" "XM3/a_n989_n100#" 0.00521069
+cap "XM3/a_n861_n100#" "XR19/a_n285_760#" 102.876
+cap "XR19/a_n415_n1322#" "XM3/a_n989_n100#" 5.55979
+cap "XM2/a_n931_n197#" "XM3/w_n1127_n319#" 111.037
+cap "XM29/a_n861_n100#" "XR19/a_n285_760#" 8.88261
+cap "XM3/a_n861_n100#" "XM3/w_n1127_n319#" 46.0503
+cap "XR19/a_n415_n1322#" "XR19/a_n285_760#" 31.8401
+cap "XM2/a_n931_n197#" "XM3/a_n861_n100#" 42.625
+cap "XM29/a_n861_n100#" "XM3/w_n1127_n319#" 23.1567
+cap "XR19/a_n285_n1192#" "XR19/a_n285_760#" -1.12398
+cap "XR19/a_n415_n1322#" "XM3/w_n1127_n319#" 253.993
+cap "XM2/a_n931_n197#" "XM29/a_n861_n100#" 5.87427
+cap "XM31/a_n669_n100#" "XR19/a_n285_760#" 2.23173
+cap "XM2/a_n931_n197#" "XR19/a_n415_n1322#" 41.6445
+cap "XM3/a_n861_n100#" "XM29/a_n861_n100#" -28.9328
+cap "XM3/a_n861_n100#" "XR19/a_n415_n1322#" 48.9046
+cap "XR19/a_n285_760#" "XM31/a_n611_n197#" 5.2526
+cap "XM29/a_n861_n100#" "XR19/a_n415_n1322#" 0.318157
+cap "XM3/w_n1127_n319#" "XM3/a_n989_n100#" -4.72133
+cap "XM2/a_n931_n197#" "XM3/a_n989_n100#" 1.15923
+merge "XM3/a_n291_n197#" "XM3/a_n419_n197#" -6141.31 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -427800 -32400 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_n419_n197#" "XM3/a_n547_n197#"
 merge "XM3/a_n547_n197#" "XM3/a_n675_n197#"
 merge "XM3/a_n675_n197#" "XM3/a_n803_n197#"
@@ -811,7 +986,7 @@
 merge "XM1/a_n419_n197#" "XM1/a_n547_n197#"
 merge "XM1/a_n547_n197#" "XM1/a_861_n197#"
 merge "XM1/a_861_n197#" "BIAS2V"
-merge "XM37/a_n1437_n100#" "XM37/a_n1693_n100#" -13399 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -15903934 -14444 -2411800 0 0 0 0 0 0 0 0 0
+merge "XM37/a_n1437_n100#" "XM37/a_n1693_n100#" -1927.06 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -242308 -14444 0 0 0 0 0 0 0 0 0 0
 merge "XM37/a_n1693_n100#" "XM37/a_n1949_n100#"
 merge "XM37/a_n1949_n100#" "m1_17860_4190#"
 merge "m1_17860_4190#" "XM37/a_99_n100#"
@@ -853,7 +1028,7 @@
 merge "XM37/a_867_n100#" "XM37/a_611_n100#"
 merge "XM37/a_611_n100#" "XM37/a_355_n100#"
 merge "XM37/a_355_n100#" "m1_16980_n520#"
-merge "XM30/a_n285_n100#" "XM30/a_n541_n100#" -78144.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -119599505 -17318 160560 0 0 0 0 0 0 0 0 0
+merge "XM30/a_n285_n100#" "XM30/a_n541_n100#" -3278.31 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -417727 -17318 0 0 0 0 0 0 0 0 0 0
 merge "XM30/a_n541_n100#" "XM38/a_n1251_n197#"
 merge "XM38/a_n1251_n197#" "XM38/a_n1379_n197#"
 merge "XM38/a_n1379_n197#" "XM38/a_n1507_n197#"
@@ -889,7 +1064,7 @@
 merge "XM38/a_541_n197#" "XM38/a_413_n197#"
 merge "XM38/a_413_n197#" "XM38/a_285_n197#"
 merge "XM38/a_285_n197#" "m1_18270_400#"
-merge "XM3/a_n221_n100#" "XM3/a_n477_n100#" 35111.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54200850 -26568 0 0 0 0 0 0 0 0 0 0
+merge "XM3/a_n221_n100#" "XM3/a_n477_n100#" -2170.98 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -493800 -26568 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_n477_n100#" "XM3/a_n733_n100#"
 merge "XM3/a_n733_n100#" "XM3/a_n989_n100#"
 merge "XM3/a_n989_n100#" "XM36/a_n221_n100#"
@@ -943,7 +1118,7 @@
 merge "XM37/a_739_n100#" "XM37/a_483_n100#"
 merge "XM37/a_483_n100#" "XM37/a_227_n100#"
 merge "XM37/a_227_n100#" "m1_17310_5240#"
-merge "XR20/a_n285_1140#" "XR19/a_n285_n1192#" 4787.55 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19416847 -9780 -13940935 0 -12006625 0 0 0 0 0 0 0
+merge "XR20/a_n285_1140#" "XR19/a_n285_n1192#" -3602.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -568480 -9780 0 0 0 0 0 0 0 0 0 0
 merge "XR19/a_n285_n1192#" "XM40/a_n509_n100#"
 merge "XM40/a_n509_n100#" "XM40/a_n321_n100#"
 merge "XM40/a_n321_n100#" "XM40/a_n129_n100#"
@@ -957,7 +1132,7 @@
 merge "XM39/a_n129_n100#" "XM39/a_n321_n100#"
 merge "XM39/a_n321_n100#" "XM39/a_n509_n100#"
 merge "XM39/a_n509_n100#" "GND"
-merge "XM3/VSUBS" "XM36/VSUBS" 6122.08 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8231108 -1782 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XM3/VSUBS" "XM36/VSUBS" -3277.21 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -16381 -1782 0 0 0 0 0 0 0 0 0 0 0 0
 merge "XM36/VSUBS" "XM2/VSUBS"
 merge "XM2/VSUBS" "XM31/VSUBS"
 merge "XM31/VSUBS" "XM29/VSUBS"
@@ -976,7 +1151,7 @@
 merge "XM37/VSUBS" "VSUBS"
 merge "VSUBS" "li_18070_n615#"
 merge "li_18070_n615#" "li_18070_n100#"
-merge "XM38/a_n1437_n100#" "XM38/a_n1693_n100#" 34952.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 57172514 -10540 -730125 0 0 0 0 0 0 0 0 0
+merge "XM38/a_n1437_n100#" "XM38/a_n1693_n100#" -1384.38 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -190804 -10540 0 0 0 0 0 0 0 0 0 0
 merge "XM38/a_n1693_n100#" "XM38/a_n1949_n100#"
 merge "XM38/a_n1949_n100#" "XM38/a_99_n100#"
 merge "XM38/a_99_n100#" "XM38/a_n157_n100#"
@@ -997,7 +1172,7 @@
 merge "XM38/a_867_n100#" "XM38/a_611_n100#"
 merge "XM38/a_611_n100#" "XM38/a_355_n100#"
 merge "XM38/a_355_n100#" "BIASOUT"
-merge "XM31/a_n157_n100#" "XM31/a_n413_n100#" 61074.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 104671278 -13776 -6824000 0 0 0 0 0 0 0 0 0
+merge "XM31/a_n157_n100#" "XM31/a_n413_n100#" -1846.32 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -251814 -13776 0 0 0 0 0 0 0 0 0 0
 merge "XM31/a_n413_n100#" "XM31/a_n669_n100#"
 merge "XM31/a_n669_n100#" "XM29/a_163_n100#"
 merge "XM29/a_163_n100#" "XM29/a_n93_n100#"
@@ -1025,7 +1200,7 @@
 merge "XM1/a_n221_n100#" "XM1/a_n477_n100#"
 merge "XM1/a_n477_n100#" "XM1/a_803_n100#"
 merge "XM1/a_803_n100#" "m1_20160_2025#"
-merge "XM3/w_n1127_n319#" "XM31/w_n807_n319#" 42142.6 0 0 0 0 10417558 -44298 0 0 6759668 -14704 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11998788 -17930 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XM3/w_n1127_n319#" "XM31/w_n807_n319#" -27123.8 0 0 0 0 -6750318 -44298 0 0 -249968 -14704 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -280539 -17930 0 0 0 0 0 0 0 0 0 0 0 0
 merge "XM31/w_n807_n319#" "PSUB"
 merge "PSUB" "li_20520_5545#"
 merge "li_20520_5545#" "li_21265_3940#"
@@ -1050,7 +1225,7 @@
 merge "li_17535_4310#" "XM37/w_n2087_n319#"
 merge "XM37/w_n2087_n319#" "li_18070_245#"
 merge "li_18070_245#" "w_17930_210#"
-merge "XM37/a_n1251_n197#" "XM37/a_n1379_n197#" -26290.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -38203596 -12960 0 0 0 0 0 0 0 0 0 0
+merge "XM37/a_n1251_n197#" "XM37/a_n1379_n197#" -2068.12 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -171120 -12960 0 0 0 0 0 0 0 0 0 0
 merge "XM37/a_n1379_n197#" "XM37/a_n1507_n197#"
 merge "XM37/a_n1507_n197#" "XM37/a_n1635_n197#"
 merge "XM37/a_n1635_n197#" "XM37/a_n1763_n197#"
@@ -1080,7 +1255,7 @@
 merge "XM37/a_541_n197#" "XM37/a_413_n197#"
 merge "XM37/a_413_n197#" "XM37/a_285_n197#"
 merge "XM37/a_285_n197#" "VCTRL"
-merge "XM3/a_n349_n100#" "XM3/a_n605_n100#" 26887.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 63003359 -19680 -28942510 0 0 0 0 0 0 0 0 0
+merge "XM3/a_n349_n100#" "XM3/a_n605_n100#" -2799.16 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -363339 -19680 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_n605_n100#" "XM3/a_n861_n100#"
 merge "XM3/a_n861_n100#" "XM36/a_n349_n100#"
 merge "XM36/a_n349_n100#" "XM36/a_n605_n100#"
@@ -1120,13 +1295,13 @@
 merge "XM1/a_n93_n100#" "XM1/a_n349_n100#"
 merge "XM1/a_n349_n100#" "XM1/a_931_n100#"
 merge "XM1/a_931_n100#" "VDD"
-merge "XR19/a_n285_760#" "XM31/a_n285_n100#" 16484.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26804450 -4240 0 0 0 0 0 0 0 0 0 0
+merge "XR19/a_n285_760#" "XM31/a_n285_n100#" -1158.76 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -239457 -4240 0 0 0 0 0 0 0 0 0 0
 merge "XM31/a_n285_n100#" "XM31/a_n541_n100#"
 merge "XM31/a_n541_n100#" "XM31/a_483_n100#"
 merge "XM31/a_483_n100#" "XM31/a_227_n100#"
 merge "XM31/a_227_n100#" "XM31/a_n29_n100#"
 merge "XM31/a_n29_n100#" "m1_19235_6325#"
-merge "XM31/a_n99_n197#" "XM31/a_n227_n197#" -33605.8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -51127044 -4320 0 0 0 0 0 0 0 0 0 0
+merge "XM31/a_n99_n197#" "XM31/a_n227_n197#" -920.902 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -57040 -4320 0 0 0 0 0 0 0 0 0 0
 merge "XM31/a_n227_n197#" "XM31/a_n355_n197#"
 merge "XM31/a_n355_n197#" "XM31/a_n483_n197#"
 merge "XM31/a_n483_n197#" "XM31/a_n611_n197#"
@@ -1136,7 +1311,7 @@
 merge "XM31/a_285_n197#" "XM31/a_157_n197#"
 merge "XM31/a_157_n197#" "XM31/a_29_n197#"
 merge "XM31/a_29_n197#" "AMP"
-merge "XM30/a_n355_n197#" "XM30/a_n483_n197#" -36544.9 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -55735048 -4320 0 0 0 0 0 0 0 0 0 0
+merge "XM30/a_n355_n197#" "XM30/a_n483_n197#" -916.111 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -57040 -4320 0 0 0 0 0 0 0 0 0 0
 merge "XM30/a_n483_n197#" "XM30/a_n611_n197#"
 merge "XM30/a_n611_n197#" "XM30/a_541_n197#"
 merge "XM30/a_541_n197#" "XM30/a_413_n197#"
diff --git a/mag/buffer_amp.ext b/mag/buffer_amp.ext
index 87fc244..cdda6fb 100644
--- a/mag/buffer_amp.ext
+++ b/mag/buffer_amp.ext
@@ -1,4 +1,4 @@
-timestamp 1662405622
+timestamp 1662952744
 version 8.3
 tech sky130A
 style ngspice()
@@ -10,160 +10,218 @@
 use sky130_fd_pr__nfet_01v8_lvt_648S5X XM3 0 1 7610 -1 0 1611
 use sky130_fd_pr__nfet_01v8_lvt_648S5X XM2 0 1 6910 -1 0 1611
 use sky130_fd_pr__nfet_01v8_lvt_9DHFGX XM1 0 -1 6910 -1 0 2547
-node "INA" 2 194.122 7430 1400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37500 1600 0 0 0 0 0 0 0 0 0 0
-node "INB" 2 224.4 6730 1400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37500 1600 0 0 0 0 0 0 0 0 0 0
-node "OUTA" 1 2076.95 7510 1505 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 509350 3720 509350 3720 794925 4850 0 0 0 0 0 0
-node "OUTB" 1 2584.84 5165 1565 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 517250 3740 517250 3740 803600 4870 0 0 0 0 0 0
-node "m1_6810_1630#" 10 1404.35 6810 1630 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 158240 6650 821100 7430 0 0 0 0 0 0 0 0
-node "GND" 11 3914.6 6810 2035 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143450 6560 2760000 14600 0 0 0 0 0 0 0 0
-node "BIAS" 18 3007.31 5000 3125 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 510000 17120 0 0 0 0 0 0 0 0 0 0
-node "VDD" 2 4231.39 5155 8075 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1009200 6380 1988800 9920 0 0 0 0 0 0 0 0
-node "li_7850_1435#" 99 89.4468 7850 1435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "INA" 2 173.014 7430 1400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37500 1600 0 0 0 0 0 0 0 0 0 0
+node "INB" 2 199.05 6730 1400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37500 1600 0 0 0 0 0 0 0 0 0 0
+node "OUTA" 1 2403.48 7510 1505 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 509350 3720 509350 3720 794925 4850 0 0 0 0 0 0
+node "OUTB" 1 2418.16 5165 1565 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 517250 3740 517250 3740 803600 4870 0 0 0 0 0 0
+node "m1_6810_1630#" 10 808.924 6810 1630 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 158240 6650 821100 7430 0 0 0 0 0 0 0 0
+node "GND" 11 3371.63 6810 2035 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143450 6560 2760000 14600 0 0 0 0 0 0 0 0
+node "BIAS" 18 2638.58 5000 3125 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 510000 17120 0 0 0 0 0 0 0 0 0 0
+node "VDD" 2 4246.08 5155 8075 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1009200 6380 1988800 9920 0 0 0 0 0 0 0 0
+node "li_7850_1435#" 99 97.9807 7850 1435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
 node "SUB" 99 84.722 7145 1435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_6405_1435#" 99 92.4174 6405 1435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7830_1750#" 99 46.3014 7830 1750 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7145_1750#" 99 39.3624 7145 1750 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_6410_1750#" 99 46.0211 6410 1750 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7840_1935#" 99 92.2893 7840 1935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7135_1935#" 99 88.9125 7135 1935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_6410_1935#" 99 96.1379 6410 1935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7840_3125#" 99 127.02 7840 3125 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_7100_3125#" 99 122.457 7100 3125 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_6405_3125#" 99 130.396 6405 3125 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_6405_1435#" 99 88.894 6405 1435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7830_1750#" 99 41.6633 7830 1750 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7145_1750#" 99 37.6809 7145 1750 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_6410_1750#" 99 41.9808 6410 1750 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7840_1935#" 99 92.8235 7840 1935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7135_1935#" 99 72.6175 7135 1935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_6410_1935#" 99 76.7896 6410 1935 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7840_3125#" 99 123.766 7840 3125 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7100_3125#" 99 119.53 7100 3125 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_6405_3125#" 99 123.481 6405 3125 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9450 610 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_7135_1935#" "SUB" 15.6559
-cap "li_7135_1935#" "m1_6810_1630#" 51.6985
-cap "li_7830_1750#" "li_7840_3125#" 5.43284
-cap "li_6405_3125#" "li_6410_1750#" 5.53731
-cap "li_7100_3125#" "li_6405_3125#" 2.30588
-cap "li_7145_1750#" "SUB" 27
-cap "li_7145_1750#" "m1_6810_1630#" 25.2312
-cap "BIAS" "li_6405_3125#" 85.4247
-cap "li_6405_1435#" "SUB" 2.08511
-cap "li_7850_1435#" "li_7830_1750#" 25
-cap "INA" "BIAS" 7.67431
-cap "GND" "BIAS" 3826.69
-cap "BIAS" "m1_6810_1630#" 2376.37
-cap "INB" "OUTA" 16.4835
-cap "OUTB" "li_6405_1435#" 35.9158
-cap "li_7850_1435#" "OUTA" 34.8152
-cap "OUTB" "BIAS" 42.5278
-cap "GND" "li_6405_3125#" 18.9112
-cap "INA" "GND" 46.5002
-cap "li_7135_1935#" "li_6410_1935#" 2.15385
-cap "INA" "m1_6810_1630#" 117.091
-cap "GND" "m1_6810_1630#" 7097.51
-cap "li_7145_1750#" "li_7830_1750#" 2.36145
-cap "li_7100_3125#" "li_7840_3125#" 2.08511
-cap "li_7830_1750#" "li_6410_1750#" 0.852174
-cap "INA" "OUTB" 16.4835
-cap "OUTB" "GND" 99.6142
-cap "BIAS" "li_7840_3125#" 82.6012
-cap "OUTB" "m1_6810_1630#" 484.985
-cap "INB" "BIAS" 7.67431
-cap "li_7850_1435#" "li_6405_1435#" 0.834043
-cap "li_6410_1935#" "li_6410_1750#" 50.4
-cap "li_6405_1435#" "li_6410_1935#" 15.957
-cap "li_7840_3125#" "li_7840_1935#" 6.54545
-cap "li_7830_1750#" "li_7840_1935#" 48.5333
-cap "li_7840_3125#" "li_6405_3125#" 0.841202
-cap "OUTA" "BIAS" 42.1976
-cap "li_7850_1435#" "li_7840_1935#" 15.6559
-cap "li_7135_1935#" "li_7145_1750#" 48.5333
-cap "li_6410_1935#" "li_7840_1935#" 0.844828
-cap "GND" "li_7840_3125#" 19.413
-cap "li_7100_3125#" "li_7135_1935#" 5.69697
-cap "INA" "INB" 56.225
-cap "INB" "GND" 46.5002
-cap "INB" "m1_6810_1630#" 117.091
-cap "li_6410_1935#" "li_6405_3125#" 6.42424
-cap "li_7850_1435#" "SUB" 2.25287
-cap "li_7145_1750#" "li_6410_1750#" 2.10753
-cap "OUTB" "INB" 505.5
-cap "li_7100_3125#" "li_7145_1750#" 4.70149
-cap "li_7135_1935#" "li_7840_1935#" 2.25287
-cap "li_6405_1435#" "li_6410_1750#" 26.5
-cap "INA" "OUTA" 493.111
-cap "OUTA" "GND" 99.4546
-cap "OUTA" "m1_6810_1630#" 484.985
-cap "li_7100_3125#" "BIAS" 78.9898
-cap "OUTB" "OUTA" 36.1683
-cap "XM2/a_n33_n188#" "XR1/a_n573_n3472#" 3.42445
-cap "XM3/a_15_n100#" "XR1/a_n573_n3472#" 0.211055
-cap "XM4/a_n417_n100#" "XR1/a_n573_n3472#" 1.36222
-cap "XR1/a_n703_n3602#" "XR1/a_n573_n3472#" -77.0603
-cap "XM3/a_n33_n188#" "XR1/a_n573_n3472#" 1.46406
-cap "XM2/a_n33_n188#" "XM4/a_n417_n100#" -17.8249
-cap "XR1/a_n573_n3472#" "XM4/a_n417_n100#" -142.801
-cap "XR2/a_n703_n3602#" "XM4/a_n465_n188#" 224.833
-cap "XM4/a_n465_n188#" "XM3/a_n33_n188#" 34.5191
-cap "XR2/a_n703_n3602#" "XM4/a_n509_n100#" 354.196
-cap "XM2/a_n33_n188#" "XM4/a_n465_n188#" 34.5191
-cap "XR1/a_n573_n3472#" "XM4/a_n509_n100#" 38.1918
-cap "XR2/a_n703_n3602#" "XR2/a_n573_n3472#" -648.299
-cap "XR2/a_n573_n3472#" "XM3/a_n33_n188#" -10.6846
-cap "XR1/a_n573_n3472#" "XR2/a_n573_n3472#" 2.35742
-cap "XR2/a_n573_n3472#" "XM2/a_n33_n188#" 2.09152
-cap "XM4/a_n465_n188#" "XM4/a_n417_n100#" 194.057
-cap "XR2/a_n703_n3602#" "XM3/a_n33_n188#" 135.61
-cap "XM4/a_n509_n100#" "XM4/a_n417_n100#" -2717.3
-cap "XR2/a_n703_n3602#" "XM2/a_n33_n188#" 141.964
-cap "XR1/a_n573_n3472#" "XR2/a_n703_n3602#" -454.135
-cap "XR1/a_n573_n3472#" "XM3/a_n33_n188#" 2.08917
-cap "XM2/a_n33_n188#" "XM3/a_n33_n188#" 18.6759
-cap "XR2/a_n573_n3472#" "XM4/a_n417_n100#" -142.797
-cap "XR1/a_n573_n3472#" "XM2/a_n33_n188#" -9.57346
-cap "XM4/a_n509_n100#" "XM4/a_n465_n188#" 204.174
-cap "XR2/a_n573_n3472#" "XM4/a_n509_n100#" 38.1918
-cap "XR2/a_n703_n3602#" "XM4/a_n417_n100#" 577.423
-cap "XM4/a_n417_n100#" "XM3/a_n33_n188#" -17.8249
-cap "XR2/a_n703_n3602#" "XM3/a_n33_n188#" 4.67266
-cap "XR2/a_n703_n3602#" "XM4/a_n509_n100#" 143.274
-cap "XR2/a_n573_n3472#" "XM2/a_n33_n188#" 1.46642
-cap "XR2/a_n573_n3472#" "XM2/a_15_n100#" 0.211409
-cap "XM4/a_n417_n100#" "XR2/a_n573_n3472#" 1.36681
-cap "XR2/a_n703_n3602#" "XM4/a_n465_n188#" 32.3745
-cap "XR2/a_n703_n3602#" "XM2/a_n33_n188#" 3.69843
-cap "XM4/a_n509_n100#" "XM4/a_n465_n188#" -123.63
-cap "XR2/a_n703_n3602#" "XM2/a_15_n100#" 2.97498
-cap "XR2/a_n573_n3472#" "XM3/a_n33_n188#" 3.4381
-cap "XR2/a_n703_n3602#" "XM4/a_n417_n100#" -692.196
-cap "XR2/a_n703_n3602#" "XR2/a_n573_n3472#" 37.3519
-cap "XR2/a_n573_n3472#" "XR2/a_n703_n3602#" 129.394
-cap "XR2/a_n573_n3472#" "XM3/a_n33_n188#" 0.0461533
-cap "XR1/a_n703_n3602#" "XM4/a_n509_n100#" 113.573
-cap "XR1/a_n703_n3602#" "XM4/a_n465_n188#" 46.5609
-cap "XR2/a_n703_n3602#" "XM2/a_n33_n188#" 0.643243
-cap "XR1/a_n573_n3472#" "XM4/a_n417_n100#" 2.18947
-cap "XR1/a_n573_n3472#" "XM4/a_n509_n100#" 4.40188
-cap "XM3/a_n33_n188#" "XM4/a_n465_n188#" 1.0411
-cap "XR2/a_n703_n3602#" "XM4/a_n509_n100#" 182.157
-cap "XR2/a_n703_n3602#" "XM4/a_n417_n100#" 96.7964
-cap "XR2/a_n703_n3602#" "XR2/a_n573_n3472#" 1.89091
-cap "XR2/a_n703_n3602#" "XM4/a_n465_n188#" 573.704
-cap "XM3/a_n33_n188#" "XR2/a_n703_n3602#" 0.643243
-cap "XM2/a_n33_n188#" "XM4/a_n465_n188#" 1.0411
-cap "XR2/a_n703_n3602#" "XR1/a_n573_n3472#" 1.89091
-cap "XM4/a_n509_n100#" "XM4/a_n417_n100#" -916.964
-cap "XR2/a_n573_n3472#" "XM4/a_n417_n100#" 2.18947
-cap "XM4/a_n509_n100#" "XR2/a_n573_n3472#" 4.40188
-cap "XM4/a_n509_n100#" "XM4/a_n465_n188#" 63.7459
-cap "XM4/a_n465_n188#" "XM4/a_n417_n100#" 9.21841
-cap "XR2/a_n703_n3602#" "XM4/a_n509_n100#" 84.9781
-cap "XR2/a_n703_n3602#" "XM4/a_n417_n100#" 2.33251
-cap "XM4/a_n465_n188#" "XM4/a_n509_n100#" -17.7632
-cap "XR2/a_n703_n3602#" "XM4/a_n465_n188#" 883.236
-cap "XR2/a_n703_n3602#" "XM4/a_447_n100#" 113.689
-cap "XR2/a_n703_n3602#" "XM4/a_n369_122#" 46.4307
-cap "XR1/a_n573_3040#" "XR1/a_n703_n3602#" 88.9666
-cap "XR1/a_n703_n3602#" "XR2/a_n573_3040#" 58.5132
-cap "XR2/a_n573_3040#" "XR1/a_n703_n3602#" 88.9666
-cap "XR2/a_n703_n3602#" "XR2/a_n573_3040#" 58.5132
-cap "XR1/a_n573_3040#" "XR1/a_n703_n3602#" 34.9006
-cap "XR1/a_n703_n3602#" "XR2/a_n573_3040#" 24.7504
-cap "XR2/a_n573_3040#" "XR1/a_n703_n3602#" 34.9006
-cap "XR2/a_n703_n3602#" "XR2/a_n573_3040#" 24.7504
-merge "XM1/a_399_122#" "XM1/a_303_n188#" -20824.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -30662440 -4160 0 0 0 0 0 0 0 0 0 0
+cap "li_6410_1750#" "GND" 2.56318
+cap "li_6410_1750#" "BIAS" 0.672062
+cap "m1_6810_1630#" "INA" 33.0304
+cap "OUTB" "OUTA" 55.4651
+cap "m1_6810_1630#" "INB" 41.7906
+cap "m1_6810_1630#" "OUTB" 439.071
+cap "m1_6810_1630#" "OUTA" 427.288
+cap "INA" "li_6405_1435#" 1.06942
+cap "li_6410_1935#" "GND" 17.9231
+cap "BIAS" "li_6410_1935#" 1.99524
+cap "BIAS" "GND" 2305.99
+cap "INB" "li_6405_1435#" 3.59707
+cap "li_7840_1935#" "GND" 17.9231
+cap "li_7840_1935#" "BIAS" 1.99771
+cap "OUTB" "li_7145_1750#" 4.29088
+cap "li_7135_1935#" "li_6410_1935#" 1.84783
+cap "li_7135_1935#" "GND" 2.16977
+cap "li_7135_1935#" "BIAS" 2.11525
+cap "li_7830_1750#" "GND" 2.68377
+cap "li_7830_1750#" "BIAS" 0.673491
+cap "li_7100_3125#" "GND" 16.1506
+cap "li_7100_3125#" "BIAS" 33.2944
+cap "li_7840_3125#" "GND" 30.6602
+cap "BIAS" "li_7840_3125#" 36.8005
+cap "OUTA" "li_7145_1750#" 4.42834
+cap "li_7840_1935#" "li_7135_1935#" 1.92765
+cap "li_7830_1750#" "li_7840_1935#" 37.2472
+cap "li_7850_1435#" "SUB" 1.92765
+cap "li_7840_1935#" "li_7840_3125#" 5.81995
+cap "OUTB" "li_6405_1435#" 8.88103
+cap "li_7100_3125#" "li_7135_1935#" 5.06551
+cap "li_7100_3125#" "li_7840_3125#" 1.79217
+cap "li_7850_1435#" "GND" 4.15824
+cap "li_6405_3125#" "li_6410_1935#" 5.71217
+cap "li_7850_1435#" "li_7840_1935#" 0.517241
+cap "OUTA" "li_6405_1435#" 0.877326
+cap "li_6405_3125#" "GND" 30.217
+cap "BIAS" "li_6405_3125#" 36.5521
+cap "li_6410_1750#" "OUTB" 15.5949
+cap "m1_6810_1630#" "li_7145_1750#" 14.4548
+cap "li_7850_1435#" "li_7830_1750#" 20.6981
+cap "INA" "SUB" 1.75834
+cap "li_6410_1750#" "OUTA" 0.0735179
+cap "li_7100_3125#" "li_6405_3125#" 1.9702
+cap "INB" "SUB" 1.77892
+cap "INA" "GND" 5.01149
+cap "INA" "BIAS" 8.2829
+cap "INB" "GND" 11.2567
+cap "INB" "BIAS" 8.2829
+cap "m1_6810_1630#" "li_6410_1750#" 2.24846
+cap "OUTB" "SUB" 1.90807
+cap "OUTB" "li_6410_1935#" 15.5901
+cap "OUTB" "GND" 249.621
+cap "li_7850_1435#" "INA" 3.55521
+cap "OUTB" "BIAS" 123.175
+cap "OUTA" "SUB" 1.89202
+cap "OUTB" "li_7840_1935#" 0.078562
+cap "li_6410_1750#" "li_7145_1750#" 1.81034
+cap "OUTA" "li_6410_1935#" 0.0791578
+cap "li_7850_1435#" "INB" 1.0386
+cap "OUTA" "GND" 246.846
+cap "OUTA" "BIAS" 121.926
+cap "OUTB" "li_7135_1935#" 4.67629
+cap "li_7830_1750#" "OUTB" 0.0924599
+cap "OUTA" "li_7840_1935#" 16.531
+cap "m1_6810_1630#" "SUB" 8.10458
+cap "OUTA" "li_7135_1935#" 4.76692
+cap "li_7830_1750#" "OUTA" 15.5088
+cap "li_6410_1750#" "li_6405_1435#" 21.9399
+cap "OUTA" "li_7840_3125#" 0.136328
+cap "li_7850_1435#" "OUTB" 0.84995
+cap "m1_6810_1630#" "li_6410_1935#" 1.82976
+cap "m1_6810_1630#" "GND" 3263.25
+cap "m1_6810_1630#" "BIAS" 1103.4
+cap "OUTB" "li_6405_3125#" 0.136328
+cap "INA" "INB" 27.641
+cap "m1_6810_1630#" "li_7840_1935#" 1.80533
+cap "li_7850_1435#" "OUTA" 9.2579
+cap "m1_6810_1630#" "li_7135_1935#" 33.4372
+cap "m1_6810_1630#" "li_7830_1750#" 2.11216
+cap "li_7145_1750#" "SUB" 22.3539
+cap "m1_6810_1630#" "li_7100_3125#" 24.8733
+cap "li_7145_1750#" "GND" 0.343756
+cap "li_7145_1750#" "BIAS" 0.741517
+cap "OUTB" "INA" 12.4365
+cap "li_6405_1435#" "SUB" 1.79217
+cap "OUTB" "INB" 264.464
+cap "INA" "OUTA" 263.168
+cap "li_7135_1935#" "li_7145_1750#" 37.2472
+cap "li_7830_1750#" "li_7145_1750#" 2.01467
+cap "li_6405_1435#" "GND" 2.70825
+cap "OUTA" "INB" 12.399
+cap "li_6410_1750#" "li_6410_1935#" 38.6798
+cap "XR1/a_n573_n3472#" "XR1/a_n703_n3602#" 135.303
+cap "XM4/a_n509_n100#" "XR1/a_n573_n3472#" 8.25917
+cap "XM2/a_n33_n188#" "XR1/a_n573_n3472#" 9.2999
+cap "XR1/a_n573_n3472#" "XM3/a_15_n100#" 0.734253
+cap "XM4/a_n465_n188#" "XR1/a_n573_n3472#" 4.93396
+cap "XM4/a_n509_n100#" "XR1/a_n703_n3602#" 1.18398
+cap "XM3/a_n33_n188#" "XR1/a_n573_n3472#" 3.28739
+cap "XM4/a_n417_n100#" "XR1/a_n573_n3472#" 10.3682
+cap "XR1/a_n573_n3472#" "XM3/a_n33_n188#" 7.24306
+cap "XM4/a_n509_n100#" "XM4/a_n417_n100#" -1215.91
+cap "XM4/a_n417_n100#" "XM3/a_n33_n188#" 3.57986
+cap "XR2/a_n573_n3472#" "XM2/a_n33_n188#" 7.2675
+cap "XM4/a_n509_n100#" "XM4/a_n465_n188#" 72.1579
+cap "XR2/a_n573_n3472#" "XR1/a_n573_n3472#" 7.68492
+cap "XR2/a_n573_n3472#" "XR2/a_n703_n3602#" 50.098
+cap "XM4/a_n465_n188#" "XM3/a_n33_n188#" 22.6435
+cap "XR1/a_n573_n3472#" "XM2/a_n33_n188#" 28.6485
+cap "XM2/a_n33_n188#" "XR2/a_n703_n3602#" 66.8344
+cap "XR1/a_n573_n3472#" "XR2/a_n703_n3602#" 80.4266
+cap "XM4/a_n417_n100#" "XR2/a_n573_n3472#" -54.9252
+cap "XM4/a_n417_n100#" "XM2/a_n33_n188#" 11.1968
+cap "XM4/a_n465_n188#" "XR2/a_n573_n3472#" 26.2892
+cap "XM4/a_n465_n188#" "XM2/a_n33_n188#" 26.7625
+cap "XM4/a_n417_n100#" "XR1/a_n573_n3472#" -49.38
+cap "XM4/a_n417_n100#" "XR2/a_n703_n3602#" 282.629
+cap "XM4/a_n509_n100#" "XM3/a_n33_n188#" 5.56684
+cap "XM4/a_n465_n188#" "XR1/a_n573_n3472#" 26.2891
+cap "XM4/a_n465_n188#" "XR2/a_n703_n3602#" 129.599
+cap "XM4/a_n417_n100#" "XM4/a_n465_n188#" 98.7324
+cap "XM4/a_n509_n100#" "XR2/a_n573_n3472#" 20.626
+cap "XR2/a_n573_n3472#" "XM3/a_n33_n188#" 24.1416
+cap "XM4/a_n509_n100#" "XM2/a_n33_n188#" 11.9262
+cap "XM3/a_n33_n188#" "XM2/a_n33_n188#" 13.046
+cap "XM4/a_n509_n100#" "XR1/a_n573_n3472#" 20.6433
+cap "XM4/a_n509_n100#" "XR2/a_n703_n3602#" 166.153
+cap "XM3/a_n33_n188#" "XR2/a_n703_n3602#" 61.0749
+cap "XR2/a_n573_n3472#" "XM3/a_n33_n188#" 6.88754
+cap "XR2/a_n703_n3602#" "XM3/a_n33_n188#" 1.36826
+cap "XM2/a_n33_n188#" "XR2/a_n573_n3472#" 3.28834
+cap "XR2/a_n703_n3602#" "XM2/a_n33_n188#" 0.860307
+cap "XR2/a_n573_n3472#" "XM4/a_n509_n100#" 7.16371
+cap "XR2/a_n703_n3602#" "XM4/a_n509_n100#" 69.161
+cap "XM4/a_n417_n100#" "XR2/a_n573_n3472#" 16.1547
+cap "XR2/a_n703_n3602#" "XM4/a_n417_n100#" 35.2406
+cap "XR2/a_n703_n3602#" "XR2/a_n573_n3472#" 133.258
+cap "XM2/a_15_n100#" "XR2/a_n573_n3472#" 0.681298
+cap "XR2/a_n703_n3602#" "XM2/a_15_n100#" 2.37422
+cap "XM4/a_n465_n188#" "XR2/a_n573_n3472#" 4.27955
+cap "XM4/a_n465_n188#" "XR2/a_n703_n3602#" 15.7615
+cap "XR2/a_n703_n3602#" "XR2/a_n573_n3472#" 30.9701
+cap "XR2/a_n573_n3472#" "XM4/a_447_n100#" 1.09546
+cap "XR2/a_n573_n3472#" "XM4/a_n369_122#" 0.654418
+cap "XR2/a_n703_n3602#" "XM4/a_447_n100#" 1.21113
+cap "XM4/a_n509_n100#" "XR1/a_n703_n3602#" 71.939
+cap "XM4/a_n465_n188#" "XR1/a_n703_n3602#" 35.4349
+cap "XM4/a_n509_n100#" "XR1/a_n573_n3472#" 24.2663
+cap "XM4/a_n465_n188#" "XR1/a_n573_n3472#" 50.3481
+cap "XM4/a_n417_n100#" "XR2/a_n573_n3472#" 0.22504
+cap "XM4/a_n465_n188#" "XM2/a_n33_n188#" 0.334009
+cap "XM4/a_n465_n188#" "XR1/a_n573_n3472#" 0.190932
+cap "XM4/a_n417_n100#" "XM4/a_n509_n100#" -410.62
+cap "XM3/a_n33_n188#" "XM4/a_n509_n100#" 0.117461
+cap "XM4/a_n417_n100#" "XR2/a_n703_n3602#" 52.98
+cap "XM3/a_n33_n188#" "XR2/a_n703_n3602#" 0.425969
+cap "XR1/a_n573_n3472#" "XM4/a_n509_n100#" 0.358015
+cap "XM2/a_n33_n188#" "XM4/a_n509_n100#" 0.117461
+cap "XM4/a_n465_n188#" "XR2/a_n573_n3472#" 0.045444
+cap "XM2/a_n33_n188#" "XR2/a_n703_n3602#" 0.425969
+cap "XR1/a_n573_n3472#" "XR2/a_n703_n3602#" 0.240159
+cap "XM4/a_n465_n188#" "XM4/a_n509_n100#" 56.0108
+cap "XM4/a_n465_n188#" "XR2/a_n703_n3602#" 210.167
+cap "XR2/a_n573_n3472#" "XM4/a_n509_n100#" 0.355445
+cap "XM4/a_n417_n100#" "XM3/a_n33_n188#" 0.0824337
+cap "XR2/a_n573_n3472#" "XR2/a_n703_n3602#" 0.243102
+cap "XM4/a_n417_n100#" "XM2/a_n33_n188#" 0.0824337
+cap "XM4/a_n417_n100#" "XR1/a_n573_n3472#" 0.22504
+cap "XM4/a_n509_n100#" "XR2/a_n703_n3602#" 258.356
+cap "XM4/a_n417_n100#" "XM4/a_n465_n188#" 33.6825
+cap "XM4/a_n465_n188#" "XM3/a_n33_n188#" 0.206638
+cap "XR2/a_n703_n3602#" "XM4/a_n465_n188#" 49.1117
+cap "XM4/a_n509_n100#" "XR2/a_n573_n3472#" 21.0501
+cap "XR2/a_n573_n3472#" "XR2/a_n703_n3602#" -0.00368115
+cap "XM4/a_n417_n100#" "XR2/a_n703_n3602#" 5.77151
+cap "XM4/a_n509_n100#" "XR2/a_n703_n3602#" 143.624
+cap "XR2/a_n573_n3472#" "XM4/a_n465_n188#" 43.7775
+cap "XR2/a_n703_n3602#" "XM4/a_n369_122#" 35.4821
+cap "XM4/a_447_n100#" "XR2/a_n573_n3472#" 3.22476
+cap "XM4/a_447_n100#" "XR2/a_n703_n3602#" 72.0391
+cap "XR2/a_n573_n3472#" "XM4/a_n369_122#" 6.69435
+cap "XR1/a_n573_3040#" "XR1/a_n703_n3602#" 22.788
+cap "XR2/a_n573_3040#" "XR1/a_n703_n3602#" 56.8212
+cap "XR2/a_n573_3040#" "XR1/a_n703_n3602#" 57.0717
+cap "XR2/a_n573_3040#" "XR2/a_n703_n3602#" 22.6094
+cap "XR1/a_n573_3040#" "XR1/a_n703_n3602#" 35.6734
+cap "XR2/a_n573_3040#" "XR1/a_n703_n3602#" 18.3801
+cap "XR2/a_n573_3040#" "XR1/a_n703_n3602#" 40.2343
+cap "XR2/a_n703_n3602#" "XR2/a_n573_3040#" 13.843
+merge "XM1/a_399_122#" "XM1/a_303_n188#" -985.126 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -53360 -4160 0 0 0 0 0 0 0 0 0 0
 merge "XM1/a_303_n188#" "XM1/a_207_122#"
 merge "XM1/a_207_122#" "XM1/a_111_n188#"
 merge "XM1/a_111_n188#" "XM1/a_15_122#"
@@ -183,7 +241,7 @@
 merge "XM4/a_n273_n188#" "XM4/a_n369_122#"
 merge "XM4/a_n369_122#" "XM4/a_n465_n188#"
 merge "XM4/a_n465_n188#" "BIAS"
-merge "XM1/a_351_n100#" "XM1/a_159_n100#" 2460.23 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8833920 -5904 -7375650 0 0 0 0 0 0 0 0 0
+merge "XM1/a_351_n100#" "XM1/a_159_n100#" -722.17 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -109920 -5904 0 0 0 0 0 0 0 0 0 0
 merge "XM1/a_159_n100#" "XM1/a_n33_n100#"
 merge "XM1/a_n33_n100#" "XM1/a_n225_n100#"
 merge "XM1/a_n225_n100#" "XM1/a_n417_n100#"
@@ -195,7 +253,7 @@
 merge "XM4/a_n33_n100#" "XM4/a_n225_n100#"
 merge "XM4/a_n225_n100#" "XM4/a_n417_n100#"
 merge "XM4/a_n417_n100#" "m1_6810_1630#"
-merge "XR2/a_n703_n3602#" "XM1/a_n611_n274#" 2196.74 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3895084 -3814 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XR2/a_n703_n3602#" "XM1/a_n611_n274#" -2335.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -36935 -3814 0 0 0 0 0 0 0 0 0 0 0 0
 merge "XM1/a_n611_n274#" "XM2/a_n175_n274#"
 merge "XM2/a_n175_n274#" "XM3/a_n175_n274#"
 merge "XM3/a_n175_n274#" "li_7850_1435#"
@@ -213,9 +271,9 @@
 merge "li_6405_1435#" "li_6410_1750#"
 merge "li_6410_1750#" "li_6410_1935#"
 merge "li_6410_1935#" "li_6405_3125#"
-merge "XR2/a_n573_n3472#" "XM3/a_15_n100#" 5641.67 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2779952 -3586 0 0 0 0 0 0 0 0 0 0
+merge "XR2/a_n573_n3472#" "XM3/a_15_n100#" -1590.57 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -474642 -3586 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_15_n100#" "OUTA"
-merge "XM1/a_447_n100#" "XM1/a_255_n100#" -33522.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -29459460 -5904 -31019900 -1040 0 0 0 0 0 0 0 0
+merge "XM1/a_447_n100#" "XM1/a_255_n100#" -1462.92 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -110400 -5904 -128000 -1040 0 0 0 0 0 0 0 0
 merge "XM1/a_255_n100#" "XM1/a_63_n100#"
 merge "XM1/a_63_n100#" "XM1/a_n129_n100#"
 merge "XM1/a_n129_n100#" "XM1/a_n321_n100#"
@@ -227,9 +285,9 @@
 merge "XM4/a_n129_n100#" "XM4/a_n321_n100#"
 merge "XM4/a_n321_n100#" "XM4/a_n509_n100#"
 merge "XM4/a_n509_n100#" "GND"
-merge "XM2/a_15_n100#" "XR1/a_n573_n3472#" 1836.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2219496 -3586 0 0 0 0 0 0 0 0 0 0
+merge "XM2/a_15_n100#" "XR1/a_n573_n3472#" -1596.26 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -474642 -3586 0 0 0 0 0 0 0 0 0 0
 merge "XR1/a_n573_n3472#" "OUTB"
-merge "XR2/a_n573_3040#" "XR1/a_n573_3040#" 3479.19 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7347108 -6188 0 0 0 0 0 0 0 0 0 0
+merge "XR2/a_n573_3040#" "XR1/a_n573_3040#" -2447.34 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -930884 -6188 0 0 0 0 0 0 0 0 0 0
 merge "XR1/a_n573_3040#" "VDD"
-merge "XM3/a_n33_n188#" "INA" -2972.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4481660 -416 0 0 0 0 0 0 0 0 0 0
-merge "XM2/a_n33_n188#" "INB" -1967.25 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2920880 -416 0 0 0 0 0 0 0 0 0 0
+merge "XM3/a_n33_n188#" "INA" -109.741 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5336 -416 0 0 0 0 0 0 0 0 0 0
+merge "XM2/a_n33_n188#" "INB" -131.677 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5336 -416 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/buffer_amp_vop.ext b/mag/buffer_amp_vop.ext
index d2b2be0..9541785 100644
--- a/mag/buffer_amp_vop.ext
+++ b/mag/buffer_amp_vop.ext
@@ -1,4 +1,4 @@
-timestamp 1662863789
+timestamp 1662952744
 version 8.3
 tech sky130A
 style ngspice()
@@ -11,1070 +11,1792 @@
 use buffer_amp X2 1 0 19660 0 -1 19081
 use buffer_amp X1 1 0 15140 0 1 17350
 node "AMP" 0 33.3541 10225 20205 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1625 180 0 0 0 0
-node "OUT90" 1 3730.37 23350 10410 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
-node "OUT270" 1 3565.59 21150 10400 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
-node "m3_10348_15604#" 0 602.568 10348 15604 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 580592 3264 0 0 0 0 0 0
-node "m3_19820_13570#" 3 4691.79 19820 13570 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3226900 30226 0 0 0 0 0 0
-node "OUT0" 1 3763.09 23350 18760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
-node "OUT180" 1 3730.36 21150 18760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
-node "GND" 2 4220.47 20640 12820 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1214900 6880 2076000 11180 0 0 0 0 0 0
-node "VDD" 2 2194.66 19520 10570 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1344200 9160 0 0 0 0 0 0 0 0
-node "VOP" 3 4899.66 10240 16000 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4188200 20340 0 0 0 0 0 0 0 0
-node "m2_17580_14130#" 2 2486.05 17580 14130 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 762700 6420 867000 6380 0 0 0 0 0 0
-node "m2_20210_20620#" 1 2947.34 20210 20620 v2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 71300 1080 2147600 11080 0 0 0 0 0 0
-node "m2_18710_20900#" 2 3287.26 18710 20900 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2010400 13100 0 0 0 0 0 0 0 0
-node "BIAS" 23 5604.91 10230 13380 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 853412 25096 0 0 0 0 0 0 0 0 0 0
-node "m1_19680_17400#" 1 409.545 19680 17400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43600 1340 12100 440 29700 760 0 0 0 0 0 0
-node "I2B" 9 1720.18 26650 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20000 600 706000 14320 0 0 0 0 0 0 0 0
-node "I2A" 9 670.114 27350 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33000 860 706000 14320 0 0 0 0 0 0 0 0
-node "I3A" 9 882.028 22820 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 50600 1140 706000 14320 0 0 0 0 0 0 0 0
-node "I3B" 9 1104.44 22120 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 64900 1400 706000 14320 0 0 0 0 0 0 0 0
-node "m1_19750_17830#" 5 1103.73 19750 17830 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 171700 5000 12100 440 147400 2900 0 0 0 0 0 0
-node "I4A" 9 1416.17 27350 18490 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33000 860 706000 14320 0 0 0 0 0 0 0 0
-node "I4B" 10 2768.12 26390 18750 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31000 1080 706000 14320 0 0 0 0 0 0 0 0
-node "I1A" 9 1269.77 22820 18230 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 61600 1340 706000 14320 0 0 0 0 0 0 0 0
-node "I1B" 9 1315.22 22120 18360 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 47300 1080 706000 14320 0 0 0 0 0 0 0 0
-node "m1_19700_19000#" 5 1300.2 19700 19000 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 178700 5200 12100 440 138600 2740 0 0 0 0 0 0
-node "m1_19740_19800#" 2 790.069 19740 19800 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 79000 2340 13200 460 50400 1080 0 0 0 0 0 0
-node "BIAS" 21 5239.18 10240 22090 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 810600 23300 0 0 0 0 0 0 0 0 0 0
+node "OUT90" 1 3712.01 23350 10410 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
+node "OUT270" 1 3300.65 21150 10400 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
+node "m3_10348_15604#" 0 681.369 10348 15604 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 580592 3264 0 0 0 0 0 0
+node "m3_19820_13570#" 3 3853.09 19820 13570 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3226900 30226 0 0 0 0 0 0
+node "OUT0" 1 3716.64 23350 18760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
+node "OUT180" 1 3640.98 21150 18760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2181000 15140 0 0 0 0 0 0
+node "GND" 2 3638.78 20640 12820 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1214900 6880 2076000 11180 0 0 0 0 0 0
+node "VDD" 2 2192.06 19520 10570 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1344200 9160 0 0 0 0 0 0 0 0
+node "VOP" 3 4394.95 10240 16000 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4188200 20340 0 0 0 0 0 0 0 0
+node "m2_17580_14130#" 2 2488.36 17580 14130 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 762700 6420 867000 6380 0 0 0 0 0 0
+node "m2_20210_20620#" 1 2962.64 20210 20620 v2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 71300 1080 2147600 11080 0 0 0 0 0 0
+node "m2_18710_20900#" 2 3310.04 18710 20900 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2010400 13100 0 0 0 0 0 0 0 0
+node "BIAS" 23 5630.6 10230 13380 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 853412 25096 0 0 0 0 0 0 0 0 0 0
+node "m1_19680_17400#" 1 460.249 19680 17400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43600 1340 12100 440 29700 760 0 0 0 0 0 0
+node "I2B" 9 1801.27 26650 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20000 600 706000 14320 0 0 0 0 0 0 0 0
+node "I2A" 9 564.778 27350 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33000 860 706000 14320 0 0 0 0 0 0 0 0
+node "I3A" 9 616.688 22820 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 50600 1140 706000 14320 0 0 0 0 0 0 0 0
+node "I3B" 9 668.573 22120 17610 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 64900 1400 706000 14320 0 0 0 0 0 0 0 0
+node "m1_19750_17830#" 5 1156.69 19750 17830 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 171700 5000 12100 440 147400 2900 0 0 0 0 0 0
+node "I4A" 9 574.943 27350 18490 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 33000 860 706000 14320 0 0 0 0 0 0 0 0
+node "I4B" 10 1899.08 26390 18750 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31000 1080 706000 14320 0 0 0 0 0 0 0 0
+node "I1A" 9 671.823 22820 18230 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 61600 1340 706000 14320 0 0 0 0 0 0 0 0
+node "I1B" 9 590.345 22120 18360 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 47300 1080 706000 14320 0 0 0 0 0 0 0 0
+node "m1_19700_19000#" 5 1144.55 19700 19000 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 178700 5200 12100 440 138600 2740 0 0 0 0 0 0
+node "m1_19740_19800#" 2 761.106 19740 19800 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 79000 2340 13200 460 50400 1080 0 0 0 0 0 0
+node "BIAS" 21 5241.99 10240 22090 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 810600 23300 0 0 0 0 0 0 0 0 0 0
 node "li_24590_10440#" 45 78.4386 24590 10440 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5600 360 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_19750_14940#" 147 190.455 19750 14940 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18400 1000 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_19750_15740#" 147 176.966 19750 15740 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18400 1000 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_19700_16820#" 160 224.787 19700 16820 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20000 1080 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_19750_15740#" 147 176.667 19750 15740 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18400 1000 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_19700_16820#" 160 223.288 19700 16820 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20000 1080 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_24590_17610#" 45 75.5842 24590 17610 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5600 360 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_24590_18790#" 45 75.5842 24590 18790 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5600 360 0 0 0 0 0 0 0 0 0 0 0 0
 node "SUB" 141 211.636 19760 21370 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17600 960 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_24600_25950#" 45 78.4386 24600 25950 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5600 360 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "I2A" "I1A" 951.883
-cap "OUT270" "OUT180" 15.4679
-cap "m1_19750_17830#" "I2B" 307.279
-cap "m1_19750_17830#" "I3A" 3.86571
-cap "I4B" "I3B" 657.252
-cap "OUT0" "m3_19820_13570#" 39.2093
-cap "li_19750_14940#" "li_19750_15740#" 16.9474
-cap "I4B" "m3_19820_13570#" 383.446
-cap "m1_19750_17830#" "I2A" 209.505
-cap "OUT0" "OUT90" 15.6111
-cap "OUT0" "m1_19700_19000#" 6.6678
-cap "m1_19740_19800#" "m3_19820_13570#" 31.472
-cap "VOP" "VDD" 4.34444
-cap "I4B" "m1_19700_19000#" 462.516
-cap "VDD" "BIAS" 257.8
-cap "li_19700_16820#" "m3_19820_13570#" 49.8125
-cap "m1_19750_17830#" "OUT270" 4.68333
-cap "m1_19740_19800#" "m1_19700_19000#" 21.2414
-cap "OUT180" "m2_20210_20620#" 34.5846
-cap "I3B" "m3_19820_13570#" 1399.17
-cap "li_24590_18790#" "li_24590_17610#" 3.4386
-cap "OUT270" "m1_19680_17400#" 8.24267
-cap "I4B" "I1B" 1840.68
-cap "m1_19750_17830#" "m1_19680_17400#" 50.8882
-cap "m1_19680_17400#" "BIAS" 1.6066
-cap "m3_19820_13570#" "OUT90" 40.1429
-cap "m1_19700_19000#" "m3_19820_13570#" 268.783
-cap "li_19700_16820#" "li_19750_15740#" 12.1154
-cap "I4B" "I2B" 345.353
-cap "I4B" "I3A" 501.902
-cap "I3B" "I1B" 1750.66
-cap "li_19750_14940#" "BIAS" 48.94
-cap "I4B" "I4A" 9344.74
-cap "m3_19820_13570#" "li_19750_15740#" 44.796
-cap "OUT180" "m1_19740_19800#" 9.11351
-cap "m3_19820_13570#" "I1B" 280.744
-cap "I4B" "I1A" 1092.65
-cap "I4B" "I2A" 405.95
-cap "SUB" "BIAS" 46.512
-cap "I3B" "I2B" 1041.1
-cap "I3B" "I3A" 9291.6
-cap "m1_19700_19000#" "I1B" 22.0287
-cap "GND" "m3_19820_13570#" 40.1429
-cap "I3B" "I4A" 951.883
-cap "BIAS" "m2_20210_20620#" 305.712
-cap "I2B" "m3_19820_13570#" 317.367
-cap "m3_19820_13570#" "I3A" 250.101
-cap "OUT180" "m3_19820_13570#" 39.2093
-cap "I3B" "I1A" 9201.53
-cap "I3B" "I2A" 1781.96
-cap "I4A" "m3_19820_13570#" 289.469
-cap "m1_19750_17830#" "m1_19740_19800#" 5.12807
-cap "OUT180" "m1_19700_19000#" 3.27833
-cap "m2_17580_14130#" "GND" 18.5275
-cap "m3_19820_13570#" "I1A" 1932.97
-cap "I2A" "m3_19820_13570#" 238.953
-cap "I4A" "m1_19700_19000#" 209.02
-cap "m1_19750_17830#" "I3B" 22.2358
-cap "m1_19700_19000#" "I1A" 3.86571
-cap "m1_19680_17400#" "m1_19740_19800#" 9.5434
-cap "VDD" "m3_19820_13570#" 208.695
-cap "OUT270" "m3_19820_13570#" 252.561
-cap "m2_18710_20900#" "BIAS" 254.62
-cap "I2B" "I1B" 501.902
-cap "m2_18710_20900#" "m2_20210_20620#" 251.727
-cap "m1_19750_17830#" "m3_19820_13570#" 432.102
-cap "I1B" "I3A" 951.883
-cap "m1_19750_17830#" "OUT90" 10.478
-cap "m1_19740_19800#" "m2_20210_20620#" 17.1043
-cap "I4A" "I1B" 9277.92
-cap "m1_19750_17830#" "m1_19700_19000#" 212.627
-cap "m2_17580_14130#" "VDD" 9.69145
-cap "m2_17580_14130#" "VOP" 946.739
-cap "I1B" "I1A" 9326.57
-cap "I2A" "I1B" 657.252
-cap "I2B" "I3A" 1837.35
-cap "m1_19680_17400#" "m3_19820_13570#" 213.894
-cap "m3_10348_15604#" "VOP" 972.663
-cap "I2B" "I4A" 405.95
-cap "I4A" "I3A" 657.252
-cap "m1_19680_17400#" "m1_19700_19000#" 16.7409
-cap "BIAS" "li_19750_15740#" 47.3901
-cap "I2B" "I1A" 657.252
-cap "I2B" "I2A" 9320.41
-cap "I3A" "I1A" 1750.66
-cap "I2A" "I3A" 9281.05
-cap "li_19750_14940#" "m3_19820_13570#" 46.9689
-cap "GND" "VDD" 698.549
-cap "I4A" "I1A" 1827.05
-cap "GND" "VOP" 6.71414
-cap "I4A" "I2A" 508.611
-cap "GND" "OUT270" 204.364
-cap "OUT270" "X3/XR1/a_n703_n3602#" 24.3874
-cap "X3/VDD" "OUT270" 496.778
-cap "X3/VDD" "X3/XR1/a_n703_n3602#" 0.245755
-cap "OUT270" "X3/XR1/a_n703_n3602#" -111.52
-cap "X3/VDD" "OUT270" -1352.14
-cap "X3/XR2/a_n703_n3602#" "OUT90" 45.9634
-cap "OUT90" "X3/VDD" 767.206
-cap "X3/XR2/a_n703_n3602#" "X3/VDD" 19.2743
-cap "X3/VDD" "X3/XR2/a_n703_n3602#" 148.332
-cap "X3/VDD" "X3/XR2/a_n703_n3602#" 10.356
-cap "X6/XC2/m3_n2150_n3100#" "X6/IN" 611.615
-cap "X6/XM41/a_495_122#" "X6/XC2/m3_n2150_n3100#" 364.892
-cap "X6/XC2/m3_n2150_n3100#" "X6/IN" 106.612
-cap "GND" "X6/XM41/a_n707_n274#" 71.0185
-cap "X6/GND" "BIAS" 462.568
-cap "BIAS" "X6/XC2/c1_n2050_n3000#" -114.529
-cap "X6/GND" "BIAS" -110.25
-cap "GND" "BIAS" 584.533
-cap "X6/XC2/c1_n2050_n3000#" "BIAS" 91.4773
-cap "X6/IN" "X6/XC2/m3_n2150_n3100#" 611.615
-cap "BIAS" "X6/XC2/m3_n2150_n3100#" 738.207
-cap "BIAS" "X6/IN" 354.674
-cap "X6/XM41/a_495_122#" "BIAS" 175.67
-cap "X6/XM41/a_495_122#" "X6/XC2/m3_n2150_n3100#" 420.489
-cap "X6/XM41/a_543_n100#" "X6/XC2/m3_n2150_n3100#" 11.8982
-cap "X6/XC2/m3_n2150_n3100#" "BIAS" 504.122
-cap "X6/VDD" "X3/BIAS" 5.81102
-cap "X6/SUB" "X3/BIAS" -809.001
-cap "X6/IN" "X6/VOP" 48.705
-cap "X6/VDD" "X6/VOP" 28.5999
-cap "X6/SUB" "X6/VOP" 1.87387
-cap "X6/VDD" "X6/IN" 328.147
-cap "X6/IN" "X6/SUB" 0.300126
-cap "X6/VDD" "X6/SUB" -2.16818
-cap "X6/IN" "X6/XC2/m3_n2150_n3100#" 225.444
-cap "X3/BIAS" "X6/VOP" 7.47596
-cap "X6/VDD" "X6/XC2/m3_n2150_n3100#" 11.8982
-cap "X6/IN" "X3/BIAS" 72.6817
-cap "X3/BIAS" "X6/IN" 72.3764
-cap "X6/SUB" "X6/VDD" 159.132
-cap "X6/VDD" "X6/VOP" -31.663
-cap "X6/SUB" "X6/VOP" 5.84641
-cap "OUT270" "X6/IN" 0.0211278
-cap "X6/SUB" "X6/IN" 3.34427
-cap "X6/VDD" "X6/IN" 707.049
-cap "X3/GND" "X6/VDD" -56.1315
-cap "X6/VOP" "X6/IN" 151.015
-cap "X3/GND" "X6/VOP" 2.78439
-cap "X6/VDD" "X3/BIAS" 13.3702
-cap "X6/SUB" "X3/BIAS" -90.801
-cap "X6/VOP" "X3/BIAS" 29.3487
-cap "X3/GND" "X6/IN" 192.433
-cap "X6/XM41/a_303_122#" "X6/XR21/a_n415_n4762#" 2.09079
-cap "X3/BIAS" "X6/XM41/a_399_n188#" 0.893672
-cap "X6/VOP" "X3/GND" 2.78439
-cap "X3/BIAS" "X6/XR21/a_n415_n4762#" 641.545
-cap "X3/BIAS" "X6/VDD" 19.4178
-cap "X6/XM41/a_399_n188#" "X6/XR21/a_n415_n4762#" 1.5536
-cap "X3/BIAS" "X6/VOP" 17.4891
-cap "X6/XM41/a_303_122#" "X3/BIAS" 1.75714
-cap "X6/VDD" "X6/XR21/a_n415_n4762#" 14.5307
-cap "X6/VOP" "X6/XR21/a_n415_n4762#" 13.1831
-cap "X6/IN" "OUT270" 0.0211278
-cap "X6/XR21/a_n415_n4762#" "X3/GND" 10.8108
-cap "X6/XC2/c1_n2050_n3000#" "X6/GND" 23.398
-cap "X6/XR21/a_n415_n4762#" "X6/GND" 8.22943
-cap "BIAS" "X6/GND" 6.076
-cap "X6/GND" "VOP" 64.4843
-cap "X6/XC2/c1_n2050_n3000#" "X6/GND" 26.0806
-cap "GND" "X6/XC2/c1_n2050_n3000#" -0.158292
-cap "GND" "X5/XC1/m3_n2150_n3100#" 27.4913
-cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/c1_n2050_n3000#" 26.2389
-cap "X6/IN" "X6/XC2/m3_n2150_n3100#" -0.158292
-cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/m3_n2150_n3100#" 27.4913
-cap "X6/IN" "X5/XC1/m3_n2150_n3100#" 26.2389
-cap "X6/XM41/a_495_122#" "X5/XC1/m3_n2150_n3100#" 8.7338
-cap "X6/XC2/m3_n2150_n3100#" "X5/XC1/m3_n2150_n3100#" 11.8802
-cap "X6/XC2/m3_n2150_n3100#" "X6/XM41/a_543_n100#" 5.2909
-cap "X6/XM41/a_495_122#" "X6/XC2/m3_n2150_n3100#" -0.0526886
-cap "X6/VOP" "X6/IN" 0.730268
-cap "X6/SUB" "X6/VDD" 122.973
-cap "X6/IN" "X3/BIAS" 0.219208
-cap "X6/XC2/m3_n2150_n3100#" "X6/VDD" 5.2909
-cap "X6/VOP" "X3/BIAS" 21.9094
-cap "X5/IN4" "X6/SUB" 1.43663
-cap "X5/IN4" "X6/VOP" 2.49002
-cap "X6/IN" "X3/BIAS" 0.836016
-cap "X6/VDD" "X6/SUB" 4.336
-cap "X6/VDD" "X6/VOP" 6.83724
-cap "X6/VOP" "X6/SUB" 13.2981
-cap "X6/IN" "X6/SUB" -27.1152
-cap "X6/IN" "X6/VOP" -2.87991
-cap "X3/GND" "X6/VOP" 29.8384
-cap "X5/XM26/a_159_n100#" "X6/SUB" 6.56017
-cap "X5/XM26/a_159_n100#" "X6/VOP" 9.64663
-cap "X3/GND" "X6/VOP" 29.8384
-cap "X6/XR21/a_n415_n4762#" "X3/BIAS" 543.754
-cap "X3/GND" "X5/IN4" 469.684
-cap "X6/XR21/a_n415_n4762#" "X6/IN" -125.346
-cap "X6/XR21/a_n415_n4762#" "X6/VOP" 15.4651
-cap "X6/VOP" "X3/BIAS" 21.9094
-cap "X6/XR21/a_n415_n4762#" "X6/XM41/a_399_n188#" 0.743027
-cap "X3/GND" "X6/XR21/a_n415_n4762#" -59.8426
-cap "X3/GND" "X3/BIAS" 219.594
-cap "X3/BIAS" "X6/XM41/a_399_n188#" 0.836016
-cap "X5/IN4" "X3/BIAS" 91.74
-cap "X3/OUTB" "X3/BIAS" -220.261
-cap "X3/GND" "X3/OUTB" -206.483
-cap "X3/BIAS" "X3/GND" -300.777
-cap "X3/OUTA" "X3/GND" 667.002
-cap "X3/OUTA" "X3/BIAS" 124.496
-cap "X3/XR1/a_n703_n3602#" "X3/GND" 0.933759
-cap "X3/XR1/a_n703_n3602#" "X3/BIAS" -640.153
-cap "X3/BIAS" "X3/XM4/a_n611_n274#" -9.67232
-cap "X3/GND" "X3/XM4/a_n611_n274#" -40.7759
-cap "X6/GND" "VOP" 742.601
-cap "X6/XC2/c1_n2050_n3000#" "X6/GND" 23.54
-cap "X6/GND" "VOP" -1624.74
-cap "X6/GND" "X6/XC2/c1_n2050_n3000#" 26.2389
-cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/m3_n2150_n3100#" 27.4913
-cap "X6/XC2/c1_n2050_n3000#" "X5/XC1/m3_n2150_n3100#" 26.2389
-cap "X5/XC1/m3_n2150_n3100#" "VOP" 2217.87
-cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/m3_n2150_n3100#" 27.4913
-cap "X5/XC1/m3_n2150_n3100#" "VOP" 3543.54
-cap "X6/XC2/c1_n2050_n3000#" "X5/XC1/m3_n2150_n3100#" 26.2389
-cap "X5/XC1/m3_n2150_n3100#" "VOP" 2526.87
-cap "X5/XC1/m3_n2150_n3100#" "m2_17580_14130#" 19.9255
-cap "X6/XC2/m3_n2150_n3100#" "X5/XC1/m3_n2150_n3100#" 11.8802
-cap "X6/XC2/c1_n2050_n3000#" "X5/XC1/m3_n2150_n3100#" 8.7338
-cap "X5/VDD" "X5/XC1/m3_n2150_n3100#" 19.9255
-cap "X6/VOP" "X6/VDD" 3.40054
-cap "X5/VDD" "X6/SUB" -349.716
-cap "X5/VDD" "X5/XM26/a_159_n100#" -1371.31
-cap "X5/VDD" "X6/VOP" -6.84464
-cap "X5/VDD" "X5/IN4" -255.776
-cap "m3_19820_13570#" "X6/SUB" -9.80373
-cap "X5/VDD" "X6/SUB" 76.005
-cap "X5/IN4" "m3_19820_13570#" 22.0389
-cap "X5/VDD" "X5/XM26/a_159_n100#" 82.9843
-cap "X5/IN4" "X5/IN2" -6.05983
-cap "X6/SUB" "X5/XM26/a_159_n100#" 0.576597
-cap "X5/IN4" "X5/VDD" 88.5906
-cap "X5/IN4" "X6/SUB" 18.7641
-cap "X5/IN4" "X5/XM26/a_159_n100#" -40.2274
-cap "X5/IN4" "X5/IN3" -13.7561
-cap "X6/VOP" "X6/VDD" 7.48118
-cap "X6/VOP" "X5/VDD" 9.00946
-cap "X6/VOP" "X6/SUB" 5.15162
-cap "X6/VOP" "X5/XM26/a_159_n100#" 24.653
-cap "X5/IN4" "X6/VOP" 2.49002
-cap "X6/XR21/a_n415_n4762#" "X5/XM26/a_159_n100#" 2.33333
-cap "X3/GND" "X5/IN4" 1.28281
-cap "X6/XR21/a_n415_n4762#" "X5/IN4" 72.0394
-cap "X5/VDD" "X5/IN4" 10.2961
-cap "m3_19820_13570#" "X5/IN4" 43.8664
-cap "X3/BIAS" "X5/IN4" 0.492346
-cap "X6/XR21/a_n415_n4762#" "X5/VDD" 1.55556
-cap "X6/XR21/a_n415_n4762#" "m3_19820_13570#" -29.0974
-cap "X5/XM26/a_159_n100#" "X5/IN4" 16.1836
-cap "X1/OUTB" "X5/IN4" 4.65179
-cap "m3_19820_13570#" "X3/OUTB" -7.20424
-cap "I2B" "X3/GND" 1.76657
-cap "X3/XM1/a_n417_n100#" "I2B" 44.646
-cap "X1/INB" "X3/BIAS" 0.634457
-cap "X3/XM1/a_n417_n100#" "X3/SUB" -10.4481
-cap "X3/GND" "X3/SUB" -0.0766449
-cap "X3/GND" "I4B" 0.745235
-cap "X3/XM1/a_n417_n100#" "I4B" 7.84846
-cap "X3/XM1/a_n417_n100#" "X1/INA" 16.4233
-cap "X1/INA" "X3/GND" 1.19499
-cap "X1/OUTA" "X3/GND" 1.42041
-cap "X3/SUB" "X1/OUTB" 1.8536
-cap "I4A" "X3/GND" 0.812327
-cap "X3/XM1/a_n417_n100#" "I4A" 10.9229
-cap "X3/GND" "X3/OUTA" 2.81479
-cap "X1/INA" "X3/SUB" 0.297686
-cap "X3/XM1/a_n417_n100#" "X1/INB" 15.096
-cap "X3/GND" "X1/INB" 1.74786
-cap "X1/OUTA" "X3/SUB" 1.59516
-cap "X3/XM1/a_n417_n100#" "X3/INA" 26.8962
-cap "X3/INA" "X3/GND" 1.28422
-cap "X3/XM1/a_n417_n100#" "X3/BIAS" -0.000249894
-cap "X3/OUTB" "X1/OUTB" -0.267204
-cap "X1/INB" "X3/SUB" 0.595372
-cap "X3/SUB" "X3/OUTB" 0.272727
-cap "X3/GND" "I2A" 1.47733
-cap "X3/XM1/a_n417_n100#" "I2A" 32.7159
-cap "X3/XM1/a_n417_n100#" "X3/INB" 21.0836
-cap "X3/INB" "X3/GND" 1.20511
-cap "X3/XM1/a_n417_n100#" "X3/INB" 2.63814
-cap "X4/XR1/a_n703_n3602#" "X4/OUTB" 2.81599
-cap "X3/OUTA" "X4/OUTB" -2.35825
-cap "X3/GND" "X3/BIAS" -0.000295565
-cap "X3/XM1/a_n417_n100#" "X1/INA" 2.89907
-cap "X3/GND" "I2A" 8.37154
-cap "I4B" "X3/GND" 4.223
-cap "I2B" "X3/GND" 10.0105
-cap "I4A" "X3/XM1/a_n417_n100#" 1.69767
-cap "X4/XR1/a_n703_n3602#" "X1/OUTA" 0.258434
-cap "X4/XR1/a_n703_n3602#" "X3/OUTA" 18.8066
-cap "X3/INA" "X3/GND" 7.2674
-cap "X4/XR1/a_n703_n3602#" "X3/GND" -0.526048
-cap "X3/OUTA" "X1/OUTA" 1.91888
-cap "X3/GND" "X1/INB" 5.0586
-cap "X1/INA" "X3/BIAS" 0.747569
-cap "X3/XM1/a_n417_n100#" "I2A" 4.183
-cap "I4B" "X3/XM1/a_n417_n100#" 1.51736
-cap "I2B" "X3/XM1/a_n417_n100#" 5.91481
-cap "X3/INA" "X3/XM1/a_n417_n100#" 3.28936
-cap "X4/XR1/a_n703_n3602#" "X1/INA" 0.297686
-cap "X3/GND" "X3/INB" 6.30645
-cap "X3/XM1/a_n417_n100#" "X1/INB" 1.92661
-cap "X1/INA" "X3/GND" 6.63543
-cap "I4A" "X3/GND" 4.60319
-cap "X1/OUTA" "X3/OUTA" 2.4657
-cap "X3/OUTA" "X4/OUTB" 177.766
-cap "X3/OUTA" "X4/SUB" 73.5937
-cap "X4/SUB" "X4/OUTB" 78.9674
-cap "X3/GND" "X2/INA" 9.84887
-cap "I1A" "X3/GND" 6.60473
-cap "I1B" "X3/GND" 5.95129
-cap "X4/SUB" "X3/GND" -0.131192
-cap "X4/SUB" "X4/OUTB" 10.0207
-cap "X3/GND" "X2/INB" 11.8405
-cap "X4/OUTB" "X2/XM1/a_n417_n100#" 1.5099e-14
-cap "X4/SUB" "X4/INB" 0.595372
-cap "X2/XM1/a_n417_n100#" "I3A" 21.5877
-cap "I3B" "X2/XM1/a_n417_n100#" 17.0341
-cap "X4/INA" "X2/XM1/a_n417_n100#" 9.29489
-cap "X4/INB" "X2/XM1/a_n417_n100#" 7.07205
-cap "X3/XR2/a_n573_n3472#" "X4/OUTB" 5.07053
-cap "X2/INA" "X2/XM1/a_n417_n100#" 26.5467
-cap "I1A" "X2/XM1/a_n417_n100#" 13.4484
-cap "I1B" "X2/XM1/a_n417_n100#" 11.8125
-cap "X4/SUB" "X2/XM1/a_n417_n100#" -9.67982
-cap "X3/BIAS" "X2/XM1/a_n417_n100#" -0.000253341
-cap "X4/SUB" "X3/XR2/a_n573_n3472#" 2.81599
-cap "X2/INB" "X2/XM1/a_n417_n100#" 36.4353
-cap "X3/GND" "I3A" 8.4632
-cap "X3/GND" "I3B" 7.41935
-cap "X4/INA" "X3/GND" 5.41551
-cap "X3/GND" "X4/INB" 5.26035
-cap "I1B" "X2/XM1/a_n417_n100#" 7.70286
-cap "X2/GND" "I1A" 6.60473
-cap "I3B" "X2/XM1/a_n417_n100#" 11.4786
-cap "X4/INA" "X2/XM1/a_n417_n100#" 5.89747
-cap "X2/INB" "X2/XM1/a_n417_n100#" 24.6282
-cap "X4/SUB" "X2/XM1/a_n417_n100#" -0.76824
-cap "X4/OUTB" "X4/SUB" 7.50006
-cap "X2/GND" "I1B" 5.95129
-cap "X2/GND" "I3B" 7.41935
-cap "X2/GND" "X4/INA" 5.67105
-cap "X2/GND" "X2/INB" 11.7771
-cap "X2/GND" "X4/SUB" -0.131192
-cap "X2/INA" "X2/XM1/a_n417_n100#" 18.0187
-cap "X4/SUB" "X4/INA" 0.595372
-cap "X2/GND" "X2/BIAS" -0.000296426
-cap "X2/XM1/a_n417_n100#" "X4/INB" 3.81113
-cap "I3A" "X2/XM1/a_n417_n100#" 14.8463
-cap "X2/GND" "X2/INA" 9.90781
-cap "X4/INA" "X2/BIAS" 0.61747
-cap "X4/OUTB" "X2/XM1/a_n417_n100#" 1.42109e-14
-cap "X2/GND" "X4/INB" 4.96823
-cap "X2/XM1/a_n417_n100#" "I1A" 8.72401
-cap "X2/GND" "I3A" 8.4632
-cap "X4/SUB" "X4/OUTA" 5.92929
-cap "X5/IN4" "X5/SUB" -17.0439
-cap "X5/VDD" "X5/IN2" 17.9064
-cap "X5/IN4" "m3_19820_13570#" -2.44788
-cap "X5/IN3" "X5/SUB" 0.858946
-cap "X5/XM26/a_159_n100#" "X5/SUB" 0.102512
-cap "X5/IN4" "X5/XM26/a_159_n100#" -147.561
-cap "X5/IN4" "X5/IN3" -1.00611
-cap "X5/IN3" "X5/IN1" -0.241019
-cap "m3_19820_13570#" "X5/IN3" 0.103882
-cap "X5/XM26/a_159_n100#" "X5/IN3" -40.3932
-cap "X5/SUB" "X5/IN2" 0.229508
-cap "X5/IN4" "X5/IN2" -0.843408
-cap "X5/IN1" "X5/IN2" -4.44089e-16
-cap "X5/VDD" "X5/SUB" 11.3997
-cap "X5/XM26/a_159_n100#" "X5/IN2" -16.4152
-cap "X5/IN3" "X5/IN2" -0.504786
-cap "X5/IN4" "X5/VDD" -36.412
-cap "X5/XM26/a_159_n100#" "X5/VDD" -2.19658
-cap "X5/VDD" "X5/IN3" 37.7873
-cap "X5/IN2" "X5/IN4" 38.8421
-cap "X5/IN1" "X5/IN4" 94.7277
-cap "X5/IN1" "X5/IN3" 20.3586
-cap "X5/IN4" "X5/SUB" 74.0491
-cap "X5/IN4" "X5/VDD" 5.17173
-cap "X5/IN3" "X5/VDD" 1.86829
-cap "X5/IN4" "m3_19820_13570#" -8.65934
-cap "X5/IN3" "m3_19820_13570#" 4.0845
-cap "X5/IN2" "X5/IN1" 77.0598
-cap "X5/IN2" "X5/SUB" 0.229508
-cap "X5/IN4" "X5/IN3" 129.324
-cap "X5/IN2" "X5/VDD" 1.94216
-cap "X5/IN1" "X5/SUB" 8.39932
-cap "X5/IN4" "X3/INB" -185.384
-cap "X5/IN4" "X5/XM26/a_159_n100#" 7.53848
-cap "X5/IN3" "X5/XM26/a_159_n100#" 0.0396774
-cap "X5/IN1" "m3_19820_13570#" 106.506
-cap "X3/SUB" "X1/OUTB" 1.65583
-cap "X1/INB" "X1/OUTB" -2.64656
-cap "X3/GND" "X1/INB" 1.74786
-cap "X3/GND" "X3/INB" 1.20511
-cap "X3/OUTB" "X3/INA" 2.97716
-cap "X1/XM4/a_447_n100#" "I4B" 1.76391
-cap "m3_19820_13570#" "X1/OUTA" 8.49535
-cap "X3/INB" "X1/OUTA" 5.22328
-cap "X1/INB" "X1/OUTA" 8.66139
-cap "X3/SUB" "X1/OUTA" 1.99681
-cap "X3/OUTB" "X1/XM2/a_n73_n100#" 7.41173
-cap "I2B" "X3/INB" 0.050359
-cap "X1/INA" "X1/XM4/a_447_n100#" 1.11185
-cap "m3_19820_13570#" "X3/OUTA" 8.51515
-cap "X3/INB" "X3/OUTA" 13.072
-cap "X1/INB" "X3/OUTA" 8.72768
-cap "X3/SUB" "X3/OUTA" 5.16226
-cap "X1/XM2/a_n73_n100#" "I4B" 59.958
-cap "X3/INA" "X1/INA" 9.48527
-cap "X1/XM1/a_447_n100#" "X3/INB" 1.29371
-cap "X1/INA" "X1/XM2/a_n73_n100#" 27.1598
-cap "X1/INB" "I4A" 13.9746
-cap "X3/OUTB" "I4B" 1.12356
-cap "X3/XM1/a_n417_n100#" "X3/INA" 42.4757
-cap "I2B" "X1/XM4/a_447_n100#" 0.744762
-cap "X3/OUTB" "X1/INA" 1.79358
-cap "X3/INA" "X1/OUTB" 0.747134
-cap "X3/XM1/a_n417_n100#" "X1/XM2/a_n73_n100#" 12.8266
-cap "X3/GND" "X3/INA" 1.28422
-cap "X3/INA" "X1/OUTA" 7.14743
-cap "X1/INA" "I4B" -0.865325
-cap "I2B" "X3/INA" -0.00149606
-cap "I2B" "X1/XM2/a_n73_n100#" 11.2676
-cap "X3/INA" "X3/OUTA" -16.5658
-cap "X3/INB" "I2A" 35.7389
-cap "X3/OUTB" "X1/OUTB" 45.5498
-cap "X1/XM2/a_n73_n100#" "X3/OUTA" 6.45644
-cap "X1/XM4/a_447_n100#" "I4A" 1.47547
-cap "X3/OUTB" "X1/OUTA" 32.0168
-cap "X3/XM1/a_n417_n100#" "I4B" 14.7052
-cap "I2B" "X3/OUTB" 8.75373
-cap "X1/OUTB" "I4B" 4.34444
-cap "X3/OUTB" "X3/OUTA" 196.957
-cap "X3/XM1/a_n417_n100#" "X1/INA" 31.7787
-cap "X3/GND" "I4B" 0.745235
-cap "X1/INA" "X1/OUTB" 1.11714
-cap "I4B" "X1/OUTA" -110.784
-cap "X1/XM2/a_n73_n100#" "I4A" 42.9364
-cap "X3/GND" "X1/INA" 1.19499
-cap "X3/INB" "m3_19820_13570#" 8.47759
-cap "X3/INB" "X1/INB" 10.341
-cap "X3/INB" "X3/SUB" -9.63831
-cap "X1/INA" "X1/OUTA" -3.82803
-cap "X3/OUTA" "I4B" 6.55412
-cap "X3/SUB" "X1/INB" 0.1319
-cap "X1/XM4/a_447_n100#" "I2A" 0.811765
-cap "X1/INA" "X3/OUTA" 16.0879
-cap "X1/XM1/a_303_n188#" "X3/INB" 0.696226
-cap "X3/OUTB" "I4A" 1.28337
-cap "X3/XM1/a_n417_n100#" "X1/OUTB" 7.41173
-cap "X3/XM1/a_n417_n100#" "X1/OUTA" 6.45644
-cap "X3/INA" "I2A" 1.62071
-cap "X3/XM1/a_n417_n100#" "I2B" 75.5939
-cap "X1/OUTB" "X1/OUTA" 306.193
-cap "I2B" "X1/OUTB" 0.561244
-cap "X1/XM2/a_n73_n100#" "I2A" 14.7672
-cap "X3/GND" "X1/OUTA" 1.42041
-cap "I2B" "X3/GND" 1.76657
-cap "X1/INA" "I4A" 0.681565
-cap "X3/OUTA" "X1/OUTB" 33.3287
-cap "I2B" "X1/OUTA" 3.27392
-cap "X3/GND" "X3/OUTA" 2.81479
-cap "X3/INB" "X1/XM4/a_447_n100#" 0.989873
-cap "X1/INB" "X1/XM4/a_447_n100#" 1.26811
-cap "X3/OUTA" "X1/OUTA" 10.3844
-cap "I2B" "X3/OUTA" -76.7918
-cap "X3/OUTB" "I2A" 4.44318
-cap "X3/INA" "X3/INB" 27.9193
-cap "X3/XM1/a_n417_n100#" "I4A" 18.6375
-cap "X3/INA" "X3/SUB" -56.6563
-cap "X1/XM1/a_447_n100#" "X1/OUTA" 3.00769
-cap "I4A" "X1/OUTB" 2.21321
-cap "X1/XM2/a_n73_n100#" "X3/INB" 25.3432
-cap "X1/XM2/a_n73_n100#" "X3/SUB" 4.03425
-cap "X1/XM2/a_n73_n100#" "X1/INB" 34.5567
-cap "X1/XM1/a_447_n100#" "X3/OUTA" 1.46792
-cap "X3/GND" "I4A" 0.812327
-cap "I4A" "X1/OUTA" 55.38
-cap "X1/INB" "X3/BIAS" 0.634457
-cap "X3/OUTB" "m3_19820_13570#" 89.7391
-cap "I4A" "X3/OUTA" 7.48632
-cap "X3/OUTB" "X3/INB" -46.7312
-cap "X3/OUTB" "X3/SUB" 13.5899
-cap "X3/OUTB" "X1/INB" 8.25143
-cap "X3/XM1/a_n417_n100#" "I2A" 53.3213
-cap "X1/INB" "I4B" -20.057
-cap "I2A" "X1/OUTB" 0.640984
-cap "X3/INA" "X1/XM4/a_447_n100#" 1.02367
-cap "X3/GND" "I2A" 1.47733
-cap "X1/INA" "m3_19820_13570#" 93.829
-cap "X1/INA" "X3/SUB" -0.0617359
-cap "X1/INA" "X1/INB" -1.64115
-cap "I2A" "X1/OUTA" 3.73907
-cap "I2A" "X3/OUTA" 163.384
-cap "X3/INA" "X1/XM2/a_n73_n100#" 19.2521
-cap "X3/XM1/a_n417_n100#" "X3/INB" 34.2464
-cap "X3/XM1/a_n417_n100#" "X3/SUB" 8.0685
-cap "X3/XM1/a_n417_n100#" "X1/INB" 29.9609
-cap "m3_19820_13570#" "X1/OUTB" 91.4884
-cap "X3/INB" "X1/OUTB" 4.41209
-cap "X1/XM4/a_447_n100#" "X3/INA" 5.71301
-cap "I4A" "X3/XM1/a_n417_n100#" 2.63848
-cap "X1/XM2/a_n73_n100#" "X3/INA" 3.29454
-cap "X3/INA" "X3/GND" 7.2674
-cap "X1/OUTA" "X3/INB" 24.6406
-cap "X3/INA" "X1/INA" 0.855714
-cap "X3/INA" "X3/XM1/a_n417_n100#" 5.84968
-cap "X1/XM4/a_447_n100#" "X3/INB" 5.60928
-cap "I2A" "X3/INA" 32.354
-cap "X1/XM2/a_n73_n100#" "X3/INB" 2.85085
-cap "X3/INA" "I2B" -1.88416
-cap "X3/GND" "X3/INB" 6.30645
-cap "X3/INB" "X3/XM1/a_n417_n100#" 4.1443
-cap "X3/OUTA" "X4/OUTB" 156.47
-cap "X1/INB" "X3/OUTA" 41.7745
-cap "X4/XR1/a_n703_n3602#" "X3/OUTA" 72.0447
-cap "X4/XR1/a_n703_n3602#" "X4/OUTB" 1.02157
-cap "X1/OUTA" "X3/XM1/a_n417_n100#" 0.955293
-cap "X1/OUTA" "X1/INA" 28.5299
-cap "I2A" "X1/OUTA" 18.0893
-cap "X1/XM4/a_447_n100#" "X1/INA" 6.30047
-cap "X1/XM2/a_n73_n100#" "X3/XM1/a_n417_n100#" 0.884104
-cap "X1/XM2/a_n73_n100#" "X1/INA" 3.38632
-cap "I4B" "X3/OUTA" 31.9625
-cap "I4A" "X3/OUTA" 36.2155
-cap "X1/OUTA" "I2B" 15.9668
-cap "X3/GND" "X1/INA" 6.63543
-cap "I2A" "X1/XM4/a_447_n100#" 4.6
-cap "I2A" "X1/XM2/a_n73_n100#" 2.16607
-cap "X1/INA" "X3/XM1/a_n417_n100#" 5.3381
-cap "X1/XM4/a_447_n100#" "I2B" 4.22032
-cap "X3/INA" "X3/OUTA" 41.4351
-cap "X1/XM2/a_n73_n100#" "I2B" 1.93386
-cap "I2A" "X3/GND" 8.37154
-cap "I2A" "X3/XM1/a_n417_n100#" 6.69585
-cap "I2B" "X3/GND" 10.0105
-cap "I2B" "X3/XM1/a_n417_n100#" 9.68894
-cap "X4/XR1/a_n703_n3602#" "X3/INA" -6.65097
-cap "X3/OUTA" "X3/INB" 60.2831
-cap "X3/INA" "X1/XM4/a_399_122#" 0.675824
-cap "X1/OUTA" "X3/OUTA" 99.19
-cap "X1/OUTA" "X4/OUTB" 145.641
-cap "X1/OUTA" "X1/INB" 38.6373
-cap "X1/XM2/a_n73_n100#" "X3/OUTA" 0.955293
-cap "X1/OUTA" "X4/XR1/a_n703_n3602#" 58.4237
-cap "X3/OUTA" "X1/INA" 50.7606
-cap "X1/XM4/a_447_n100#" "X1/INB" 7.18595
-cap "X1/XM2/a_n73_n100#" "X1/INB" 4.1699
-cap "X1/XM2/a_n73_n100#" "X4/XR1/a_n703_n3602#" 0.302308
-cap "I2A" "X3/OUTA" 108.286
-cap "X3/INA" "X3/INB" -2.12197
-cap "X1/INB" "X3/GND" 5.0586
-cap "X4/OUTB" "X1/INA" 171.993
-cap "X1/INB" "X1/INA" 15.0993
-cap "X1/INB" "X3/XM1/a_n417_n100#" 3.00197
-cap "I2B" "X3/OUTA" 180.199
-cap "X4/XR1/a_n703_n3602#" "X1/INA" 0.193636
-cap "X4/XR1/a_n703_n3602#" "X3/XM1/a_n417_n100#" 0.604617
-cap "X1/OUTA" "I4B" 89.6404
-cap "I4A" "X1/OUTA" 53.9777
-cap "I4B" "X1/XM4/a_447_n100#" 9.99549
-cap "I4A" "X1/XM4/a_447_n100#" 8.36101
-cap "X3/BIAS" "X1/INA" 0.747569
-cap "X1/OUTA" "X3/INA" 21.4502
-cap "X1/XM2/a_n73_n100#" "I4B" 7.77584
-cap "I4A" "X1/XM2/a_n73_n100#" 5.4268
-cap "I4B" "X3/GND" 4.223
-cap "I4A" "X3/GND" 4.60319
-cap "I4B" "X1/INA" -17.2496
-cap "I4A" "X1/INA" 12.7354
-cap "I4B" "X3/XM1/a_n417_n100#" 2.35355
-cap "X1/OUTA" "X3/INA" 6.095
-cap "X1/OUTA" "X1/INA" 8.78093
-cap "X3/INA" "X3/OUTA" 22.5741
-cap "X1/OUTA" "I2A" 5.28648
-cap "X3/OUTA" "X1/INA" 14.411
-cap "I4A" "X4/OUTB" 80.2381
-cap "X3/OUTA" "I2A" 31.4939
-cap "X1/OUTA" "I3B" 7.19549
-cap "X4/OUTB" "X4/SUB" 44.5035
-cap "I3B" "X3/OUTA" 17.5917
-cap "X4/INB" "X4/OUTB" 108.538
-cap "X1/OUTA" "X2/INB" 4.66734
-cap "X1/OUTA" "X3/OUTA" 26.1838
-cap "X1/OUTA" "I1B" 11.2625
-cap "X2/INB" "X3/OUTA" 52.0678
-cap "X3/INA" "X4/OUTB" 87.4076
-cap "I1B" "X3/OUTA" 12.2044
-cap "X4/OUTB" "X1/INA" 185.974
-cap "I2A" "X4/OUTB" 112.584
-cap "I3B" "X4/OUTB" 75.4358
-cap "X1/OUTA" "X4/OUTB" 41.3453
-cap "X2/INB" "X4/OUTB" -110.577
-cap "X3/OUTA" "X4/OUTB" 125.193
-cap "I1B" "X4/OUTB" 71.4352
-cap "X1/OUTA" "I4A" 15.6992
-cap "X3/OUTA" "I4A" 10.5838
-cap "X1/OUTA" "X4/SUB" -0.229872
-cap "X3/OUTA" "X4/SUB" 27.1737
-cap "X1/OUTA" "X4/INB" 25.9037
-cap "X4/INB" "X3/OUTA" 9.3431
-cap "X4/INA" "X4/INB" -1.5375
-cap "X2/INB" "X2/INA" -2.1114
-cap "X4/XM1/a_447_n100#" "I1A" 7.41232
-cap "X2/XM1/a_n417_n100#" "X4/OUTB" 7.41173
-cap "X3/GND" "I1A" 6.60473
-cap "X2/INB" "X4/INB" 10.6862
-cap "X4/XM1/a_447_n100#" "I3B" 6.59916
-cap "X3/GND" "I3B" 7.41935
-cap "X2/INB" "X4/SUB" -8.80912
-cap "I1B" "X4/XM2/a_n73_n100#" 29.0765
-cap "X4/SUB" "X3/XR2/a_n573_n3472#" 1.02157
-cap "X4/SUB" "X4/INB" 0.1319
-cap "X4/OUTB" "I1A" 210.851
-cap "I1B" "X2/XM1/a_n417_n100#" 20.4153
-cap "X4/OUTB" "I3B" 82.0532
-cap "X4/XM2/a_n73_n100#" "X4/INA" 36.5245
-cap "X2/INA" "X4/XM2/a_n73_n100#" 13.0465
-cap "X2/XM1/a_n417_n100#" "X4/INA" 16.8214
-cap "X2/INB" "X4/XM2/a_n73_n100#" 13.2802
-cap "X4/XM2/a_n73_n100#" "X4/INB" 51.3413
-cap "X2/INA" "X2/XM1/a_n417_n100#" 46.6495
-cap "I1B" "X3/GND" 5.95129
-cap "I1B" "X4/XM1/a_447_n100#" 8.45405
-cap "X2/XM1/a_n417_n100#" "X4/INB" 19.506
-cap "X2/INB" "X2/XM1/a_n417_n100#" 67.1699
-cap "X4/XM2/a_n73_n100#" "X4/SUB" 2.16828
-cap "X4/SUB" "X2/XM1/a_n417_n100#" 4.33656
-cap "X4/XM2/a_n73_n100#" "I3A" 14.7541
-cap "I1B" "X4/OUTB" 69.3288
-cap "X4/XM1/a_447_n100#" "X4/INA" 9.83648
-cap "X4/OUTB" "X1/XR2/a_n573_n3472#" 0.990308
-cap "X3/GND" "X2/INA" 9.84887
-cap "X2/INA" "X4/XM1/a_447_n100#" 5.41176
-cap "X3/GND" "X4/INA" 5.41551
-cap "I3A" "X2/XM1/a_n417_n100#" 36.6551
-cap "X2/INB" "X4/XM1/a_447_n100#" 5.25701
-cap "X4/XM1/a_447_n100#" "X4/INB" 11.7594
-cap "X2/INB" "X3/GND" 11.8405
-cap "X3/GND" "X4/INB" 5.26035
-cap "X4/OUTB" "X4/INA" 71.9368
-cap "X2/INA" "X4/OUTB" 122.184
-cap "X4/XM2/a_n73_n100#" "X2/XM1/a_n417_n100#" 6.85536
-cap "X2/INB" "X4/OUTB" 108.408
-cap "I3A" "X4/XM1/a_447_n100#" 5.94677
-cap "X4/OUTB" "X4/INB" 60.9622
-cap "X4/OUTB" "X3/XR2/a_n573_n3472#" 6.54325
-cap "X3/GND" "I3A" 8.4632
-cap "X4/SUB" "X4/OUTB" 87.0966
-cap "X4/XM2/a_n73_n100#" "I1A" 23.0289
-cap "I3A" "X4/OUTB" 96.5936
-cap "X4/XM2/a_n73_n100#" "I3B" 18.4469
-cap "X2/XM1/a_n417_n100#" "I1A" 23.4869
-cap "X2/XM1/a_n417_n100#" "I3B" 29.0834
-cap "X4/XM2/a_n73_n100#" "X4/OUTB" 7.41173
-cap "X4/XM4/a_447_n100#" "X2/INA" 5.66713
-cap "I3B" "X4/OUTB" 101.163
-cap "X2/INB" "X4/OUTB" 181.626
-cap "I3B" "X4/XM4/a_447_n100#" 6.59916
-cap "I1A" "X4/OUTB" 165.015
-cap "X4/XM2/a_n73_n100#" "X2/INA" 12.5636
-cap "X2/XM1/a_n417_n100#" "X2/INA" 38.663
-cap "X2/INB" "X4/XM4/a_447_n100#" 4.96508
-cap "I3A" "X2/XM1/a_n509_n100#" 8.4632
-cap "I1B" "X2/XM1/a_n509_n100#" 5.95129
-cap "I1A" "X4/XM4/a_447_n100#" 7.41232
-cap "I3B" "X2/XM1/a_n417_n100#" 23.5279
-cap "X4/XM2/a_n73_n100#" "I3B" 13.7279
-cap "X4/XM2/a_n73_n100#" "X2/INB" 7.14992
-cap "X2/INB" "X2/XM1/a_n417_n100#" 54.8213
-cap "X4/XM2/a_n73_n100#" "X4/OUTB" 7.41173
-cap "I1A" "X2/XM1/a_n417_n100#" 18.7625
-cap "X4/XM2/a_n73_n100#" "I1A" 17.481
-cap "X2/XM1/a_n417_n100#" "X4/OUTB" 7.41173
-cap "X4/INA" "X2/XM1/a_n509_n100#" 5.67105
-cap "X4/INA" "X4/INB" -21.5502
-cap "X4/SUB" "X4/INA" 0.225928
-cap "X4/INA" "X2/BIAS" 0.61747
-cap "X4/XM2/a_n73_n100#" "X2/XM1/a_n417_n100#" 6.85536
-cap "X4/INB" "X2/XM1/a_n509_n100#" 4.96823
-cap "I3A" "X4/OUTB" 118.779
-cap "I1B" "X4/OUTB" 87.5215
-cap "X4/INA" "X2/INA" 10.6862
-cap "I3A" "X4/XM4/a_447_n100#" 5.94677
-cap "I1B" "X4/XM4/a_447_n100#" 8.45405
-cap "X2/XM1/a_n509_n100#" "X2/INA" 9.90781
-cap "X4/XM4/a_399_122#" "X2/INA" 0.617057
-cap "X4/SUB" "X2/INA" -8.04246
-cap "I3A" "X2/XM1/a_n417_n100#" 29.9137
-cap "I3A" "X4/XM2/a_n73_n100#" 10.6486
-cap "I1B" "X2/XM1/a_n417_n100#" 16.3057
-cap "X4/XM2/a_n73_n100#" "I1B" 22.3462
-cap "X4/INA" "X4/OUTB" 87.4623
-cap "I3B" "X2/XM1/a_n509_n100#" 7.41935
-cap "X4/INA" "X4/XM4/a_447_n100#" 7.51269
-cap "X2/INB" "X2/XM1/a_n509_n100#" 11.7771
-cap "I1A" "X2/XM1/a_n509_n100#" 6.60473
-cap "X4/INB" "X4/OUTB" 85.2415
-cap "X4/XM2/a_n73_n100#" "X4/INA" 24.0696
-cap "X4/SUB" "X4/OUTB" 18.993
-cap "X4/INA" "X2/XM1/a_n417_n100#" 19.2474
-cap "X4/INB" "X4/XM4/a_447_n100#" 11.7594
-cap "X4/INB" "X2/XM1/a_n417_n100#" 10.5006
-cap "X4/XM2/a_n73_n100#" "X4/INB" 39.6113
-cap "X4/SUB" "X2/XM1/a_n417_n100#" 4.33656
-cap "X4/XM2/a_n73_n100#" "X4/SUB" 2.16828
-cap "X2/INB" "X2/INA" -2.99244
-cap "X4/OUTB" "X2/INA" 117.214
-cap "I3A" "X4/OUTA" 63.2883
-cap "X4/INA" "X4/OUTA" 58.021
-cap "X4/OUTA" "I3B" 54.7188
-cap "I2B" "X4/OUTA" 125.246
-cap "X4/OUTA" "X2/INA" 81.1945
-cap "X4/SUB" "X4/OUTA" 19.3969
-cap "I1B" "X4/OUTA" 51.8042
-cap "I4B" "X4/OUTA" 77.8091
-cap "I1A" "X4/OUTA" 51.1973
-cap "X5/AMP" "X5/GND" -49.0114
-cap "X5/XR18/a_n285_4200#" "X5/SUB" 3.5241
-cap "X5/XR18/a_n285_4200#" "X5/IN1" 4.195
-cap "X5/XR18/a_n285_4200#" "X5/IN2" -28.0171
-cap "X5/IN3" "X5/IN2" -0.504786
-cap "X5/IN3" "X5/IN1" -0.241019
-cap "X5/SUB" "X5/IN1" 14.1795
-cap "X5/SUB" "X5/IN2" 1.53846
-cap "X5/IN1" "X5/IN2" 9.73919
-cap "X5/XR18/a_n285_4200#" "X5/VDD" 26.6721
-cap "X5/SUB" "X5/VDD" 47.1495
-cap "X5/VDD" "X5/IN1" 70.6849
-cap "X5/VDD" "X5/IN2" 43.1493
-cap "X5/IN4" "X5/IN2" -0.843408
-cap "X5/IN4" "X5/IN1" 72.1443
-cap "X5/IN4" "X5/SUB" 12.1914
-cap "X5/XR18/a_n285_4200#" "X5/IN1" 32.782
-cap "X5/IN3" "X5/IN1" 20.3586
-cap "X5/SUB" "X5/XR18/a_n285_4200#" 3.11111
-cap "X5/IN2" "X5/IN1" 88.54
-cap "m3_19820_13570#" "X5/IN1" 46.5862
-cap "X5/IN2" "X5/SUB" 2.04279
-cap "X5/IN1" "X5/VDD" 17.1152
-cap "X5/SUB" "X5/IN1" 75.8248
-cap "X5/SUB" "X5/VDD" 2.33333
-cap "X1/GND" "X5/IN1" 2.31683
-cap "X1/OUTA" "I2B" 3.27392
-cap "X1/INB" "X1/GND" 1.26811
-cap "X3/XM2/a_n73_n100#" "X1/OUTB" 7.41173
-cap "X1/INB" "X3/SUB" 0.841102
-cap "I2B" "X1/XM2/a_n73_n100#" 11.2676
-cap "X1/OUTA" "X1/INB" 8.66139
-cap "X1/OUTA" "m3_19820_13570#" 3.88617
-cap "I2B" "X1/OUTB" 0.561244
-cap "X3/OUTA" "X1/GND" 1.46792
-cap "X3/OUTB" "X3/SUB" 4.44248
-cap "X3/OUTA" "X3/SUB" 3.56995
-cap "X1/INB" "X1/XM2/a_n73_n100#" 34.5567
-cap "X1/INB" "X3/INB" 1.71143
-cap "I4A" "X1/GND" 1.47547
-cap "X1/OUTA" "X3/OUTA" 8.64771
-cap "X1/INB" "X1/OUTB" -1.73277
-cap "X1/OUTB" "m3_19820_13570#" 41.8511
-cap "X1/BIAS" "X1/GND" 1.94678
-cap "X3/OUTB" "X1/XM2/a_n73_n100#" 7.41173
-cap "I4A" "X1/OUTA" 12.9104
-cap "X3/OUTA" "X1/XM2/a_n73_n100#" 6.45644
-cap "X3/OUTB" "X1/OUTB" 26.8468
-cap "I4B" "X1/GND" 1.76391
-cap "X3/OUTA" "X1/OUTB" 16.1919
-cap "I2A" "X1/GND" 0.811765
-cap "X1/INA" "X1/GND" 1.11185
-cap "I4A" "X1/XM2/a_n73_n100#" 42.9364
-cap "X3/SUB" "X1/INA" 0.316644
-cap "X3/SUB" "X1/GND" 3.82232
-cap "X1/BIAS" "X3/INB" 0.696226
-cap "X1/BIAS" "X1/XM2/a_n73_n100#" 50.4153
-cap "X1/OUTA" "I2A" 3.73907
-cap "X1/OUTA" "I4B" 25.3426
-cap "I4A" "X1/OUTB" 2.21321
-cap "X1/OUTA" "X1/INA" -24.3748
-cap "X1/OUTA" "X1/GND" 3.00769
-cap "X3/INA" "X1/GND" 1.02367
-cap "X1/OUTA" "X3/SUB" 3.48661
-cap "X1/INA" "X3/INA" 0.855714
-cap "X1/XM2/a_n73_n100#" "I4B" 57.7858
-cap "X3/SUB" "X3/INA" 0.664687
-cap "I2A" "X1/XM2/a_n73_n100#" 14.7672
-cap "X3/INB" "X1/GND" 2.28359
-cap "X1/INA" "X1/XM2/a_n73_n100#" 27.1598
-cap "X1/XM2/a_n73_n100#" "X1/GND" 1.67309
-cap "X1/OUTB" "I4B" 4.34444
-cap "X3/INB" "X3/SUB" 1.32937
-cap "X3/SUB" "X1/XM2/a_n73_n100#" 73.1962
-cap "X1/OUTB" "I2A" 0.640984
-cap "X1/OUTA" "X3/INA" 7.14743
-cap "X3/XM2/a_n73_n100#" "X3/SUB" 3.99263
-cap "X1/OUTB" "X1/INA" 1.11714
-cap "X3/SUB" "X1/OUTB" 3.88431
-cap "X1/OUTA" "X3/INB" 5.22328
-cap "X1/OUTA" "X3/XM2/a_n73_n100#" 6.45644
-cap "X1/XM2/a_n73_n100#" "X3/INA" 19.2521
-cap "X1/OUTA" "X1/OUTB" 106.83
-cap "I2B" "X1/GND" 0.744762
-cap "X1/OUTB" "X3/INA" 0.747134
-cap "X3/INB" "X1/XM2/a_n73_n100#" 25.3432
-cap "X3/XM2/a_n73_n100#" "X1/XM2/a_n73_n100#" 12.8266
-cap "X3/INB" "X1/OUTB" 4.41209
-cap "X1/OUTA" "I2A" 18.0893
-cap "X3/INB" "X4/GND" 5.60928
-cap "X3/INA" "X1/XM2/a_n73_n100#" 3.29454
-cap "X1/XM2/a_n73_n100#" "X1/INA" 3.38632
-cap "X1/OUTA" "X4/XR1/a_n703_n3602#" 82.5016
-cap "I4B" "X1/XM2/a_n73_n100#" 7.77584
-cap "X4/GND" "I4A" 8.36101
-cap "I2B" "X1/XM2/a_n73_n100#" 1.93386
-cap "X4/GND" "X4/BIAS" 9.17876
-cap "X3/INA" "X1/OUTA" 21.4502
-cap "X1/XM2/a_n73_n100#" "X3/OUTA" 0.955293
-cap "X1/OUTA" "X1/INA" 28.8704
-cap "X1/OUTA" "I4B" 89.6404
-cap "X1/INB" "X4/GND" 7.18595
-cap "X1/OUTA" "I2B" 15.9668
-cap "X4/XR1/a_n703_n3602#" "X3/XM2/a_n73_n100#" 0.299189
-cap "X4/GND" "I2A" 4.6
-cap "X1/OUTA" "X3/OUTA" 69.8005
-cap "X3/INA" "X4/BIAS" 0.675824
-cap "X1/OUTA" "X4/OUTB" 85.9442
-cap "X1/XM2/a_n73_n100#" "X3/INB" 2.85085
-cap "X4/XR1/a_n703_n3602#" "X4/GND" 4.24888
-cap "X1/XM2/a_n73_n100#" "I4A" 5.4268
-cap "X3/INA" "X4/GND" 5.71301
-cap "X4/GND" "X1/INA" 6.30047
-cap "X1/OUTA" "X3/INB" 24.6406
-cap "X1/XM2/a_n73_n100#" "X3/XM2/a_n73_n100#" 0.884104
-cap "I4B" "X4/GND" 9.99549
-cap "X1/OUTA" "I4A" 53.9777
-cap "I2B" "X4/GND" 4.22032
-cap "X1/INB" "X1/XM2/a_n73_n100#" 4.1699
-cap "X3/INA" "X4/XR1/a_n703_n3602#" 0.664687
-cap "X4/XR1/a_n703_n3602#" "X1/INA" 0.524458
-cap "X1/XM2/a_n73_n100#" "I2A" 2.16607
-cap "X1/XM2/a_n73_n100#" "X4/GND" 4.34417
-cap "X1/OUTA" "X3/XM2/a_n73_n100#" 0.955293
-cap "X4/XR1/a_n703_n3602#" "X3/OUTA" 10.155
-cap "X4/XR1/a_n703_n3602#" "X1/XM2/a_n73_n100#" 9.70731
-cap "X1/OUTA" "X1/INB" 38.6373
-cap "X3/INA" "X1/INA" 0.855714
-cap "X4/XR1/a_n703_n3602#" "X4/OUTB" 3.83756
-cap "X1/INA" "X4/OUTB" 26.735
-cap "X4/OUTB" "X4/INB" 80.2051
-cap "I2A" "X4/OUTB" 16.041
-cap "X1/OUTA" "I3B" 7.19549
-cap "X1/OUTA" "X4/OUTB" 277.376
-cap "I1B" "X4/OUTB" 34.3736
-cap "X4/SUB" "X4/OUTB" 117.193
-cap "X3/INA" "X4/OUTB" 18.5089
-cap "X1/OUTA" "X1/INA" 8.78093
-cap "X1/OUTA" "X4/INB" 25.9037
-cap "X1/OUTA" "I2A" 5.28648
-cap "X1/OUTA" "X3/OUTA" 19.1036
-cap "X2/INB" "X4/OUTB" 14.1538
-cap "X1/OUTA" "I1B" 11.2625
-cap "X3/OUTA" "X4/SUB" 2.90895
-cap "I4A" "X4/OUTB" 48.1231
-cap "X1/OUTA" "X4/SUB" 98.4608
-cap "X1/OUTA" "X3/INA" 6.095
-cap "I3B" "X4/OUTB" 21.8741
-cap "X1/OUTA" "X2/INB" 4.66734
-cap "X1/OUTA" "I4A" 15.6992
-cap "X4/OUTB" "I1A" 19.1442
-cap "X4/OUTB" "I1B" 24.9372
-cap "X4/XM2/a_n73_n100#" "I1A" 23.0289
-cap "X4/SUB" "X1/XR2/a_n573_n3472#" 3.83756
-cap "X2/INB" "X4/GND" 5.25701
-cap "I3A" "X4/GND" 5.94677
-cap "X4/XM2/a_n73_n100#" "X2/INA" 13.0465
-cap "X4/OUTB" "X2/INA" 11.2912
-cap "X4/SUB" "X4/INB" 0.376717
-cap "X2/XM2/a_n73_n100#" "X4/SUB" 2.14591
-cap "X4/INB" "X4/INA" 0.0684272
-cap "I1B" "X4/GND" 8.45405
-cap "X4/XM2/a_n73_n100#" "X4/INA" 36.5245
-cap "X4/XM2/a_n73_n100#" "X4/SUB" 47.1811
-cap "X4/OUTB" "X4/SUB" 60.8484
-cap "I1A" "X4/GND" 7.41232
-cap "X4/OUTB" "X4/INA" 35.8086
-cap "X2/INA" "X4/GND" 5.41176
-cap "X4/INA" "X4/GND" 9.83648
-cap "X4/SUB" "X2/INB" 1.32937
-cap "X4/SUB" "X4/GND" 4.41117
-cap "X4/OUTB" "X1/XR2/a_n573_n3472#" 10.6235
-cap "X4/XM2/a_n73_n100#" "I3B" 18.4469
-cap "X4/OUTB" "I3B" 15.5394
-cap "X4/XM2/a_n73_n100#" "X4/INB" 49.9357
-cap "X4/OUTB" "X4/INB" 60.3814
-cap "X2/XM2/a_n73_n100#" "X4/OUTB" 7.41173
-cap "X2/XM2/a_n73_n100#" "X4/XM2/a_n73_n100#" 6.85536
-cap "X4/OUTB" "X4/XM2/a_n73_n100#" 7.41173
-cap "I3B" "X4/GND" 6.59916
-cap "X4/INB" "X4/GND" 11.7594
-cap "X2/INB" "X4/INB" 1.71143
-cap "X4/XM2/a_n73_n100#" "X2/INB" 13.2802
-cap "X4/OUTB" "X2/INB" 13.1158
-cap "X4/OUTB" "I3A" 13.0785
-cap "X4/XM2/a_n73_n100#" "I3A" 14.7541
-cap "X4/XM2/a_n73_n100#" "X4/BIAS" 21.3412
-cap "X4/XM2/a_n73_n100#" "I1B" 29.0765
-cap "X4/GND" "X2/INB" 4.96508
-cap "X4/OUTB" "X2/XM2/a_n73_n100#" 7.41173
-cap "X4/XM2/a_n73_n100#" "X2/XM2/a_n73_n100#" 6.85536
-cap "X4/GND" "X4/SUB" 4.41092
-cap "I1B" "X4/OUTB" 33.6987
-cap "I1B" "X4/XM2/a_n73_n100#" 22.3462
-cap "I3A" "X4/GND" 5.94677
-cap "X4/GND" "X4/INA" 12.2692
-cap "X2/INA" "X4/GND" 5.66713
-cap "X4/GND" "X4/XM2/a_n73_n100#" 5.13601
-cap "X4/BIAS" "X4/GND" 9.51613
-cap "X4/OUTB" "I3B" 21.175
-cap "X4/INB" "X4/GND" 11.7594
-cap "X4/XM2/a_n73_n100#" "I3B" 13.7279
-cap "X4/GND" "I1A" 7.41232
-cap "X4/INA" "X4/SUB" 3.12743
-cap "I1B" "X4/GND" 8.45405
-cap "X2/INA" "X4/SUB" 1.32937
-cap "X4/OUTB" "X2/INB" 13.6047
-cap "X4/XM2/a_n73_n100#" "X2/INB" 7.14992
-cap "X4/XM2/a_n73_n100#" "X4/SUB" 36.1087
-cap "X4/OUTB" "X4/SUB" 23.7373
-cap "I3A" "X4/OUTB" 17.8609
-cap "I3A" "X4/XM2/a_n73_n100#" 10.6486
-cap "X2/INA" "X4/INA" 1.71143
-cap "X4/INA" "X4/XM2/a_n73_n100#" 32.4638
-cap "X4/INA" "X4/OUTB" 60.2743
-cap "X2/INA" "X4/OUTB" 18.6767
-cap "X2/INA" "X4/XM2/a_n73_n100#" 12.5636
-cap "X2/XM2/a_n73_n100#" "X4/SUB" 2.14591
-cap "X4/GND" "I3B" 6.59916
-cap "X4/INB" "X4/INA" 0.0684272
-cap "X2/INA" "X4/BIAS" 0.617057
-cap "X4/OUTB" "X4/XM2/a_n73_n100#" 7.41173
-cap "X4/BIAS" "X4/XM2/a_n73_n100#" 17.5385
-cap "X4/INB" "X4/XM2/a_n73_n100#" 38.2057
-cap "X4/INB" "X4/OUTB" 83.703
-cap "X4/OUTB" "I1A" 26.0036
-cap "X4/XM2/a_n73_n100#" "I1A" 17.481
-cap "I2B" "X4/OUTA" 10.3034
-cap "X4/OUTA" "I3B" 15.8844
-cap "X4/OUTA" "X4/INA" 34.6568
-cap "X4/OUTA" "I1B" 24.8625
-cap "X4/OUTA" "I1A" 19.3843
-cap "X4/OUTA" "X2/INA" 11.6702
-cap "X4/OUTA" "X4/SUB" 17.4403
-cap "I3A" "X4/OUTA" 13.455
-cap "I4B" "X4/OUTA" 57.1838
-cap "BIAS" "X5/GND" 13.2663
-cap "X5/XR18/a_n415_n4762#" "X5/XC1/m3_n2150_n3100#" -243.234
-cap "X5/XR18/a_n415_n4762#" "X5/XC1/m3_n2150_n3100#" 233.93
-cap "X5/SUB" "X5/XC1/m3_n2150_n3100#" -194.774
-cap "X5/VDD" "X5/XR18/a_n285_4200#" 1.38666
-cap "X5/VDD" "X1/GND" 323.02
-cap "X5/SUB" "X5/XR18/a_n285_4200#" 31.8789
-cap "X5/SUB" "X1/GND" 911.331
-cap "X1/BIAS" "X5/SUB" 30.3625
-cap "X5/IN1" "X5/XR18/a_n285_4200#" 3.80401
-cap "X1/GND" "X5/XR18/a_n285_4200#" 912.925
-cap "X5/VDD" "X5/SUB" 0.491022
-cap "X1/BIAS" "X5/XR18/a_n285_4200#" 33.2729
-cap "X5/XR18/a_n285_4200#" "X5/IN1" 3.80401
-cap "X1/GND" "X5/VDD" 6.37494
-cap "X5/SUB" "X1/GND" -71.1503
-cap "X5/XR18/a_n285_4200#" "X1/GND" 17
-cap "X1/GND" "X5/IN1" 418.092
-cap "X5/SUB" "X1/BIAS" 158.899
-cap "X5/XR18/a_n285_4200#" "X1/BIAS" 23.263
-cap "X5/SUB" "X5/XR18/a_n285_4200#" 15.7708
-cap "X1/BIAS" "X5/IN1" 97.1472
-cap "X1/GND" "X1/BIAS" -17.5817
-cap "I4B" "X1/XM2/a_n73_n100#" 2.17222
-cap "X1/BIAS" "X1/GND" 0.00195832
-cap "X1/GND" "X1/SUB" -5.68434e-14
-cap "X1/BIAS" "X1/OUTB" -222.419
-cap "X1/XM2/a_n73_n100#" "X1/GND" 0.00438321
-cap "X1/OUTB" "X1/GND" -725.432
-cap "X4/GND" "X1/XM2/a_n73_n100#" 0.0347003
-cap "X4/GND" "X4/XR1/a_n703_n3602#" 0.933759
-cap "X4/GND" "X4/BIAS" -300.767
-cap "X4/GND" "X1/OUTA" 667.312
-cap "X4/BIAS" "X4/XR1/a_n703_n3602#" -640.153
-cap "X4/BIAS" "X1/OUTA" 128.362
-cap "X4/BIAS" "X4/SUB" -9.80746
-cap "X4/SUB" "X4/GND" -40.7962
-cap "X4/INB" "X4/XM2/a_n73_n100#" 1.40556
-cap "X4/GND" "X4/BIAS" 0.00783328
-cap "X4/XM2/a_n73_n100#" "X4/INA" 0.00353461
-cap "X4/GND" "X4/INA" 0.00315277
-cap "X4/XM2/a_n73_n100#" "X4/GND" 0.0196635
-cap "X4/XM2/a_n73_n100#" "X4/INB" 1.40556
-cap "BIAS" "X5/GND" 13.2663
-cap "X5/XR18/a_n285_4200#" "X1/BIAS" 10.0099
-cap "X5/VDD" "X1/BIAS" -3.14156
-cap "X1/BIAS" "X5/SUB" 161.634
-cap "X1/VDD" "OUT180" 370.694
-cap "X1/VDD" "OUT180" -532.636
-cap "X4/XR1/a_n703_n3602#" "X1/VDD" 16.2115
-cap "OUT0" "X1/VDD" 577.716
-cap "X4/XR1/a_n703_n3602#" "X4/VDD" 127.617
-cap "X4/VDD" "X4/XR1/a_n703_n3602#" 8.73428
-cap "X1/XR1/a_n703_n3602#" "OUT180" 32.3812
-cap "X1/VDD" "OUT180" 107.952
-cap "X1/VDD" "OUT180" -733.485
-cap "X1/XR1/a_n703_n3602#" "OUT180" -111.19
-cap "X4/XR1/a_n703_n3602#" "OUT0" 54.6704
-cap "X1/VDD" "OUT0" 189.49
-cap "X4/XR1/a_n703_n3602#" "X1/VDD" 3.06052
-cap "X4/XR1/a_n703_n3602#" "X4/VDD" 20.7151
-cap "X4/XR1/a_n703_n3602#" "X4/VDD" 1.62173
+cap "m2_18710_20900#" "OUT180" 55.7441
+cap "VOP" "GND" 8.09524
+cap "I1A" "OUT90" 4.38027
+cap "m1_19750_17830#" "m1_19700_19000#" 143.57
+cap "I3A" "I4A" 2.11104
+cap "I1B" "I2B" 2.23045
+cap "OUT0" "I4A" 5.75222
+cap "li_19750_15740#" "OUT270" 1.86242
+cap "m2_20210_20620#" "OUT180" 45.7473
+cap "I1A" "OUT180" 0.195788
+cap "m3_19820_13570#" "VOP" 192.179
+cap "m1_19750_17830#" "OUT270" 19.1157
+cap "I4B" "OUT90" 2.72088
+cap "I1A" "I4B" 79.5894
+cap "I1B" "li_24590_17610#" 1.01503
+cap "m1_19750_17830#" "I3A" 20.1528
+cap "li_24590_18790#" "I3B" 1.1181
+cap "OUT270" "li_19700_16820#" 1.93314
+cap "m3_19820_13570#" "li_24590_18790#" 3.11574
+cap "m2_17580_14130#" "VDD" 6.30081
+cap "I2A" "m1_19700_19000#" 4.32227
+cap "I2B" "I3B" 55.7974
+cap "li_19750_14940#" "GND" 4.52528
+cap "m2_17580_14130#" "BIAS" 67.4626
+cap "m2_20210_20620#" "m1_19740_19800#" 56.5481
+cap "m3_19820_13570#" "I2B" 369.495
+cap "VOP" "li_19750_15740#" 4.87076
+cap "li_24590_18790#" "I4A" 1.34013
+cap "I1B" "OUT90" 3.8549
+cap "I1B" "I1A" 4008.3
+cap "li_24590_17610#" "I3B" 1.21868
+cap "I3A" "I2A" 3993.4
+cap "OUT180" "m1_19740_19800#" 30.607
+cap "m3_19820_13570#" "li_24590_17610#" 3.1175
+cap "OUT0" "I2A" 3.31559
+cap "m1_19680_17400#" "m1_19700_19000#" 5.61165
+cap "m3_19820_13570#" "li_19750_14940#" 32.1254
+cap "GND" "VDD" 634.963
+cap "BIAS" "SUB" 33.1207
+cap "I1B" "OUT180" 1.43484
+cap "I1B" "I4B" 60.5591
+cap "I2B" "I4A" 2.54438
+cap "BIAS" "GND" 476.617
+cap "m1_19680_17400#" "OUT270" 16.3464
+cap "li_24590_17610#" "I4A" 0.887541
+cap "m3_19820_13570#" "VDD" 115.537
+cap "I3B" "OUT90" 5.80324
+cap "I1A" "I3B" 3924.19
+cap "m1_19750_17830#" "I2B" 97.9334
+cap "m3_19820_13570#" "BIAS" 126.179
+cap "m3_19820_13570#" "I1A" 1316.46
+cap "OUT270" "m1_19700_19000#" 6.85913
+cap "li_19750_14940#" "li_19750_15740#" 14.8858
+cap "m3_19820_13570#" "OUT90" 66.6
+cap "I3A" "m1_19700_19000#" 4.71497
+cap "OUT0" "m1_19700_19000#" 7.73134
+cap "I4B" "I3B" 7.72112
+cap "li_24590_18790#" "I2A" 0.877108
+cap "m3_19820_13570#" "OUT180" 65.2941
+cap "m3_19820_13570#" "I4B" 388.567
+cap "m2_17580_14130#" "GND" 77.6282
+cap "I3A" "OUT270" 0.391576
+cap "I1A" "I4A" 71.2531
+cap "li_19750_15740#" "VDD" 0.345676
+cap "I4A" "OUT90" 3.29876
+cap "OUT0" "I3A" 3.88209
+cap "I2B" "I2A" 4008.95
+cap "BIAS" "li_19750_15740#" 20.4993
+cap "I4B" "I4A" 4011.35
+cap "m1_19750_17830#" "I1A" 4.18749
+cap "m1_19750_17830#" "OUT90" 12.1493
+cap "m3_19820_13570#" "m1_19740_19800#" 69.114
+cap "li_24590_17610#" "I2A" 1.34335
+cap "m2_18710_20900#" "SUB" 2.96136
+cap "m3_19820_13570#" "m2_17580_14130#" 71.2357
+cap "I1B" "I3B" 24.8284
+cap "OUT0" "li_24600_25950#" 1.10893
+cap "BIAS" "li_19700_16820#" 2.66456
+cap "m3_19820_13570#" "I1B" 352.376
+cap "m1_19750_17830#" "OUT180" 10.3894
+cap "VOP" "OUT270" 2.26365
+cap "m1_19750_17830#" "I4B" 3.29441
+cap "VOP" "m3_10348_15604#" 704.932
+cap "m2_20210_20620#" "SUB" 14.3019
+cap "m3_19820_13570#" "GND" 331.715
+cap "I2B" "m1_19700_19000#" 3.85926
+cap "I1B" "I4A" 3974.1
+cap "I3A" "li_24590_18790#" 1.00579
+cap "SUB" "OUT180" 1.99629
+cap "I1A" "I2A" 2.32009
+cap "I2A" "OUT90" 5.68956
+cap "OUT0" "li_24590_18790#" 1.12715
+cap "m1_19750_17830#" "m1_19740_19800#" 5.84189
+cap "m3_19820_13570#" "I3B" 1322.44
+cap "I1B" "m1_19750_17830#" 5.73822
+cap "m2_17580_14130#" "li_19700_16820#" 7.19609
+cap "I3A" "I2B" 75.0035
+cap "I4B" "I2A" 6.70138
+cap "OUT0" "I2B" 2.72708
+cap "BIAS" "m1_19680_17400#" 3.62772
+cap "li_19750_14940#" "OUT270" 1.86242
+cap "SUB" "m1_19740_19800#" 0.541806
+cap "OUT90" "li_24590_10440#" 1.12715
+cap "li_19750_15740#" "GND" 3.97684
+cap "li_24590_17610#" "I3A" 1.29152
+cap "I3B" "I4A" 2.34122
+cap "m3_19820_13570#" "I4A" 370.57
+cap "I1A" "m1_19700_19000#" 18.6258
+cap "m2_20210_20620#" "m1_19700_19000#" 0.283844
+cap "VDD" "OUT270" 108.575
+cap "m3_19820_13570#" "li_19750_15740#" 29.4259
+cap "m1_19750_17830#" "I3B" 35.4487
+cap "I1B" "I2A" 2.08991
+cap "OUT180" "m1_19700_19000#" 25.8497
+cap "BIAS" "OUT270" 71.8973
+cap "m3_19820_13570#" "m1_19750_17830#" 619.611
+cap "I4B" "m1_19700_19000#" 148.048
+cap "I3A" "OUT90" 8.64001
+cap "I3A" "I1A" 24.8309
+cap "m3_19820_13570#" "li_19700_16820#" 34.0084
+cap "li_24590_18790#" "I2B" 0.734162
+cap "m1_19680_17400#" "m1_19740_19800#" 0.00361969
+cap "OUT0" "I1A" 6.34198
+cap "BIAS" "m2_18710_20900#" 283.185
+cap "li_24590_17610#" "li_24590_18790#" 3.05651
+cap "m1_19750_17830#" "I4A" 3.65315
+cap "I3A" "I4B" 6.96634
+cap "m1_19700_19000#" "m1_19740_19800#" 38.1966
+cap "BIAS" "m2_20210_20620#" 234.402
+cap "OUT0" "I4B" 68.2254
+cap "I3B" "I2A" 52.012
+cap "VOP" "VDD" 5.30303
+cap "m3_19820_13570#" "I2A" 368.44
+cap "I1B" "m1_19700_19000#" 31.8428
+cap "li_24590_17610#" "I2B" 2.60416
+cap "BIAS" "VOP" 26.0356
+cap "BIAS" "OUT180" 51.1617
+cap "li_19750_15740#" "li_19700_16820#" 10.7444
+cap "m1_19750_17830#" "li_19700_16820#" 5.5084
+cap "I1A" "li_24590_18790#" 1.21223
+cap "I4A" "I2A" 9.84148
+cap "I1B" "I3A" 1.5936
+cap "m3_19820_13570#" "m1_19680_17400#" 179.067
+cap "I1B" "OUT0" 5.76165
+cap "I3B" "m1_19700_19000#" 7.82318
+cap "BIAS" "m1_19740_19800#" 1.19472
+cap "li_24590_18790#" "I4B" 2.60164
+cap "GND" "OUT270" 584.679
+cap "I1A" "I2B" 2.50099
+cap "I2B" "OUT90" 68.7908
+cap "li_19750_14940#" "VDD" 4.23933
+cap "m1_19750_17830#" "I2A" 159.501
+cap "m3_19820_13570#" "m1_19700_19000#" 456.717
+cap "m2_17580_14130#" "VOP" 1082.13
+cap "BIAS" "li_19750_14940#" 29.087
+cap "li_24590_17610#" "OUT90" 1.12715
+cap "li_24590_17610#" "I1A" 1.12601
+cap "I3B" "OUT270" 2.86968
+cap "I2B" "I4B" 11.1305
+cap "I3A" "I3B" 4005.24
+cap "m3_19820_13570#" "OUT270" 211.061
+cap "OUT0" "I3B" 4.41738
+cap "m3_19820_13570#" "I3A" 351.462
+cap "m2_18710_20900#" "m2_20210_20620#" 207.34
+cap "I4A" "m1_19700_19000#" 62.3432
+cap "m1_19750_17830#" "m1_19680_17400#" 66.4656
+cap "li_24590_17610#" "I4B" 0.745613
+cap "m3_19820_13570#" "OUT0" 65.2941
+cap "I1B" "li_24590_18790#" 1.28665
+cap "BIAS" "VDD" 306.051
+cap "m1_19680_17400#" "li_19700_16820#" 12.6561
+cap "X3/VDD" "X3/XR1/a_n703_n3602#" 10.452
+cap "OUT270" "X3/VDD" -0.00536083
+cap "OUT270" "X3/XR1/a_n703_n3602#" 37.4061
+cap "OUT270" "X3/VDD" 612.51
+cap "X3/XR1/a_n703_n3602#" "X3/VDD" 49.2157
+cap "OUT270" "X3/XR1/a_n703_n3602#" 41.056
+cap "X3/VDD" "X3/XR1/a_n703_n3602#" 0.086102
+cap "OUT270" "X3/VDD" 190.607
+cap "X3/VDD" "OUT90" 873.177
+cap "X3/VDD" "X3/XR2/a_n703_n3602#" 0.0705674
+cap "OUT90" "X3/XR2/a_n703_n3602#" 80.1687
+cap "X3/XR2/a_n703_n3602#" "OUT90" 9.89673
+cap "X3/VDD" "X3/XR2/a_n703_n3602#" 19.6058
+cap "X3/VDD" "OUT90" 1.35732
+cap "X3/XR2/a_n703_n3602#" "X3/VDD" 0.0729103
+cap "X6/XC2/m3_n2150_n3100#" "X6/XM41/a_495_122#" 115.76
+cap "X6/XM41/a_n707_n274#" "X6/VDD" 17.1344
+cap "X6/VDD" "X6/XM41/a_n707_n274#" 39.261
+cap "GND" "X6/XM41/a_n707_n274#" 77.5072
+cap "OUT270" "X6/XM41/a_n707_n274#" 23.5839
+cap "X3/XR1/a_n703_n3602#" "GND" 24.6458
+cap "X3/XR1/a_n703_n3602#" "OUT270" 49.5207
+cap "OUT90" "X3/XR2/a_n703_n3602#" 72.029
+cap "X3/XR2/a_n703_n3602#" "OUT90" 15.302
+cap "X6/GND" "BIAS" 467.27
+cap "BIAS" "X6/XR21/a_n415_n4762#" 2.07861
+cap "X6/XC2/c1_n2050_n3000#" "BIAS" 24.7397
+cap "BIAS" "X6/XR21/a_n415_n4762#" 2.09638
+cap "BIAS" "X6/GND" 452.94
+cap "BIAS" "X6/XC2/c1_n2050_n3000#" 25.5188
+cap "BIAS" "GND" 452.94
+cap "X6/XR21/a_n415_n4762#" "BIAS" 2.09638
+cap "BIAS" "X6/XC2/c1_n2050_n3000#" 25.5188
+cap "X6/IN" "BIAS" 25.5188
+cap "X6/XC2/m3_n2150_n3100#" "BIAS" 452.94
+cap "X6/XR21/a_n415_n4762#" "BIAS" 2.09638
+cap "X6/SUB" "BIAS" 2.09638
+cap "BIAS" "X6/XM41/a_495_122#" 77.9891
+cap "X6/XC2/m3_n2150_n3100#" "BIAS" 166.115
+cap "X6/XC2/m3_n2150_n3100#" "X6/XM41/a_495_122#" 167.378
+cap "X6/XM41/a_543_n100#" "X6/XM41/a_495_122#" 12.3045
+cap "X6/XC2/m3_n2150_n3100#" "X6/XM41/a_543_n100#" 23.2346
+cap "X6/VOP" "X3/BIAS" 11.4325
+cap "X6/VDD" "X6/SUB" 57.7881
+cap "X6/IN" "X6/SUB" -4.75778
+cap "X6/SUB" "X6/XC2/m3_n2150_n3100#" 7.83115
+cap "X6/IN" "X6/VDD" 519.589
+cap "X6/VDD" "X6/XC2/m3_n2150_n3100#" -12.5027
+cap "X6/SUB" "X6/VOP" 0.00266113
+cap "X6/SUB" "X3/BIAS" 14.5462
+cap "X6/VDD" "X6/VOP" 69.0569
+cap "X6/IN" "X6/XC2/m3_n2150_n3100#" 269.405
+cap "X6/VDD" "X3/BIAS" 0.256933
+cap "X6/IN" "X6/VOP" 0.114519
+cap "X6/IN" "X3/BIAS" 140.577
+cap "X6/VOP" "X6/XC2/m3_n2150_n3100#" 4.50824
+cap "X3/BIAS" "X6/XC2/m3_n2150_n3100#" -11.4717
+cap "X3/BIAS" "X6/SUB" 28.0496
+cap "X6/SUB" "X3/GND" 19.6335
+cap "OUT270" "X6/SUB" 0.0211687
+cap "X6/IN" "X6/VDD" 455.393
+cap "X6/IN" "X6/VOP" 69.2643
+cap "X6/VDD" "X6/VOP" 77.0399
+cap "X6/IN" "X3/BIAS" 108.35
+cap "X6/IN" "X3/GND" 231.892
+cap "X6/VDD" "X3/BIAS" 44.5277
+cap "X6/IN" "X6/SUB" 8.48088
+cap "X3/BIAS" "X6/VOP" 35.3549
+cap "X6/VDD" "X3/GND" 58.8359
+cap "X6/IN" "OUT270" 0.0262411
+cap "X6/VOP" "X3/GND" 15.4552
+cap "X6/VDD" "X6/SUB" 42.1111
+cap "X6/VOP" "X6/SUB" 1.41648
+cap "X6/VDD" "OUT270" -8.88178e-16
+cap "OUT270" "X6/VOP" 0.150722
+cap "OUT270" "X3/BIAS" -0.00033312
+cap "X6/XM41/a_303_122#" "X3/BIAS" 4.55249
+cap "X6/VDD" "X3/BIAS" 27.5029
+cap "OUT270" "X6/VOP" 0.250298
+cap "OUT270" "X3/GND" -0.00465392
+cap "X3/GND" "X6/XM41/a_303_122#" 2.23748
+cap "X3/GND" "X6/VDD" -3.88136
+cap "OUT270" "X6/XR21/a_n415_n4762#" 25.3298
+cap "X6/XR21/a_n415_n4762#" "X6/XM41/a_303_122#" 4.93819
+cap "X6/XR21/a_n415_n4762#" "X6/VDD" 42.3219
+cap "OUT270" "X6/IN" -0.00565542
+cap "X3/GND" "X3/BIAS" -0.446113
+cap "X6/VOP" "X3/BIAS" 18.4351
+cap "X3/GND" "X6/VOP" 3.11526
+cap "X6/XR21/a_n415_n4762#" "X3/BIAS" 136.753
+cap "X6/XR21/a_n415_n4762#" "X3/GND" 84.1915
+cap "X6/XR21/a_n415_n4762#" "X6/VOP" 8.39546
+cap "X6/IN" "X3/BIAS" 25.009
+cap "OUT270" "X6/VDD" -0.000425481
+cap "X3/GND" "X6/IN" 16.9026
+cap "X6/XM41/a_399_n188#" "X3/BIAS" 4.31068
+cap "X6/XM41/a_399_n188#" "X3/GND" 1.84733
+cap "X6/XR21/a_n415_n4762#" "X6/IN" 42.5202
+cap "X6/XM41/a_399_n188#" "X6/XR21/a_n415_n4762#" 3.98788
+cap "X3/XR1/a_n703_n3602#" "X3/GND" 45.1536
+cap "X3/XR1/a_n703_n3602#" "X3/BIAS" 2.91387
+cap "m3_19820_13570#" "X3/XR1/a_n703_n3602#" 0.538079
+cap "X3/XR1/a_n703_n3602#" "OUT270" 49.5207
+cap "OUT90" "X3/XR1/a_n703_n3602#" 72.029
+cap "OUT90" "X3/XM4/a_n611_n274#" 15.302
+cap "X6/GND" "X6/XR21/a_n415_n4762#" 84.9096
+cap "X6/GND" "X5/XC1/c1_n2050_n3000#" 7.95843
+cap "X6/GND" "VOP" 133.671
+cap "X6/GND" "BIAS" 7.06829
+cap "X6/GND" "X6/XC2/c1_n2050_n3000#" 62.456
+cap "X5/XC1/c1_n2050_n3000#" "X6/XR21/a_n415_n4762#" 10.3029
+cap "VOP" "X6/XR21/a_n415_n4762#" 70.449
+cap "BIAS" "X6/XR21/a_n415_n4762#" 1.14415
+cap "X6/XR21/a_n415_n4762#" "X6/XC2/c1_n2050_n3000#" -0.00752266
+cap "VOP" "X6/XC2/c1_n2050_n3000#" 9.3678
+cap "X6/XR21/a_n415_n4762#" "BIAS" 1.17866
+cap "X6/XR21/a_n415_n4762#" "X6/GND" 61.3087
+cap "X6/XC2/c1_n2050_n3000#" "VOP" 11.1227
+cap "X6/GND" "VOP" 38.3566
+cap "X6/XR21/a_n415_n4762#" "VOP" 72.9521
+cap "X6/XC2/c1_n2050_n3000#" "X6/GND" 39.1735
+cap "X6/XC2/c1_n2050_n3000#" "X6/XR21/a_n415_n4762#" -0.00826979
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/c1_n2050_n3000#" 11.5763
+cap "X6/XC2/c1_n2050_n3000#" "VOP" 11.1227
+cap "X5/XC1/c1_n2050_n3000#" "X6/XR21/a_n415_n4762#" 11.5763
+cap "VOP" "X6/XR21/a_n415_n4762#" 72.9521
+cap "BIAS" "X6/XR21/a_n415_n4762#" 1.17866
+cap "X6/XC2/c1_n2050_n3000#" "X6/XR21/a_n415_n4762#" -0.00826979
+cap "X5/XC1/m3_n2150_n3100#" "GND" 37.4607
+cap "VOP" "GND" 38.3566
+cap "X6/XC2/c1_n2050_n3000#" "GND" -0.021534
+cap "X6/XC2/c1_n2050_n3000#" "X5/XC1/m3_n2150_n3100#" 39.195
+cap "GND" "X6/XR21/a_n415_n4762#" -4.4599
+cap "X5/XC1/m3_n2150_n3100#" "X6/XR21/a_n415_n4762#" 65.7686
+cap "VOP" "X6/XC2/m3_n2150_n3100#" 38.3566
+cap "X6/XR21/a_n415_n4762#" "X6/IN" -0.00826979
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/m3_n2150_n3100#" 65.7686
+cap "X6/IN" "VOP" 11.1227
+cap "X6/IN" "X6/XC2/m3_n2150_n3100#" -0.021534
+cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/m3_n2150_n3100#" 37.4607
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/c1_n2050_n3000#" 11.5763
+cap "X6/XR21/a_n415_n4762#" "VOP" 72.9521
+cap "X6/XR21/a_n415_n4762#" "BIAS" 1.17866
+cap "X6/XR21/a_n415_n4762#" "X6/XC2/m3_n2150_n3100#" -4.4599
+cap "X6/IN" "X5/XC1/m3_n2150_n3100#" 39.195
+cap "X6/SUB" "X6/XC2/m3_n2150_n3100#" -1.92731
+cap "X6/XM41/a_543_n100#" "X6/XM41/a_495_122#" 1.42003
+cap "X6/XM41/a_495_122#" "VOP" 3.70229
+cap "X6/XC2/m3_n2150_n3100#" "X5/XC1/m3_n2150_n3100#" 16.1838
+cap "X5/XC1/c1_n2050_n3000#" "X6/SUB" 3.93593
+cap "X6/SUB" "X6/XM41/a_495_122#" -0.00275266
+cap "BIAS" "X6/SUB" 1.17866
+cap "X6/SUB" "VOP" 72.9521
+cap "X6/XM41/a_495_122#" "X5/XC1/m3_n2150_n3100#" 13.0463
+cap "X6/SUB" "X5/XC1/m3_n2150_n3100#" 28.8322
+cap "X6/XC2/m3_n2150_n3100#" "X6/XM41/a_495_122#" -0.00716776
+cap "X6/XM41/a_543_n100#" "X6/XC2/m3_n2150_n3100#" 6.49832
+cap "X6/XC2/m3_n2150_n3100#" "VOP" 16.5755
+cap "X6/IN" "X6/SUB" 3.46319e-05
+cap "X6/IN" "X6/VDD" 6.31632
+cap "X6/VDD" "X6/SUB" 143.871
+cap "X6/XC2/m3_n2150_n3100#" "X6/SUB" 0.11435
+cap "X6/VDD" "X6/XC2/m3_n2150_n3100#" 6.49832
+cap "X3/BIAS" "X6/SUB" 1.4926
+cap "X6/IN" "X6/VOP" 2.86797
+cap "X6/SUB" "X6/VOP" 73.1307
+cap "X6/VDD" "X6/VOP" 56.7394
+cap "X6/SUB" "X6/VOP" 78.2431
+cap "X6/SUB" "X6/IN" 37.3645
+cap "X5/XM26/a_159_n100#" "X6/SUB" 4.89729
+cap "X6/IN" "X6/VOP" 329.934
+cap "X3/GND" "X6/SUB" 13.9787
+cap "X5/XM26/a_159_n100#" "X6/VOP" 9.0592
+cap "X3/GND" "X6/VOP" 53.8576
+cap "X3/GND" "X6/IN" 0.523925
+cap "X5/IN4" "X6/SUB" 3.90761
+cap "X5/IN4" "X6/VOP" 6.17389
+cap "X3/BIAS" "X6/SUB" 6.35221
+cap "X6/VDD" "X6/SUB" 18.3979
+cap "X6/VDD" "X6/VOP" 16.5674
+cap "X6/VDD" "X6/IN" 0.06226
+cap "X3/BIAS" "X6/VOP" 47.3454
+cap "X3/BIAS" "X6/IN" 1.08389
+cap "X6/XM41/a_399_n188#" "X6/XR21/a_n415_n4762#" 1.54801
+cap "X3/GND" "X6/VOP" 59.4213
+cap "X6/VOP" "X3/BIAS" 107.835
+cap "X3/GND" "X3/BIAS" 213.956
+cap "X6/XM41/a_399_n188#" "X3/GND" 0.996788
+cap "X6/XM41/a_399_n188#" "X3/BIAS" 1.1723
+cap "X5/IN4" "X6/XR21/a_n415_n4762#" 25.6745
+cap "X5/IN4" "X6/VOP" 3.91077
+cap "X6/IN" "X6/XR21/a_n415_n4762#" 28.9301
+cap "X5/IN4" "X3/GND" 393.308
+cap "X5/IN4" "X3/BIAS" 92.6168
+cap "X3/GND" "X6/IN" 70.0251
+cap "X3/BIAS" "X6/IN" 3.64348
+cap "X6/VOP" "X6/XR21/a_n415_n4762#" 52.0382
+cap "X3/BIAS" "X6/XR21/a_n415_n4762#" 119.596
+cap "X3/GND" "X6/XR21/a_n415_n4762#" 42.3456
+cap "X3/OUTA" "X3/GND" 0.817255
+cap "X3/BIAS" "X3/XR1/a_n703_n3602#" 2.87439
+cap "X3/OUTB" "X3/GND" 201.531
+cap "m3_19820_13570#" "X3/GND" 0.0188791
+cap "X3/BIAS" "X3/OUTA" 0.477498
+cap "X3/BIAS" "X3/GND" 0.10864
+cap "X3/BIAS" "X3/OUTB" 19.3323
+cap "X3/OUTA" "X3/XR1/a_n703_n3602#" 0.313755
+cap "X3/XR1/a_n703_n3602#" "X3/GND" 25.5846
+cap "X3/XR1/a_n703_n3602#" "X3/OUTB" 58.692
+cap "m3_19820_13570#" "X3/XR1/a_n703_n3602#" 0.732263
+cap "X3/XR1/a_n703_n3602#" "X3/OUTA" 80.7684
+cap "X3/XR1/a_n703_n3602#" "X3/BIAS" 0.17464
+cap "X3/XR1/a_n703_n3602#" "X3/GND" 0.833133
+cap "X3/BIAS" "X3/OUTA" 106.408
+cap "X3/OUTB" "X3/XR1/a_n703_n3602#" 0.00270445
+cap "X3/GND" "X3/OUTA" 691.819
+cap "X3/GND" "X3/BIAS" 0.106771
+cap "X3/OUTB" "X3/BIAS" 0.0205946
+cap "X3/BIAS" "X2/OUTB" 0.150578
+cap "X3/BIAS" "X3/GND" -2.13163e-14
+cap "X3/BIAS" "X3/XM4/a_n611_n274#" 0.777592
+cap "X3/OUTA" "X3/XM4/a_n611_n274#" 14.3593
+cap "X3/GND" "X3/XM4/a_n611_n274#" 3.15971
+cap "X3/GND" "X3/BIAS" 0.106771
+cap "X3/GND" "X3/XR2/a_n703_n3602#" 0.844539
+cap "X3/BIAS" "X3/XR2/a_n703_n3602#" 0.181508
+cap "X6/GND" "VOP" 699.062
+cap "X6/GND" "X6/XR21/a_n415_n4762#" 43.8016
+cap "VOP" "X5/XC1/c1_n2050_n3000#" 17.1889
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/c1_n2050_n3000#" 1.79578
+cap "X6/XC2/c1_n2050_n3000#" "X6/GND" 35.0402
+cap "X6/GND" "X5/XC1/c1_n2050_n3000#" 28.9406
+cap "X6/GND" "X6/XR21/a_n415_n4762#" 45.6223
+cap "X6/GND" "VOP" 1077.22
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/c1_n2050_n3000#" 2.02657
+cap "X5/XC1/c1_n2050_n3000#" "VOP" 20.3679
+cap "X6/GND" "X6/XC2/c1_n2050_n3000#" 39.1087
+cap "VOP" "X5/XC1/c1_n2050_n3000#" 20.3679
+cap "VOP" "X5/XC1/m3_n2150_n3100#" 1077.22
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/c1_n2050_n3000#" 2.02657
+cap "X5/XC1/m3_n2150_n3100#" "X6/XR21/a_n415_n4762#" 45.6223
+cap "X6/XC2/m3_n2150_n3100#" "X5/XC1/m3_n2150_n3100#" 40.7421
+cap "X6/XC2/c1_n2050_n3000#" "X5/XC1/m3_n2150_n3100#" 39.1087
+cap "X5/XC1/m3_n2150_n3100#" "VOP" 1077.22
+cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/m3_n2150_n3100#" 40.7421
+cap "X5/XC1/m3_n2150_n3100#" "X6/XR21/a_n415_n4762#" 45.6223
+cap "VOP" "X5/XC1/c1_n2050_n3000#" 20.3679
+cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/c1_n2050_n3000#" 39.1087
+cap "X6/XR21/a_n415_n4762#" "X5/XC1/c1_n2050_n3000#" 2.02657
+cap "X5/XC1/m3_n2150_n3100#" "X6/SUB" 19.8586
+cap "X6/XC2/m3_n2150_n3100#" "X5/XC1/m3_n2150_n3100#" 17.5972
+cap "X5/XC1/m3_n2150_n3100#" "X6/XC2/c1_n2050_n3000#" 13.0176
+cap "VOP" "X5/XC1/m3_n2150_n3100#" 548.964
+cap "m2_17580_14130#" "X5/XC1/c1_n2050_n3000#" 14.5088
+cap "X6/SUB" "X5/XC1/c1_n2050_n3000#" 0.689035
+cap "m2_17580_14130#" "X5/XC1/m3_n2150_n3100#" 36.6491
+cap "VOP" "X5/XC1/c1_n2050_n3000#" 6.92507
+cap "X6/SUB" "X5/VDD" 3.47986
+cap "X5/VDD" "X6/VOP" -7.83103
+cap "X5/XC1/m3_n2150_n3100#" "X5/VDD" 17.2705
+cap "X5/VDD" "X5/XC1/c1_n2050_n3000#" 7.61154
+cap "X5/VDD" "X5/IN4" 0.316674
+cap "X5/VDD" "X5/XM26/a_159_n100#" 0.107097
+cap "X6/SUB" "X5/VDD" 38.0154
+cap "X6/VOP" "X6/SUB" 53.3031
+cap "m3_19820_13570#" "X5/VDD" 43.0413
+cap "X5/XM26/a_159_n100#" "X5/VDD" 9.72255
+cap "X5/IN3" "X6/SUB" -1.56935
+cap "X6/VOP" "X5/XM26/a_159_n100#" 66.1094
+cap "X6/SUB" "X5/IN4" 40.1274
+cap "m3_19820_13570#" "X5/IN4" 34.8435
+cap "X5/XM26/a_159_n100#" "X5/IN4" 70.6723
+cap "X6/SUB" "m3_19820_13570#" 20.3277
+cap "X6/SUB" "X5/XM26/a_159_n100#" 4.15394
+cap "X6/VOP" "X5/VDD" 13.7673
+cap "X5/XM26/a_159_n100#" "m3_19820_13570#" 71.3248
+cap "X5/IN3" "X6/VOP" 0.903628
+cap "X5/VDD" "X5/IN4" 23.9103
+cap "X6/VOP" "X5/IN4" 31.5701
+cap "m3_19820_13570#" "X5/IN4" 92.2396
+cap "X3/GND" "X5/IN4" -4.63089
+cap "X3/BIAS" "X6/XR21/a_n415_n4762#" -0.887271
+cap "X5/XM26/a_159_n100#" "X6/XR21/a_n415_n4762#" 8.50045
+cap "X5/VDD" "X6/XR21/a_n415_n4762#" 11.9313
+cap "m3_19820_13570#" "X6/XR21/a_n415_n4762#" 31.1699
+cap "X3/GND" "X6/XR21/a_n415_n4762#" 0.405323
+cap "X3/BIAS" "X5/IN4" -1.89798
+cap "X5/XM26/a_159_n100#" "X5/IN4" 31.2895
+cap "X6/XR21/a_n415_n4762#" "X5/IN4" 51.6388
+cap "X6/VOP" "X6/XR21/a_n415_n4762#" 24.0626
+cap "X5/IN3" "X6/XR21/a_n415_n4762#" -0.157781
+cap "X5/VDD" "X5/IN4" 17.7867
+cap "X3/GND" "m3_19820_13570#" 0.188121
+cap "X3/INB" "X3/GND" 5.27826
+cap "X3/BIAS" "X1/INA" 0.443976
+cap "X3/OUTB" "X3/XM1/a_n417_n100#" 158.019
+cap "m3_19820_13570#" "X3/SUB" 10.0935
+cap "X3/BIAS" "X3/INB" 2.28734
+cap "X3/XM1/a_n417_n100#" "X3/OUTA" 15.3676
+cap "X3/OUTB" "X3/GND" 270.695
+cap "X3/BIAS" "X3/OUTB" 131.157
+cap "X3/GND" "X3/OUTA" 17.7945
+cap "X1/OUTB" "X3/XM1/a_n417_n100#" 0.535146
+cap "X1/INB" "X3/XM1/a_n417_n100#" 2.27786
+cap "X3/SUB" "I2B" 5.77629
+cap "X3/BIAS" "X3/OUTA" 16.1174
+cap "X1/OUTA" "X3/XM1/a_n417_n100#" 2.1704
+cap "X3/INA" "X3/XM1/a_n417_n100#" -3.80114
+cap "X1/INB" "X3/GND" 0.613653
+cap "X3/XM1/a_n417_n100#" "X3/GND" 1.92769
+cap "X3/SUB" "X1/INA" 3.60186
+cap "X3/BIAS" "X1/INB" 0.263074
+cap "X3/INA" "X3/GND" 1.83851
+cap "X1/OUTA" "X3/GND" 0.373314
+cap "X3/INB" "X3/SUB" 13.2905
+cap "X3/BIAS" "X3/INA" 0.963127
+cap "X3/BIAS" "X1/OUTA" 0.00523374
+cap "I2A" "X3/XM1/a_n417_n100#" 0.451622
+cap "X3/SUB" "X3/OUTB" 80.6293
+cap "I2A" "X3/GND" 2.10557
+cap "I4B" "X3/GND" 0.00582914
+cap "X3/SUB" "X3/OUTA" 13.2084
+cap "X3/BIAS" "I2A" 1.22311
+cap "X3/XM1/a_n417_n100#" "X1/XM3/a_n73_n100#" 8.65466e-06
+cap "X3/XM1/a_n417_n100#" "I4A" 0.00475992
+cap "X3/GND" "I4A" 0.257087
+cap "X3/SUB" "X1/INB" 4.74932
+cap "X3/SUB" "X1/OUTB" 0.199207
+cap "X3/SUB" "X3/XM1/a_n417_n100#" -7.91569
+cap "X3/BIAS" "I4A" 0.0131744
+cap "X3/SUB" "X3/INA" 5.05347
+cap "X3/SUB" "X1/OUTA" 1.99632
+cap "m3_19820_13570#" "X3/XM1/a_n417_n100#" 10.2423
+cap "X3/SUB" "X3/GND" 9.31831
+cap "m3_19820_13570#" "X3/GND" 8.31593
+cap "I2A" "X3/SUB" 5.27338
+cap "I2B" "X3/XM1/a_n417_n100#" 32.2558
+cap "X3/BIAS" "m3_19820_13570#" 1.39454
+cap "X3/SUB" "I4B" 0.829267
+cap "X1/INA" "X3/XM1/a_n417_n100#" 0.307138
+cap "I2B" "X3/GND" 2.49252
+cap "X3/SUB" "I4A" 1.68117
+cap "X3/BIAS" "I2B" 1.48539
+cap "X1/XM2/a_n73_n100#" "X3/XM1/a_n417_n100#" 3.71758e-05
+cap "X3/INB" "X3/XM1/a_n417_n100#" 2.31427
+cap "X1/INA" "X3/GND" 0.987228
+cap "I2B" "X3/XM1/a_n417_n100#" 6.4147
+cap "X1/INA" "X4/XR1/a_n703_n3602#" 4.8769
+cap "X3/INB" "X3/BIAS" 0.507175
+cap "X1/INB" "X3/XM1/a_n417_n100#" 0.00455805
+cap "X3/XM1/a_n417_n100#" "X1/OUTA" 0.162168
+cap "X4/OUTB" "X3/XM1/a_n417_n100#" 1.0217
+cap "X3/INB" "X4/XR1/a_n703_n3602#" 1.83458
+cap "X3/BIAS" "I2A" 0.788409
+cap "X3/GND" "X3/OUTA" 259.663
+cap "X3/GND" "X4/XR1/a_n703_n3602#" 7.67893
+cap "I2A" "X4/XR1/a_n703_n3602#" 2.32142
+cap "X3/GND" "X1/INA" 1.87004
+cap "X3/OUTB" "X3/BIAS" 0.703165
+cap "I2B" "X3/BIAS" 0.931498
+cap "X3/GND" "X3/INB" 0.109372
+cap "I2B" "X4/XR1/a_n703_n3602#" 2.53618
+cap "X3/OUTB" "X4/XR1/a_n703_n3602#" 0.0289082
+cap "X1/INB" "X3/BIAS" 0.201377
+cap "X1/INB" "X4/XR1/a_n703_n3602#" 1.14639
+cap "X4/OUTB" "X3/BIAS" 0.58904
+cap "X3/GND" "I2A" 0.17487
+cap "X4/OUTB" "X4/XR1/a_n703_n3602#" 2.34061
+cap "X1/OUTA" "X4/XR1/a_n703_n3602#" 0.107006
+cap "I4B" "X4/XR1/a_n703_n3602#" 0.401156
+cap "X4/OUTB" "X3/OUTA" 0.440976
+cap "I2B" "X3/GND" 7.80672
+cap "X3/GND" "X1/INB" 0.0473122
+cap "X4/OUTB" "X3/GND" 4.34818
+cap "X3/GND" "I4B" 0.000602248
+cap "X1/XM3/a_n73_n100#" "X3/XM1/a_n417_n100#" 8.65466e-06
+cap "X3/XM1/a_n417_n100#" "I4A" 0.000449849
+cap "X3/XM1/a_n417_n100#" "X3/INA" -1.117
+cap "X3/XM1/a_n417_n100#" "X3/OUTA" 148.96
+cap "X3/XM1/a_n417_n100#" "X4/XR1/a_n703_n3602#" -0.831732
+cap "I4A" "X3/BIAS" 0.0592118
+cap "X3/INA" "X3/BIAS" 3.12627
+cap "X1/INA" "X3/XM1/a_n417_n100#" 2.18117
+cap "X3/INA" "X4/XR1/a_n703_n3602#" 9.15319
+cap "I4A" "X4/XR1/a_n703_n3602#" 0.771102
+cap "X3/INB" "X3/XM1/a_n417_n100#" 0.0211449
+cap "X3/XM1/a_n417_n100#" "I2A" 0.047802
+cap "X3/GND" "X3/XM1/a_n417_n100#" 0.533033
+cap "X3/BIAS" "X3/OUTA" 115.424
+cap "X3/GND" "I4A" 0.0204335
+cap "X3/GND" "X3/INA" 8.72732
+cap "X3/OUTA" "X4/XR1/a_n703_n3602#" 94.1052
+cap "X1/INA" "X3/BIAS" 0.839914
+cap "X4/SUB" "X3/GND" 0.0367766
+cap "X4/SUB" "X4/OUTB" 4.00752
+cap "X3/OUTA" "X4/OUTB" 415.9
+cap "X4/SUB" "X3/OUTA" 15.629
+cap "X4/SUB" "X4/OUTB" 6.22858
+cap "X3/BIAS" "I1A" 0.576134
+cap "X4/XM2/a_n73_n100#" "X2/XM1/a_n417_n100#" 1.73093e-05
+cap "X4/INA" "X2/XM1/a_n417_n100#" 0.00462795
+cap "I3B" "X2/XM1/a_n417_n100#" 0.192585
+cap "X3/BIAS" "X2/INA" 1.43163
+cap "I3A" "X3/BIAS" 1.14518
+cap "X2/INB" "X3/BIAS" 2.30494
+cap "I1B" "X2/XM1/a_n417_n100#" 0.0434566
+cap "X4/SUB" "I1A" 3.89343
+cap "X3/GND" "X4/INA" 0.226994
+cap "X4/SUB" "I3A" 5.5203
+cap "X4/SUB" "X2/INA" 6.20535
+cap "X4/SUB" "X2/XM1/a_n417_n100#" -7.41507
+cap "X4/OUTB" "X2/XM1/a_n417_n100#" 3.22299
+cap "X3/GND" "I3B" 1.18791
+cap "X4/SUB" "X2/INB" 9.32481
+cap "X3/GND" "I1B" 0.526215
+cap "X4/SUB" "X4/INB" 1.4517
+cap "X4/SUB" "X3/GND" 8.61332
+cap "X3/GND" "X4/OUTB" 13.6662
+cap "X2/XM1/a_n417_n100#" "I1A" 0.10714
+cap "I3A" "X2/XM1/a_n417_n100#" 0.309015
+cap "X2/XM1/a_n417_n100#" "X2/INA" 0.446811
+cap "X2/INB" "X2/XM1/a_n417_n100#" 22.8212
+cap "X4/INA" "X3/BIAS" 0.0675368
+cap "X3/BIAS" "I3B" 0.858437
+cap "X3/GND" "I1A" 0.847732
+cap "X4/INB" "X2/XM1/a_n417_n100#" 0.703139
+cap "X3/GND" "I3A" 1.53196
+cap "X3/XR2/a_n573_n3472#" "X4/SUB" 0.00465512
+cap "X3/GND" "X2/INA" 1.88104
+cap "X3/BIAS" "I1B" 0.302846
+cap "X3/GND" "X2/XM1/a_n417_n100#" 1.62772
+cap "X4/SUB" "X4/INA" 2.01425
+cap "X4/SUB" "I3B" 4.74546
+cap "X3/GND" "X2/INB" 13.0078
+cap "X3/BIAS" "X4/OUTB" 0.949901
+cap "X4/INB" "X3/GND" 0.00537731
+cap "X4/SUB" "I1B" 2.97806
+cap "X2/XM1/a_n417_n100#" "I3B" 0.192585
+cap "X2/XM1/a_n417_n100#" "X4/INA" 1.15438
+cap "X2/XM1/a_n417_n100#" "I1B" 0.0434566
+cap "X4/INB" "X2/GND" 0.00546497
+cap "I3B" "X2/BIAS" 0.858437
+cap "X4/INA" "X2/BIAS" 0.105542
+cap "I3A" "X4/SUB" 4.83576
+cap "I1B" "X2/BIAS" 0.302846
+cap "I3A" "X2/GND" 1.56018
+cap "I1A" "X4/SUB" 3.40006
+cap "X4/XM3/a_n73_n100#" "X2/XM1/a_n417_n100#" 1.73093e-05
+cap "X4/SUB" "X2/INA" 10.1693
+cap "I1A" "X2/GND" 0.864837
+cap "X2/XM1/a_n417_n100#" "I3A" 0.309015
+cap "X2/INA" "X2/GND" 9.11119
+cap "X2/XM1/a_n417_n100#" "I1A" 0.10714
+cap "X2/INB" "X4/SUB" 5.9109
+cap "X2/XM1/a_n417_n100#" "X2/INA" -5.7697
+cap "I3A" "X2/BIAS" 1.14518
+cap "X2/INB" "X2/GND" 9.86658
+cap "X4/OUTB" "X4/SUB" 6.14156
+cap "I1A" "X2/BIAS" 0.576134
+cap "X4/OUTB" "X2/GND" 14.0273
+cap "X2/INA" "X2/BIAS" 3.25566
+cap "X2/INB" "X2/XM1/a_n417_n100#" 16.3559
+cap "X4/SUB" "X2/GND" 7.98357
+cap "X4/OUTB" "X2/XM1/a_n417_n100#" 0.861284
+cap "I3B" "X4/SUB" 4.15167
+cap "X4/SUB" "X4/INA" 2.80631
+cap "I1B" "X4/SUB" 2.59313
+cap "I3B" "X2/GND" 1.21076
+cap "X2/INB" "X2/BIAS" 1.69307
+cap "I1B" "X2/GND" 0.537297
+cap "X4/INA" "X2/GND" 0.473032
+cap "X2/XM1/a_n417_n100#" "X4/SUB" -2.41485
+cap "X2/XM1/a_n417_n100#" "X2/GND" 1.78156
+cap "X4/INB" "X4/SUB" 0.862369
+cap "X4/OUTB" "X2/BIAS" 0.949805
+cap "X5/SUB" "X5/IN1" 1.15115
+cap "X5/VDD" "X6/VOP" 0.0666638
+cap "X5/IN3" "X5/XM26/a_159_n100#" 53.2343
+cap "X5/VDD" "X5/IN4" 19.0057
+cap "X5/IN3" "X6/VOP" 0.432146
+cap "X5/VDD" "X5/IN2" 10.9546
+cap "m3_19820_13570#" "X5/IN4" -0.762432
+cap "m3_19820_13570#" "X5/IN2" 5.96122
+cap "X6/VOP" "X5/XM26/a_159_n100#" 0.647016
+cap "X5/VDD" "X5/SUB" 1.36451
+cap "m3_19820_13570#" "X5/SUB" 65.4038
+cap "X5/VDD" "X5/IN1" 0.0918406
+cap "X5/IN3" "X5/IN4" 2.89537
+cap "X5/IN3" "X5/IN2" 3.45506
+cap "m3_19820_13570#" "X5/IN1" 4.44089e-16
+cap "X5/IN4" "X5/XM26/a_159_n100#" 63.6941
+cap "X5/IN3" "X5/SUB" 25.463
+cap "X5/XM26/a_159_n100#" "X5/IN2" 27.7665
+cap "X5/SUB" "X5/XM26/a_159_n100#" 12.1222
+cap "X5/IN3" "X5/IN1" 1.42201
+cap "X5/XM26/a_159_n100#" "X5/IN1" 5.22965
+cap "X5/SUB" "X6/VOP" 5.02389
+cap "m3_19820_13570#" "X5/VDD" 94.5659
+cap "X5/IN4" "X5/IN2" 0.725888
+cap "X5/SUB" "X5/IN4" 27.1937
+cap "X5/IN3" "X5/VDD" 28.7847
+cap "X5/SUB" "X5/IN2" 19.1282
+cap "X5/IN3" "m3_19820_13570#" 38.1939
+cap "X5/VDD" "X5/XM26/a_159_n100#" 4.79416
+cap "X5/IN1" "X5/IN2" 4.37192
+cap "m3_19820_13570#" "X5/XM26/a_159_n100#" 152.995
+cap "X5/IN4" "X5/XM26/a_159_n100#" 9.92477
+cap "X5/IN3" "X5/IN1" 90.8194
+cap "X5/SUB" "m3_19820_13570#" 67.3099
+cap "X5/IN4" "X5/SUB" 58.3949
+cap "m3_19820_13570#" "X5/IN1" 319.867
+cap "X5/IN4" "X5/IN1" 109.768
+cap "X5/IN4" "X5/IN3" 275.562
+cap "X5/IN2" "X5/SUB" 51.7939
+cap "X5/SUB" "X5/VDD" 2.09832
+cap "X5/IN4" "m3_19820_13570#" 355.112
+cap "X5/IN2" "X5/IN1" 228.623
+cap "X5/VDD" "X5/IN1" 2.18267
+cap "X5/SUB" "X5/XM26/a_159_n100#" 1.84624
+cap "X5/IN2" "m3_19820_13570#" 16.2565
+cap "X5/IN2" "X5/IN4" 142.734
+cap "X5/IN1" "X5/XM26/a_159_n100#" 2.90296
+cap "X5/IN4" "X5/VDD" 4.8962
+cap "X5/SUB" "X5/IN1" 42.8571
+cap "X5/SUB" "X5/IN3" 43.8522
+cap "X1/XM4/a_447_n100#" "I4A" 0.364862
+cap "X3/GND" "X1/INA" 0.158192
+cap "X1/OUTB" "I2A" 0.323037
+cap "X1/XM4/a_399_122#" "X3/INA" 0.00878173
+cap "I2B" "X3/INB" -0.428848
+cap "X3/SUB" "X1/INB" 31.5778
+cap "X1/OUTB" "X1/OUTA" 225.089
+cap "X3/OUTB" "X1/INB" 10.9842
+cap "X3/GND" "X1/INB" 0.107381
+cap "X1/XM4/a_303_n188#" "I2A" 0.000107755
+cap "X1/XM2/a_n73_n100#" "X3/INA" 3.09689
+cap "X1/XM4/a_303_n188#" "X1/OUTA" 0.559053
+cap "X3/BIAS" "I2A" 0.480521
+cap "X3/XM1/a_n417_n100#" "X3/INB" -11.653
+cap "m3_19820_13570#" "X1/XM1/a_399_122#" 2.08303
+cap "X3/BIAS" "X1/OUTA" 0.0129578
+cap "X1/INA" "X3/INB" 4.97016
+cap "I4A" "X1/XM1/a_399_122#" 0.0162864
+cap "X1/XM1/a_447_n100#" "I4B" 0.911279
+cap "I4A" "X3/OUTA" 1.03244
+cap "m3_19820_13570#" "X3/OUTA" 17.7196
+cap "I2B" "X1/OUTA" 0.699337
+cap "X1/XM2/a_n73_n100#" "X3/SUB" 4.82483
+cap "X3/INA" "X1/XM4/a_447_n100#" 0.0740618
+cap "X3/INB" "X1/INB" 15.3584
+cap "X3/OUTB" "X1/XM2/a_n73_n100#" 3.97809
+cap "X3/XM1/a_n417_n100#" "I2A" 0.92217
+cap "X1/OUTB" "I2B" 0.272187
+cap "X1/INA" "I2A" 3.58474
+cap "X1/XM4/a_399_122#" "X3/INB" 0.0166177
+cap "X3/XM1/a_n417_n100#" "X1/OUTA" 8.06714
+cap "X1/INA" "X1/OUTA" 5.22908
+cap "X3/INA" "X1/XM1/a_399_122#" 0.00212962
+cap "X1/INB" "I2A" 0.0895426
+cap "I2B" "X3/BIAS" 7.55204
+cap "X1/XM2/a_n73_n100#" "X3/INB" 4.1202
+cap "X1/OUTB" "X3/XM1/a_n417_n100#" 2.64341
+cap "I4B" "m3_19820_13570#" 4.24301
+cap "X3/INA" "X3/OUTA" 3.94541
+cap "X1/INB" "X1/OUTA" 1.79847
+cap "X1/OUTB" "X1/INA" 0.245402
+cap "I4B" "X1/XM1/a_303_n188#" 2.95465
+cap "X1/XM4/a_399_122#" "I2A" 0.00264081
+cap "X1/XM4/a_399_122#" "X1/OUTA" 0.0284868
+cap "X1/INA" "X1/XM4/a_303_n188#" 0.0861223
+cap "X3/SUB" "X3/OUTA" 50.8689
+cap "X1/OUTB" "X1/INB" -0.302699
+cap "X1/XM4/a_447_n100#" "X3/INB" 0.112693
+cap "X1/INA" "X3/BIAS" 0.103684
+cap "X3/OUTB" "X3/OUTA" 182.182
+cap "I2B" "X3/XM1/a_n417_n100#" 40.8086
+cap "X1/XM2/a_n73_n100#" "I2A" 0.154896
+cap "X3/GND" "X3/OUTA" 19.9726
+cap "X1/INA" "I2B" 3.03868
+cap "X1/XM2/a_n73_n100#" "X1/OUTA" 13.0584
+cap "X1/XM4/a_303_n188#" "X1/INB" 0.146298
+cap "X3/BIAS" "X1/INB" 0.0801711
+cap "X1/XM1/a_447_n100#" "m3_19820_13570#" 10.7886
+cap "X1/XM1/a_447_n100#" "I4A" 0.0611633
+cap "I2B" "X1/INB" 0.0727708
+cap "X1/XM4/a_447_n100#" "I2A" 0.0239451
+cap "I4B" "X3/INA" 3.2556
+cap "X1/INA" "X3/XM1/a_n417_n100#" 3.48702
+cap "X3/INB" "X1/XM1/a_399_122#" 0.0325365
+cap "X1/XM4/a_447_n100#" "X1/OUTA" 0.0102729
+cap "X3/INB" "X3/OUTA" 0.684731
+cap "I4B" "X3/SUB" 25.5885
+cap "X3/XM1/a_n417_n100#" "X1/INB" 4.12281
+cap "X1/INA" "X1/INB" 3.86715
+cap "X3/GND" "I4B" 0.000904226
+cap "X3/OUTB" "I4B" 0.301999
+cap "X1/XM2/a_n73_n100#" "I2B" 0.113508
+cap "I2A" "X1/XM1/a_399_122#" 0.000736436
+cap "X1/XM1/a_303_n188#" "m3_19820_13570#" 1.40208
+cap "X1/XM1/a_447_n100#" "X3/INA" 0.0105984
+cap "X1/XM4/a_399_122#" "X1/INA" 0.028182
+cap "m3_19820_13570#" "I4A" 4.39578
+cap "I2A" "X3/OUTA" 1.5988
+cap "X1/XM1/a_399_122#" "X1/OUTA" 1.14782
+cap "X1/XM1/a_303_n188#" "I4A" 0.185635
+cap "X1/OUTA" "X3/OUTA" 4.38184
+cap "X1/XM2/a_n73_n100#" "X3/XM1/a_n417_n100#" 0.0676117
+cap "I2B" "X1/XM4/a_447_n100#" 0.000701076
+cap "X1/XM2/a_n73_n100#" "X1/INA" 0.39824
+cap "X1/XM4/a_399_122#" "X1/INB" 0.0416833
+cap "I4B" "X3/INB" 0.261807
+cap "X1/OUTB" "X3/OUTA" 10.4828
+cap "X1/XM2/a_n73_n100#" "X1/INB" 0.511129
+cap "X1/INA" "X1/XM4/a_447_n100#" 0.185451
+cap "X3/BIAS" "X3/OUTA" 3.64967
+cap "X3/INA" "I4A" 3.85995
+cap "X3/INA" "m3_19820_13570#" 14.7404
+cap "X3/INA" "X1/XM1/a_303_n188#" 0.0112941
+cap "I2B" "X3/OUTA" 32.6609
+cap "X1/XM4/a_447_n100#" "X1/INB" 0.270083
+cap "X1/XM1/a_447_n100#" "X3/INB" 0.0835115
+cap "I4B" "X1/OUTA" 17.76
+cap "X3/SUB" "m3_19820_13570#" 83.9919
+cap "X3/SUB" "I4A" 13.1494
+cap "X3/OUTB" "m3_19820_13570#" 48.2109
+cap "X3/GND" "m3_19820_13570#" 14.4088
+cap "X1/INA" "X1/XM1/a_399_122#" 0.00785772
+cap "X3/GND" "I4A" 0.0284042
+cap "X1/OUTB" "I4B" 5.13254
+cap "X3/OUTB" "I4A" 0.359814
+cap "X3/XM1/a_n417_n100#" "X3/OUTA" 19.651
+cap "X1/INA" "X3/OUTA" 8.98995
+cap "X1/XM1/a_447_n100#" "I2A" 0.00423023
+cap "X1/INB" "X1/XM1/a_399_122#" 0.0116223
+cap "I4B" "X1/XM4/a_303_n188#" 3.47105
+cap "X1/XM1/a_447_n100#" "X1/OUTA" 18.9668
+cap "X1/INB" "X3/OUTA" 4.70012
+cap "X3/INB" "m3_19820_13570#" 14.6656
+cap "X1/XM1/a_303_n188#" "X3/INB" 0.117355
+cap "X3/INB" "I4A" 0.331864
+cap "X1/XM4/a_399_122#" "X3/OUTA" 0.0147652
+cap "X3/INA" "X3/SUB" 20.1936
+cap "X3/OUTB" "X3/INA" 0.469567
+cap "X3/GND" "X3/INA" -0.128215
+cap "I4B" "X3/XM1/a_n417_n100#" 0.22595
+cap "X1/XM2/a_n73_n100#" "X3/OUTA" 7.31431
+cap "I4B" "X1/INA" 8.32853
+cap "m3_19820_13570#" "I2A" 5.51217
+cap "X1/XM1/a_303_n188#" "I2A" 0.000107755
+cap "X1/XM1/a_447_n100#" "I2B" 0.000118152
+cap "m3_19820_13570#" "X1/OUTA" 15.8473
+cap "X3/OUTB" "X3/SUB" 10.5563
+cap "X1/XM1/a_303_n188#" "X1/OUTA" 0.928489
+cap "I4A" "X1/OUTA" 1.26846
+cap "I4B" "X1/INB" 0.0587459
+cap "X1/XM4/a_447_n100#" "X3/OUTA" 0.0676876
+cap "X3/INA" "X3/INB" -0.246721
+cap "X1/OUTB" "m3_19820_13570#" 38.5946
+cap "X1/OUTB" "I4A" 0.485158
+cap "X1/XM4/a_399_122#" "I4B" 0.883739
+cap "X1/XM1/a_447_n100#" "X1/INA" 0.0314346
+cap "X3/SUB" "X3/INB" 34.26
+cap "X1/XM4/a_303_n188#" "m3_19820_13570#" 1.29979
+cap "X1/XM4/a_303_n188#" "I4A" 0.218868
+cap "X3/BIAS" "m3_19820_13570#" 5.55444
+cap "X3/BIAS" "I4A" 0.00367047
+cap "X1/XM2/a_n73_n100#" "I4B" 40.4446
+cap "X3/OUTB" "X3/INB" -40.3767
+cap "X3/GND" "X3/INB" -11.3847
+cap "X1/XM1/a_447_n100#" "X1/INB" 0.0454745
+cap "X3/INA" "I2A" 5.78186
+cap "X1/XM1/a_399_122#" "X3/OUTA" 0.0500513
+cap "I2B" "m3_19820_13570#" 5.3206
+cap "X3/INA" "X1/OUTA" 8.21753
+cap "X3/SUB" "I2A" 13.1454
+cap "I4B" "X1/XM4/a_447_n100#" 5.45119
+cap "X1/OUTB" "X3/INA" 0.368633
+cap "X3/SUB" "X1/OUTA" 49.0184
+cap "X3/OUTB" "I2A" 0.545894
+cap "X3/XM1/a_n417_n100#" "m3_19820_13570#" 45.3188
+cap "X3/GND" "I2A" 0.426971
+cap "X3/XM1/a_n417_n100#" "I4A" 0.303331
+cap "X1/XM1/a_303_n188#" "X1/INA" 0.0724887
+cap "X3/GND" "X1/OUTA" 0.298337
+cap "X3/OUTB" "X1/OUTA" 12.922
+cap "X1/INA" "I4A" 5.29847
+cap "X1/INA" "m3_19820_13570#" 8.45248
+cap "X3/INA" "X1/XM4/a_303_n188#" 0.0146277
+cap "X3/INA" "X3/BIAS" 0.243666
+cap "X1/OUTB" "X3/SUB" 9.78747
+cap "X1/INB" "I4A" 0.147008
+cap "m3_19820_13570#" "X1/INB" 17.2571
+cap "X1/XM1/a_303_n188#" "X1/INB" 0.123543
+cap "X3/OUTB" "X1/OUTB" 15.2005
+cap "I4B" "X1/XM1/a_399_122#" 0.246413
+cap "X3/INA" "I2B" 8.78571
+cap "X3/INB" "I2A" 0.581799
+cap "I4B" "X3/OUTA" 0.859618
+cap "X1/XM4/a_399_122#" "m3_19820_13570#" 0.760021
+cap "X1/XM4/a_399_122#" "I4A" 0.0584109
+cap "X3/INB" "X1/OUTA" 5.10691
+cap "X3/SUB" "I2B" 25.5495
+cap "X3/INA" "X3/XM1/a_n417_n100#" 0.481293
+cap "X3/INA" "X1/INA" 21.1366
+cap "X3/OUTB" "I2B" 9.07889
+cap "X1/XM2/a_n73_n100#" "m3_19820_13570#" 44.2545
+cap "X3/GND" "I2B" 6.35616
+cap "X1/XM2/a_n73_n100#" "I4A" 0.640471
+cap "X1/OUTB" "X3/INB" 7.76956
+cap "I2A" "X1/OUTA" 0.834015
+cap "X1/XM1/a_447_n100#" "X3/OUTA" 0.490933
+cap "X3/INA" "X1/INB" 4.50636
+cap "X3/SUB" "X3/XM1/a_n417_n100#" 4.97041
+cap "X1/XM4/a_303_n188#" "X3/INB" 0.0424908
+cap "X3/SUB" "X1/INA" 19.1217
+cap "X3/BIAS" "X3/INB" -2.95287
+cap "X3/OUTB" "X3/XM1/a_n417_n100#" -0.000159719
+cap "X1/XM4/a_447_n100#" "m3_19820_13570#" 3.60309
+cap "X3/OUTB" "X1/INA" 0.458359
+cap "X3/OUTA" "I4A" 25.7866
+cap "I2A" "X4/OUTB" 0.379507
+cap "I4A" "X4/XR1/a_n703_n3602#" 25.2476
+cap "X1/INB" "X3/BIAS" 0.0273876
+cap "X3/OUTA" "X1/XM2/a_n73_n100#" 0.641162
+cap "X1/INA" "X4/OUTB" 1.7219
+cap "X1/XM2/a_n73_n100#" "X4/XR1/a_n703_n3602#" 0.463658
+cap "X1/INB" "X3/XM1/a_n417_n100#" 0.049816
+cap "X1/INA" "I2A" 0.0887377
+cap "X1/XM4/a_447_n100#" "I2B" 0.000117483
+cap "X3/INA" "X3/OUTA" 46.0983
+cap "X3/INA" "X4/XR1/a_n703_n3602#" 40.0312
+cap "X3/OUTA" "X1/OUTA" 78.7543
+cap "X1/XM2/a_n73_n100#" "X4/OUTB" 2.96512
+cap "X4/XR1/a_n703_n3602#" "X1/OUTA" 37.8231
+cap "X1/INB" "X3/GND" 0.0099612
+cap "X1/INA" "I4A" 0.145698
+cap "X1/XM2/a_n73_n100#" "I2A" 0.0192154
+cap "X3/INA" "X4/OUTB" 2.65499
+cap "X1/OUTA" "X4/OUTB" 234.495
+cap "X1/INA" "X1/XM2/a_n73_n100#" 0.0478362
+cap "X3/INA" "I2A" 0.580964
+cap "X1/XM2/a_n73_n100#" "I4A" 0.0757441
+cap "I2A" "X1/OUTA" 14.3588
+cap "X3/INA" "X1/INA" 3.87097
+cap "X3/INA" "X3/OUTB" -0.242728
+cap "X1/INA" "X1/OUTA" 24.1932
+cap "X3/INA" "I4A" 0.331382
+cap "I4A" "X1/OUTA" 23.8657
+cap "X3/INA" "X1/XM2/a_n73_n100#" 0.505409
+cap "X1/XM4/a_447_n100#" "X4/OUTB" 7.1855
+cap "X1/XM4/a_447_n100#" "I2A" 0.00380692
+cap "X3/BIAS" "I2B" 6.82774
+cap "X3/INA" "X1/OUTA" 20.9719
+cap "X1/INA" "X1/XM4/a_447_n100#" 0.0302607
+cap "X3/XM1/a_n417_n100#" "I2B" 8.26962
+cap "X1/XM4/a_447_n100#" "I4A" 0.0598625
+cap "X1/XM4/a_399_122#" "X4/OUTB" 1.32301
+cap "X1/XM4/a_303_n188#" "X4/OUTB" 0.102288
+cap "X1/XM4/a_399_122#" "I2A" 0.00673284
+cap "X3/GND" "I2B" 9.21021
+cap "X1/INA" "X1/XM4/a_399_122#" 0.106877
+cap "X3/INA" "X1/XM4/a_447_n100#" 0.193197
+cap "X1/XM4/a_399_122#" "I4A" 0.234783
+cap "X1/XM4/a_303_n188#" "X1/INA" 0.00480004
+cap "X3/OUTA" "X3/INB" 38.047
+cap "X4/XR1/a_n703_n3602#" "X3/INB" 26.6164
+cap "X3/OUTA" "X3/XM1/a_n417_n100#" -0.000154395
+cap "X1/XM4/a_303_n188#" "I4A" 0.0117012
+cap "X3/XM1/a_n417_n100#" "X4/XR1/a_n703_n3602#" 0.473572
+cap "X3/BIAS" "X4/OUTB" 1.42755
+cap "X3/INA" "X1/XM4/a_399_122#" 0.0884012
+cap "X3/XM1/a_n417_n100#" "X4/OUTB" 3.03367
+cap "X3/BIAS" "I2A" 0.24708
+cap "X3/INA" "X1/XM4/a_303_n188#" 0.00683499
+cap "X1/INA" "X3/BIAS" 0.212869
+cap "X1/INA" "X3/INB" 0.117407
+cap "X3/XM1/a_n417_n100#" "I2A" 0.110862
+cap "X1/INA" "X3/XM1/a_n417_n100#" 0.808571
+cap "X3/GND" "X4/OUTB" 7.19529
+cap "X3/OUTA" "I4B" 20.6546
+cap "X3/BIAS" "I4A" 0.00684072
+cap "X4/XR1/a_n703_n3602#" "I4B" 48.9072
+cap "X1/XM2/a_n73_n100#" "X3/INB" 0.0366896
+cap "X3/XM1/a_n417_n100#" "I4A" 0.0377218
+cap "X3/GND" "I2A" 0.059995
+cap "X1/XM2/a_n73_n100#" "X3/XM1/a_n417_n100#" 0.00450836
+cap "X1/INA" "X3/GND" 0.398307
+cap "X3/INA" "X3/INB" 0.528723
+cap "X3/INA" "X3/BIAS" 0.137799
+cap "X1/OUTA" "X3/INB" 19.1208
+cap "I4A" "X3/GND" 0.00383826
+cap "X3/INA" "X3/XM1/a_n417_n100#" 0.219646
+cap "X3/XM1/a_n417_n100#" "X1/OUTA" 0.636394
+cap "X1/INA" "I4B" 2.15697
+cap "X3/INA" "X3/GND" -0.105316
+cap "X1/XM2/a_n73_n100#" "I4B" 8.21558
+cap "X1/XM4/a_447_n100#" "X3/INB" 0.0183377
+cap "X3/INA" "I4B" 0.261425
+cap "X1/OUTA" "I4B" 270.139
+cap "X1/XM4/a_399_122#" "X3/INB" 0.0602077
+cap "X1/XM4/a_303_n188#" "X3/INB" 0.0025359
+cap "X1/XM4/a_447_n100#" "I4B" 9.19896
+cap "X3/OUTA" "X1/INB" 30.4997
+cap "X1/INB" "X4/XR1/a_n703_n3602#" 26.143
+cap "X1/XM4/a_399_122#" "I4B" 6.49454
+cap "X3/BIAS" "X3/INB" 0.112103
+cap "X3/XM1/a_n417_n100#" "X3/INB" 0.078498
+cap "X1/XM4/a_303_n188#" "I4B" 0.327866
+cap "X3/GND" "X3/INB" 0.030353
+cap "X1/INA" "X1/INB" 0.138469
+cap "X1/INB" "X1/XM2/a_n73_n100#" 0.0608634
+cap "X3/XM1/a_n417_n100#" "I4B" 0.0281274
+cap "X3/INA" "X1/INB" 0.406387
+cap "X1/INB" "X1/OUTA" 22.4469
+cap "X3/GND" "I4B" 0.00012866
+cap "X3/OUTA" "I2B" 378.854
+cap "X4/XR1/a_n703_n3602#" "I2B" 48.8701
+cap "X1/XM4/a_447_n100#" "X1/INB" 0.0442314
+cap "X4/OUTB" "I2B" 0.366318
+cap "X1/INA" "I2B" 0.0721143
+cap "X3/OUTA" "X4/XR1/a_n703_n3602#" 32.8484
+cap "X1/INB" "X1/XM4/a_399_122#" 0.164758
+cap "X1/XM2/a_n73_n100#" "I2B" 0.0141089
+cap "X1/XM4/a_303_n188#" "X1/INB" 0.00801167
+cap "X3/OUTA" "X4/OUTB" 281.733
+cap "X4/XR1/a_n703_n3602#" "X4/OUTB" 62.4598
+cap "X3/INA" "I2B" 1.80224
+cap "X3/OUTA" "I2A" 43.7492
+cap "X1/OUTA" "I2B" 11.6069
+cap "X4/XR1/a_n703_n3602#" "I2A" 25.2396
+cap "X1/INA" "X3/OUTA" 39.9689
+cap "X1/INA" "X4/XR1/a_n703_n3602#" 43.4793
+cap "X4/XR1/a_n703_n3602#" "X3/OUTB" -0.000206121
+cap "X4/SUB" "I3B" 24.3295
+cap "X1/OUTA" "X3/INA" 4.44536
+cap "X4/OUTB" "X2/INB" 228.391
+cap "X4/SUB" "X1/OUTA" 10.4405
+cap "X1/INA" "X3/OUTA" 9.75632
+cap "I3B" "X4/OUTB" 47.9511
+cap "X1/OUTA" "I4A" 6.40305
+cap "I1B" "X3/OUTA" 8.54151
+cap "X4/INB" "X3/OUTA" 5.71709
+cap "X4/SUB" "X1/INA" 24.3353
+cap "X4/OUTB" "X1/OUTA" 74.6077
+cap "X1/OUTA" "X2/INB" 2.99682
+cap "X4/SUB" "I1B" 23.9519
+cap "X4/SUB" "X4/INB" 26.7061
+cap "I3B" "X1/OUTA" 5.06681
+cap "X4/OUTB" "X1/INA" 46.3907
+cap "X4/OUTB" "I1B" 15.8419
+cap "X4/OUTB" "X4/INB" 139.084
+cap "X1/OUTA" "X1/INA" 5.60584
+cap "X1/OUTA" "I1B" 6.05368
+cap "X1/OUTA" "X4/INB" 48.6716
+cap "I2A" "X3/OUTA" 12.3827
+cap "X3/INA" "X3/OUTA" 11.6926
+cap "X4/SUB" "I2A" 23.1569
+cap "X4/SUB" "X3/OUTA" 11.2327
+cap "X4/SUB" "X3/INA" 23.9344
+cap "I2A" "X4/OUTB" 20.9558
+cap "I4A" "X3/OUTA" 7.18776
+cap "X4/OUTB" "X3/OUTA" 253.912
+cap "X4/SUB" "I4A" 23.1857
+cap "X4/OUTB" "X3/INA" 20.4549
+cap "X2/INB" "X3/OUTA" 80.4824
+cap "I3B" "X3/OUTA" 10.8124
+cap "X4/SUB" "X4/OUTB" 112.862
+cap "I2A" "X1/OUTA" 3.7516
+cap "X4/SUB" "X2/INB" 26.6454
+cap "X4/OUTB" "I4A" 13.3223
+cap "X1/OUTA" "X3/OUTA" 23.4795
+cap "X4/SUB" "X4/XM2/a_n73_n100#" 2.79998
+cap "X4/XM2/a_n73_n100#" "X4/INB" 37.0732
+cap "X3/GND" "X4/INB" 0.00198202
+cap "X4/XM1/a_399_122#" "X4/INB" 4.48557
+cap "X4/SUB" "I1B" 26.7452
+cap "I1B" "X4/INB" -0.489859
+cap "I1B" "X4/XM2/a_n73_n100#" 0.493994
+cap "X4/SUB" "X4/OUTB" 127.567
+cap "X4/SUB" "I3A" 26.7404
+cap "I1B" "X3/GND" 0.0715893
+cap "X4/OUTB" "X4/INB" 135.588
+cap "I3A" "X4/INB" -0.405807
+cap "X4/SUB" "X2/XM1/a_n417_n100#" 2.87777
+cap "I1B" "X4/XM1/a_399_122#" 0.206442
+cap "X4/OUTB" "X4/XM2/a_n73_n100#" 31.3821
+cap "X2/XM1/a_n417_n100#" "X4/INB" 4.47859
+cap "X4/XM1/a_447_n100#" "X4/INB" 13.9318
+cap "X4/XM1/a_303_n188#" "X4/INB" 3.65262
+cap "I3A" "X4/XM2/a_n73_n100#" 0.212861
+cap "X4/SUB" "I3B" 27.1568
+cap "X4/SUB" "X4/INA" 25.9242
+cap "X4/SUB" "X2/INA" 25.9199
+cap "X4/OUTB" "X3/GND" 10.8021
+cap "I3A" "X3/GND" 0.315169
+cap "X2/XM1/a_n417_n100#" "X4/XM2/a_n73_n100#" 0.03606
+cap "I3B" "X4/INB" -0.441153
+cap "X4/XM1/a_399_122#" "X4/OUTB" 2.08303
+cap "X4/SUB" "X2/INB" 54.2373
+cap "I3A" "X4/XM1/a_399_122#" 0.0338278
+cap "X4/INB" "X4/INA" -2.19473
+cap "X2/INA" "X4/INB" -0.363859
+cap "X4/XM2/a_n73_n100#" "I3B" 0.293763
+cap "X4/SUB" "I1A" 27.1584
+cap "X4/INB" "X2/INB" 18.2455
+cap "I1B" "X4/OUTB" 8.47269
+cap "X4/XM2/a_n73_n100#" "X4/INA" 0.618016
+cap "X2/INA" "X4/XM2/a_n73_n100#" 0.151065
+cap "X3/GND" "I3B" 0.216365
+cap "I1A" "X4/INB" -0.469323
+cap "X2/INA" "X3/GND" 0.425668
+cap "X4/XM2/a_n73_n100#" "X2/INB" 4.29102
+cap "X4/XM1/a_447_n100#" "I1B" 0.314314
+cap "I1B" "X4/XM1/a_303_n188#" 0.154296
+cap "I1B" "X2/XM1/a_n417_n100#" 0.391079
+cap "X3/GND" "X4/INA" 0.0279781
+cap "X4/XM1/a_399_122#" "I3B" 0.0768254
+cap "X2/INA" "X4/XM1/a_399_122#" 0.00937365
+cap "X3/GND" "X2/INB" 2.01938
+cap "X4/XM1/a_399_122#" "X4/INA" 0.293194
+cap "I1A" "X4/XM2/a_n73_n100#" 0.385607
+cap "I3A" "X4/OUTB" 10.5645
+cap "I1A" "X3/GND" 0.131587
+cap "I1B" "X3/BIAS" 0.0493017
+cap "X4/XM1/a_447_n100#" "X4/OUTB" 10.7886
+cap "X4/XM1/a_303_n188#" "X4/OUTB" 1.40208
+cap "X2/XM1/a_n417_n100#" "X4/OUTB" 31.8525
+cap "I3A" "X2/XM1/a_n417_n100#" 0.751493
+cap "X4/XM1/a_399_122#" "I1A" 0.135059
+cap "X4/XM1/a_447_n100#" "I3A" 0.071135
+cap "X4/XM1/a_303_n188#" "I3A" 0.0150249
+cap "I1B" "X2/INB" 4.97458
+cap "X4/OUTB" "I3B" 34.6519
+cap "X4/OUTB" "X4/INA" 7.22304
+cap "X2/INA" "X4/OUTB" 10.7785
+cap "X3/BIAS" "X4/OUTB" 3.49099
+cap "I3A" "X3/BIAS" 0.361903
+cap "X2/XM1/a_n417_n100#" "I3B" 0.620498
+cap "X4/XM1/a_447_n100#" "I3B" 0.13103
+cap "X4/XM1/a_303_n188#" "I3B" 0.0450247
+cap "X4/OUTB" "X2/INB" 165.027
+cap "I3A" "X2/INB" 6.33905
+cap "X2/INA" "X4/XM1/a_447_n100#" 0.027752
+cap "X2/INA" "X4/XM1/a_303_n188#" 0.000107755
+cap "X2/XM1/a_n417_n100#" "X4/INA" 0.296567
+cap "X2/INA" "X2/XM1/a_n417_n100#" 0.894142
+cap "X4/XM1/a_447_n100#" "X4/INA" 0.424724
+cap "X4/XM1/a_303_n188#" "X4/INA" 0.23055
+cap "X4/OUTB" "I1A" 33.9385
+cap "X2/XM1/a_n417_n100#" "X2/INB" 24.0387
+cap "X4/XM1/a_447_n100#" "X2/INB" 0.00178075
+cap "X4/SUB" "X1/XR2/a_n573_n3472#" 2.27024e-05
+cap "X4/SUB" "X3/XR2/a_n573_n3472#" 0.00336029
+cap "X3/BIAS" "I3B" 0.22688
+cap "X2/XM1/a_n417_n100#" "I1A" 0.502016
+cap "X4/XM1/a_303_n188#" "I1A" 0.0909146
+cap "X4/XM1/a_447_n100#" "I1A" 0.215711
+cap "X2/INA" "X3/BIAS" 0.525093
+cap "I3B" "X2/INB" 5.97901
+cap "X3/BIAS" "X4/INA" 0.00964082
+cap "X4/INA" "X2/INB" 4.34654
+cap "X2/INA" "X2/INB" 4.19291
+cap "X3/BIAS" "X2/INB" 4.82894
+cap "X4/SUB" "X4/INB" 56.5842
+cap "X3/BIAS" "I1A" 0.122534
+cap "I1A" "X2/INB" 5.52171
+cap "X2/INA" "I1A" 5.52171
+cap "X2/XM1/a_n417_n100#" "I3B" 0.619784
+cap "X4/XM2/a_n73_n100#" "I3B" 0.293544
+cap "X2/INA" "X2/INB" 10.1308
+cap "X4/XM4/a_303_n188#" "X2/INA" 0.000107755
+cap "X4/SUB" "I3B" 26.8829
+cap "X2/XM1/a_n509_n100#" "I3B" 0.216365
+cap "I3B" "X4/INA" 4.76908
+cap "X4/XM4/a_303_n188#" "I1A" 0.0909223
+cap "X4/INB" "X2/XM1/a_n417_n100#" 0.220844
+cap "X4/XM2/a_n73_n100#" "X4/INB" 31.0943
+cap "X4/INB" "X4/SUB" 54.5973
+cap "I1B" "X2/BIAS" 0.0493017
+cap "X4/XM4/a_399_122#" "I3A" 0.0338278
+cap "X4/INB" "X2/XM1/a_n509_n100#" 0.000901829
+cap "X4/INB" "X4/INA" 12.7521
+cap "X2/BIAS" "X4/INA" 0.0791586
+cap "I3A" "X4/OUTB" 49.2595
+cap "X4/XM4/a_447_n100#" "I3A" 0.071135
+cap "X4/XM2/a_n73_n100#" "X2/XM1/a_n417_n100#" 0.03606
+cap "I1B" "X2/XM1/a_n417_n100#" 0.391079
+cap "X4/XM2/a_n73_n100#" "I1B" 0.492805
+cap "X2/XM1/a_n417_n100#" "X4/SUB" 2.56627
+cap "X4/XM2/a_n73_n100#" "X4/SUB" 2.4885
+cap "X2/INA" "I3A" 6.33905
+cap "I1B" "X4/SUB" 26.4616
+cap "X2/XM1/a_n417_n100#" "X4/INA" 4.4763
+cap "X4/XM2/a_n73_n100#" "X4/INA" 0.616367
+cap "I1B" "X2/XM1/a_n509_n100#" 0.0715893
+cap "I1B" "X4/INA" 5.42961
+cap "X4/SUB" "X4/INA" 39.6113
+cap "X2/XM1/a_n509_n100#" "X4/INA" 0.203785
+cap "X4/XM4/a_303_n188#" "I3A" 0.0150249
+cap "X4/XM4/a_399_122#" "I3B" 0.0768254
+cap "I3B" "X4/OUTB" 62.3678
+cap "X4/XM4/a_447_n100#" "I3B" 0.13103
+cap "X2/INA" "I3B" 5.97901
+cap "X4/INB" "X4/XM4/a_399_122#" 8.08803
+cap "X4/INB" "X4/OUTB" 277.354
+cap "X4/INB" "X4/XM4/a_447_n100#" 19.0281
+cap "X2/BIAS" "X4/OUTB" 3.49099
+cap "X4/XM4/a_303_n188#" "I3B" 0.0450267
+cap "X4/INB" "X2/INA" 3.64243
+cap "X2/INA" "X2/BIAS" 3.78362
+cap "I1B" "X4/XM4/a_399_122#" 0.206442
+cap "X4/XM2/a_n73_n100#" "X4/OUTB" 21.2836
+cap "X2/XM1/a_n417_n100#" "X4/OUTB" 21.754
+cap "I1B" "X4/OUTB" 45.8911
+cap "X4/XM4/a_399_122#" "X4/INA" 0.293194
+cap "I1B" "X4/XM4/a_447_n100#" 0.314314
+cap "X4/SUB" "X4/OUTB" 111.355
+cap "X4/INA" "X4/OUTB" 57.8894
+cap "X2/XM1/a_n509_n100#" "X4/OUTB" 10.7019
+cap "X4/XM4/a_447_n100#" "X4/INA" 0.424724
+cap "X2/INA" "X2/XM1/a_n417_n100#" 15.3524
+cap "X2/BIAS" "I1A" 0.122537
+cap "X2/INB" "X2/BIAS" 14.6873
+cap "X4/XM2/a_n73_n100#" "X2/INA" 4.22501
+cap "X4/INB" "X4/XM4/a_303_n188#" 6.58661
+cap "I1B" "X2/INA" 4.97458
+cap "X2/INA" "X4/SUB" 46.023
+cap "X2/INA" "X2/XM1/a_n509_n100#" 9.50377
+cap "X2/INA" "X4/INA" 23.4449
+cap "X2/XM1/a_n417_n100#" "I1A" 0.501794
+cap "X4/XM2/a_n73_n100#" "I1A" 0.384897
+cap "X2/XM1/a_n417_n100#" "X2/INB" 31.4274
+cap "X4/XM2/a_n73_n100#" "X2/INB" 0.110757
+cap "X4/SUB" "I1A" 26.8845
+cap "I1B" "X4/XM4/a_303_n188#" 0.15431
+cap "X2/INB" "X4/SUB" 54.54
+cap "X2/XM1/a_n509_n100#" "I1A" 0.131587
+cap "I1A" "X4/INA" 5.14116
+cap "X2/XM1/a_n509_n100#" "X2/INB" 19.044
+cap "X2/INB" "X4/INA" 3.21778
+cap "X4/XM4/a_303_n188#" "X4/INA" 0.230569
+cap "I3A" "X2/BIAS" 0.361916
+cap "X4/XM4/a_399_122#" "X4/OUTB" 2.08303
+cap "X4/XM4/a_447_n100#" "X4/OUTB" 10.6886
+cap "X2/XM1/a_n417_n100#" "I3A" 0.750301
+cap "X4/XM2/a_n73_n100#" "I3A" 0.212861
+cap "X2/INA" "X4/XM4/a_399_122#" 0.0779783
+cap "I3A" "X4/SUB" 26.4568
+cap "X2/XM1/a_n509_n100#" "I3A" 0.315169
+cap "X2/INA" "X4/OUTB" 28.0336
+cap "I3A" "X4/INA" 4.31943
+cap "X2/INA" "X4/XM4/a_447_n100#" 0.20146
+cap "X4/XM4/a_399_122#" "I1A" 0.135059
+cap "X2/BIAS" "I3B" 0.226888
+cap "I1A" "X4/OUTB" 61.2322
+cap "X4/XM4/a_447_n100#" "I1A" 0.215711
+cap "X2/INB" "X4/OUTB" 372.33
+cap "X4/XM4/a_303_n188#" "X4/OUTB" 1.40208
+cap "X4/XM4/a_447_n100#" "X2/INB" 0.000818559
+cap "X4/OUTA" "X4/INA" 45.3044
+cap "X4/SUB" "I3B" 13.7578
+cap "X4/SUB" "I1A" 13.7586
+cap "X4/OUTA" "I3B" 51.1367
+cap "X4/OUTA" "I1A" 49.9952
+cap "X4/SUB" "I2B" 15.4482
+cap "X4/OUTA" "I2B" 198.759
+cap "X4/SUB" "X2/INA" 13.0983
+cap "X4/SUB" "I4B" 15.4706
+cap "X4/SUB" "I3A" 13.5364
+cap "X4/OUTA" "I4B" 134.541
+cap "X4/SUB" "I1B" 13.539
+cap "X4/OUTA" "X2/INA" 50.919
+cap "X4/OUTA" "I3A" 51.4463
+cap "X4/OUTA" "X4/SUB" 43.9896
+cap "X4/OUTA" "I1B" 48.0405
+cap "X4/SUB" "X4/INA" 13.1025
+cap "X5/IN4" "X5/IN2" -0.6962
+cap "X5/SUB" "X5/IN4" 9.46889e-05
+cap "X5/SUB" "X5/IN2" 16.3098
+cap "X5/IN4" "X5/XR18/a_n285_4200#" 3.46945e-18
+cap "X5/XR18/a_n285_4200#" "X5/IN2" 34.6648
+cap "X5/SUB" "X5/XR18/a_n285_4200#" 19.719
+cap "X5/IN4" "X5/VDD" -1.38778e-17
+cap "X5/VDD" "X5/IN2" 15.9568
+cap "X5/SUB" "X5/VDD" 1.38249
+cap "X5/IN2" "X5/IN1" 49.8459
+cap "X5/XR18/a_n285_4200#" "X5/VDD" -1.03001
+cap "X5/SUB" "X5/IN1" 94.2747
+cap "X5/XR18/a_n285_4200#" "X5/IN1" 216.761
+cap "X5/VDD" "X5/IN1" 46.0689
+cap "X5/IN2" "X5/IN3" -2.53774
+cap "X5/IN2" "X1/GND" 0.208284
+cap "m3_19820_13570#" "X5/IN1" 0.0145735
+cap "X5/SUB" "X1/GND" 5.42776
+cap "X5/XR18/a_n285_4200#" "X1/GND" 0.724357
+cap "X5/VDD" "X1/GND" 17.9738
+cap "X5/IN3" "X5/IN1" -1.11022e-16
+cap "X1/GND" "X5/IN1" 14.1019
+cap "X5/IN1" "X5/IN3" 13.1606
+cap "X5/IN1" "X5/SUB" 69.9865
+cap "X1/GND" "X5/IN1" 0.247815
+cap "X5/IN2" "X5/SUB" 5.91939
+cap "X5/VDD" "X5/SUB" 16.5119
+cap "X5/XR18/a_n285_4200#" "X5/SUB" 20.8748
+cap "X5/IN1" "X5/IN2" 131.291
+cap "X5/VDD" "X5/IN1" 33.4434
+cap "X5/XR18/a_n285_4200#" "X5/IN1" 50.7535
+cap "X1/BIAS" "X5/IN1" -1.88657
+cap "X5/IN4" "X5/IN1" 57.2064
+cap "m3_19820_13570#" "X5/IN1" 45.8935
+cap "X1/OUTA" "I2A" 0.212269
+cap "X3/XM2/a_n73_n100#" "X1/INB" 0.0237533
+cap "m3_19820_13570#" "X1/GND" 7.88943
+cap "X3/OUTB" "X3/SUB" 0.199111
+cap "I4B" "X1/OUTB" 3.90982
+cap "X1/BIAS" "X3/INB" 0.626286
+cap "I4B" "X1/INB" 0.474721
+cap "I4A" "X1/GND" 2.11229
+cap "X1/BIAS" "X3/SUB" 1.13687e-13
+cap "m3_19820_13570#" "X1/XM2/a_n73_n100#" 11.3186
+cap "X1/OUTA" "X3/INB" 0.282978
+cap "X1/GND" "X1/XM2/a_n73_n100#" 1.93765
+cap "X3/OUTA" "X1/GND" 0.863587
+cap "X1/BIAS" "X1/INA" 0.700529
+cap "I2A" "X3/SUB" 1.4794
+cap "I4A" "X1/XM2/a_n73_n100#" 0.731007
+cap "X1/OUTB" "X3/OUTB" 9.72407
+cap "X1/OUTA" "X3/SUB" 9.87111
+cap "I2A" "X1/INA" 0.271113
+cap "X3/OUTA" "X1/XM2/a_n73_n100#" 3.06316
+cap "X1/OUTA" "X1/INA" -1.60957
+cap "X1/INB" "X3/OUTB" 0.181543
+cap "X3/INB" "X3/SUB" 6.63536
+cap "X1/BIAS" "X1/OUTB" 102.008
+cap "X1/BIAS" "X3/INA" 0.194953
+cap "I4B" "X1/GND" 2.50056
+cap "X3/XM2/a_n73_n100#" "X1/XM2/a_n73_n100#" 0.0676576
+cap "X1/INA" "X3/INB" 0.374572
+cap "I2A" "X1/OUTB" 0.0369585
+cap "X1/BIAS" "X1/INB" 2.27547
+cap "X1/OUTA" "X1/OUTB" 5.74985
+cap "X1/OUTA" "X3/INA" 0.561395
+cap "I4B" "X1/XM2/a_n73_n100#" 32.4627
+cap "I2A" "X1/INB" 0.255605
+cap "X1/INA" "X3/SUB" 4.1591
+cap "X1/OUTA" "X1/INB" 1.20698
+cap "X1/OUTA" "I2B" 0.171529
+cap "X1/OUTB" "X3/INB" 4.78945
+cap "X1/OUTB" "X3/SUB" 64.975
+cap "X1/INB" "X3/INB" 4.10325
+cap "X1/BIAS" "m3_19820_13570#" 1.39018
+cap "X3/INA" "X3/SUB" 2.35798
+cap "X1/BIAS" "X1/GND" 1.13687e-13
+cap "X1/XM2/a_n73_n100#" "X3/OUTB" 0.807509
+cap "X1/INA" "X1/OUTB" 0.0556978
+cap "X1/INB" "X3/SUB" 11.712
+cap "I4A" "X1/BIAS" 1.22105
+cap "X1/INA" "X3/INA" 1.15518
+cap "I2B" "X3/SUB" 0.691
+cap "X1/OUTA" "m3_19820_13570#" 1.30095
+cap "I2A" "X1/GND" 0.255963
+cap "X1/INA" "X1/INB" 0.871319
+cap "X1/OUTA" "X1/GND" 17.647
+cap "X1/BIAS" "X3/OUTA" 0.0319004
+cap "X1/INA" "I2B" 0.212327
+cap "I4A" "X1/OUTA" 0.353088
+cap "I2A" "X1/XM2/a_n73_n100#" 0.15227
+cap "X3/INA" "X1/OUTB" 0.0438495
+cap "X1/GND" "X3/INB" 1.00309
+cap "X1/OUTA" "X1/XM2/a_n73_n100#" 14.9905
+cap "X1/OUTA" "X3/OUTA" 1.46155
+cap "X1/OUTB" "X1/INB" 3.54634
+cap "m3_19820_13570#" "X3/SUB" 9.02491
+cap "X1/OUTB" "I2B" 0.029859
+cap "X3/INA" "X1/INB" 0.313199
+cap "X1/GND" "X3/SUB" 8.68406
+cap "X1/BIAS" "I4B" 1.48199
+cap "X1/XM2/a_n73_n100#" "X3/INB" 3.67983
+cap "X3/XM2/a_n73_n100#" "X1/OUTA" 0.313756
+cap "X1/INA" "m3_19820_13570#" 0.647723
+cap "I4A" "X3/SUB" 4.82178
+cap "X1/INB" "I2B" 0.200184
+cap "X1/INA" "X1/GND" 1.47624
+cap "X1/XM2/a_n73_n100#" "X3/SUB" -7.75398
+cap "X3/OUTA" "X3/SUB" 2.30026
+cap "I4A" "X1/INA" 0.482573
+cap "X1/OUTA" "I4B" 22.8014
+cap "X1/INA" "X1/XM2/a_n73_n100#" -3.62279
+cap "X3/OUTA" "X1/INA" 0.229054
+cap "X1/OUTB" "m3_19820_13570#" 9.52177
+cap "X1/OUTB" "X1/GND" 213.687
+cap "X3/INA" "X1/GND" 0.609679
+cap "I4A" "X1/OUTB" 0.0615054
+cap "X1/INB" "m3_19820_13570#" 1.38798
+cap "X1/INB" "X1/GND" 5.35547
+cap "X3/XM2/a_n73_n100#" "X1/INA" 0.0118766
+cap "X1/GND" "I2B" 0.00533157
+cap "I4B" "X3/SUB" 5.29398
+cap "X1/OUTB" "X1/XM2/a_n73_n100#" 126.642
+cap "X3/OUTA" "X1/OUTB" 3.0912
+cap "I4A" "X1/INB" 0.454956
+cap "X3/INA" "X1/XM2/a_n73_n100#" 0.50725
+cap "X1/INA" "I4B" 0.503539
+cap "X1/INB" "X1/XM2/a_n73_n100#" 1.57307
+cap "X3/OUTA" "X1/INB" 0.457423
+cap "X1/BIAS" "I2A" 0.0126953
+cap "X1/XM2/a_n73_n100#" "I2B" 0.111883
+cap "X3/XM2/a_n73_n100#" "X1/OUTB" 1.61226
+cap "X1/OUTA" "X1/BIAS" 12.9165
+cap "X4/XR1/a_n703_n3602#" "X3/OUTA" 0.106831
+cap "X1/XM2/a_n73_n100#" "I4A" 0.082662
+cap "X1/XM2/a_n73_n100#" "X4/XR1/a_n703_n3602#" -0.819604
+cap "X1/OUTA" "X4/GND" 203.359
+cap "X1/INA" "I4A" 0.454956
+cap "X4/OUTB" "X4/BIAS" 0.58741
+cap "X4/BIAS" "X1/INB" 0.65054
+cap "X1/OUTA" "X1/INB" 18.774
+cap "X3/INA" "X1/XM2/a_n73_n100#" 1.65566
+cap "X4/XR1/a_n703_n3602#" "X1/INA" 10.5277
+cap "X1/OUTA" "X4/OUTB" 25.7413
+cap "X3/INB" "X1/XM2/a_n73_n100#" 0.0386233
+cap "X4/GND" "I4A" 0.174609
+cap "X3/INA" "X1/INA" 2.56637
+cap "X1/OUTA" "X4/BIAS" 89.4481
+cap "X4/XR1/a_n703_n3602#" "X4/GND" 7.5266
+cap "X3/INB" "X1/INA" 0.374572
+cap "X4/OUTB" "X4/XR1/a_n703_n3602#" 2.34125
+cap "X4/XR1/a_n703_n3602#" "X1/INB" 2.10073
+cap "X3/INA" "X4/GND" 0.893347
+cap "X1/OUTB" "X4/BIAS" 0.55953
+cap "X3/INB" "X4/GND" 0.0768422
+cap "X4/BIAS" "I4A" 0.787306
+cap "X1/OUTA" "I4A" 19.9127
+cap "X1/OUTA" "X4/XR1/a_n703_n3602#" 69.4265
+cap "X3/INA" "X4/BIAS" 0.393273
+cap "X1/OUTA" "X3/INA" 13.9895
+cap "X3/INB" "X4/BIAS" 0.352993
+cap "X3/INB" "X1/OUTA" 15.6029
+cap "X1/XM2/a_n73_n100#" "X3/XM2/a_n73_n100#" 0.00451704
+cap "X4/XR1/a_n703_n3602#" "I4A" 2.31962
+cap "X1/OUTB" "X4/XR1/a_n703_n3602#" 0.0220464
+cap "X1/INA" "X3/XM2/a_n73_n100#" 0.0118766
+cap "I2B" "X1/XM2/a_n73_n100#" 0.013949
+cap "X3/INA" "X4/XR1/a_n703_n3602#" 3.1557
+cap "X3/INB" "X4/XR1/a_n703_n3602#" 1.50719
+cap "I2A" "X1/XM2/a_n73_n100#" 0.0188465
+cap "X1/XM2/a_n73_n100#" "I4B" 6.43376
+cap "I2B" "X1/INA" 0.200184
+cap "I2A" "X1/INA" 0.255605
+cap "X1/INA" "I4B" 0.474721
+cap "X1/XM2/a_n73_n100#" "X3/OUTA" 0.19714
+cap "I2B" "X4/GND" 0.00055541
+cap "I2A" "X4/GND" 0.0202382
+cap "X4/GND" "I4B" 7.79598
+cap "X1/INA" "X3/OUTA" 0.178763
+cap "X1/OUTA" "X3/XM2/a_n73_n100#" 0.0449639
+cap "X1/XM2/a_n73_n100#" "X1/INA" -0.0881609
+cap "I2B" "X1/OUTA" 9.03137
+cap "X1/XM2/a_n73_n100#" "X4/GND" 0.533967
+cap "I2A" "X4/BIAS" 0.0581599
+cap "X4/BIAS" "I4B" 0.924257
+cap "I2A" "X1/OUTA" 11.4192
+cap "X1/OUTA" "I4B" 108.549
+cap "X1/XM2/a_n73_n100#" "X1/INB" 0.0665744
+cap "X4/GND" "X1/INA" 9.85176
+cap "X4/OUTB" "X1/XM2/a_n73_n100#" 1.09504
+cap "I2B" "X4/XR1/a_n703_n3602#" 0.398277
+cap "X4/OUTB" "X1/INA" 0.647723
+cap "X1/INA" "X1/INB" 0.442331
+cap "X1/OUTA" "X3/OUTA" 38.2754
+cap "I2A" "X4/XR1/a_n703_n3602#" 0.768297
+cap "X4/XR1/a_n703_n3602#" "I4B" 2.53468
+cap "X4/GND" "X1/INB" 0.141725
+cap "X1/OUTA" "X1/XM2/a_n73_n100#" 118.662
+cap "X4/BIAS" "X1/INA" 3.38197
+cap "X4/OUTB" "X4/GND" 4.34409
+cap "X1/OUTA" "X1/INA" 17.6805
+cap "X1/OUTA" "X3/INA" 4.09232
+cap "X4/INB" "X4/OUTB" 97.2264
+cap "X1/OUTA" "I2A" 3.43061
+cap "I3B" "X1/OUTA" 4.68746
+cap "X1/OUTA" "X1/INA" 5.20636
+cap "X3/INA" "X4/OUTB" 12.3497
+cap "X1/OUTA" "X3/OUTA" 11.4417
+cap "I2A" "X4/OUTB" 10.3528
+cap "I3B" "X4/OUTB" 14.1457
+cap "X1/OUTA" "I4A" 5.98301
+cap "X4/OUTB" "X1/INA" 15.7116
+cap "I4A" "X4/OUTB" 18.0553
+cap "X4/GND" "X4/SUB" 0.0286548
+cap "X1/OUTA" "X4/SUB" 13.519
+cap "X1/OUTA" "X2/INB" 2.71309
+cap "X1/OUTA" "I1B" 5.64056
+cap "X1/OUTA" "X4/OUTB" 595.167
+cap "X4/SUB" "X4/OUTB" 1.5516
+cap "X4/INB" "X1/OUTA" 31.7089
+cap "I1B" "X4/OUTB" 17.0219
+cap "X2/INB" "X4/OUTB" 8.18747
+cap "X4/XM2/a_n73_n100#" "I3A" 0.220643
+cap "X4/OUTB" "I3A" 5.6886
+cap "X4/INB" "X2/INA" 0.542227
+cap "X4/BIAS" "I3B" 0.573992
+cap "X4/BIAS" "I1B" 1.14297
+cap "X4/INB" "I3A" 0.65098
+cap "X4/BIAS" "X4/INA" 1.42944
+cap "X4/BIAS" "X4/OUTB" 0.947022
+cap "X1/XR2/a_n573_n3472#" "X4/SUB" 0.00799347
+cap "X4/GND" "X2/INB" 0.00499928
+cap "X4/BIAS" "X2/INA" 0.0662089
+cap "X4/BIAS" "X4/INB" 2.24913
+cap "X2/INB" "X4/SUB" 1.44413
+cap "X4/BIAS" "I3A" 0.30079
+cap "X2/XM2/a_n73_n100#" "X4/XM2/a_n73_n100#" 0.0360774
+cap "X4/XM2/a_n73_n100#" "X2/INB" 0.921668
+cap "I1A" "X4/GND" 1.2081
+cap "X4/OUTB" "X2/XM2/a_n73_n100#" 0.35872
+cap "X4/OUTB" "X2/INB" 4.14026
+cap "I1A" "X4/SUB" 4.73919
+cap "X4/GND" "I3B" 0.862291
+cap "X4/GND" "I1B" 1.5574
+cap "X2/XM2/a_n73_n100#" "X4/INB" 0.0237533
+cap "X4/INB" "X2/INB" 2.45575
+cap "I1A" "X4/XM2/a_n73_n100#" 0.42564
+cap "X4/GND" "X4/SUB" 8.5656
+cap "I3B" "X4/SUB" 3.88661
+cap "X4/SUB" "I1B" 5.51467
+cap "X4/INA" "X4/GND" 1.91147
+cap "X4/OUTB" "I1A" 7.23022
+cap "X4/GND" "X4/XM2/a_n73_n100#" 1.78468
+cap "X4/INA" "X4/SUB" 6.20045
+cap "X4/XM2/a_n73_n100#" "I3B" 0.313885
+cap "X4/XM2/a_n73_n100#" "I1B" 0.564635
+cap "X4/OUTB" "I3B" 6.51238
+cap "X4/OUTB" "I1B" 7.83046
+cap "X4/OUTB" "X4/GND" 13.8101
+cap "I1A" "X4/INB" 0.835122
+cap "X4/GND" "X2/INA" 0.229597
+cap "X4/INA" "X4/XM2/a_n73_n100#" 0.720681
+cap "X4/XM2/a_n73_n100#" "X4/SUB" -7.3228
+cap "X4/OUTB" "X4/INA" 8.38189
+cap "X4/OUTB" "X4/SUB" 1.48197
+cap "X4/INB" "I3B" 0.749144
+cap "X4/INB" "I1B" 0.907515
+cap "X4/GND" "X4/INB" 13.0298
+cap "X4/SUB" "X2/INA" 2.00669
+cap "X4/GND" "I3A" 0.534789
+cap "X4/OUTB" "X4/XM2/a_n73_n100#" 4.06289
+cap "X4/INA" "X4/INB" 0.965146
+cap "X4/INB" "X4/SUB" 9.37421
+cap "I3A" "X4/SUB" 2.97081
+cap "X4/XM2/a_n73_n100#" "X2/INA" 0.149227
+cap "X4/OUTB" "X2/INA" 4.77229
+cap "X4/OUTB" "X4/INB" 67.3773
+cap "X4/XM2/a_n73_n100#" "X4/INB" 22.8958
+cap "X4/BIAS" "I1A" 0.856241
+cap "X4/OUTB" "I3B" 10.2273
+cap "X4/BIAS" "X2/INA" 0.103149
+cap "I1A" "X4/INA" 0.835122
+cap "X4/INA" "X4/OUTB" 17.856
+cap "X4/BIAS" "I3B" 0.573992
+cap "X4/INB" "X4/INA" 0.994396
+cap "X4/BIAS" "X4/INA" 3.30498
+cap "X2/XM2/a_n73_n100#" "X4/OUTB" 0.35872
+cap "X4/GND" "X2/INA" 0.467954
+cap "X4/SUB" "X4/GND" 7.99755
+cap "X4/GND" "I3B" 0.862291
+cap "I1A" "X4/XM2/a_n73_n100#" 0.42564
+cap "X4/INB" "X4/XM2/a_n73_n100#" 16.5959
+cap "X4/XM2/a_n73_n100#" "X4/OUTB" 1.69689
+cap "X4/GND" "X4/INA" 9.36791
+cap "X4/SUB" "X2/INB" 0.853513
+cap "X4/XM2/a_n73_n100#" "X4/GND" 1.78468
+cap "X4/SUB" "I3A" 2.58674
+cap "X4/SUB" "I1B" 4.83078
+cap "X2/INB" "X4/INA" 0.416638
+cap "I1A" "X4/OUTB" 11.3564
+cap "I3A" "X4/INA" 0.65098
+cap "X4/INB" "X4/OUTB" 93.0637
+cap "X4/BIAS" "I1A" 0.856241
+cap "X4/BIAS" "X4/OUTB" 0.947022
+cap "X2/INB" "X4/XM2/a_n73_n100#" 0.109474
+cap "I1B" "X4/INA" 0.907515
+cap "X4/BIAS" "X4/INB" 1.69057
+cap "X4/SUB" "X2/INA" 2.78937
+cap "I3A" "X4/XM2/a_n73_n100#" 0.220643
+cap "X4/SUB" "I3B" 3.39404
+cap "I1A" "X4/GND" 1.2081
+cap "I1B" "X4/XM2/a_n73_n100#" 0.564635
+cap "X4/INB" "X4/GND" 9.85395
+cap "X4/GND" "X4/OUTB" 14.0336
+cap "X4/SUB" "X4/INA" 12.4997
+cap "X4/INA" "X2/INA" 3.04385
+cap "X4/INA" "I3B" 0.749144
+cap "X4/SUB" "X4/XM2/a_n73_n100#" -2.33295
+cap "X4/XM2/a_n73_n100#" "X2/INA" 1.42592
+cap "X4/XM2/a_n73_n100#" "I3B" 0.313885
+cap "X2/XM2/a_n73_n100#" "X4/INA" 0.0237533
+cap "X2/INB" "X4/OUTB" 5.92816
+cap "I3A" "X4/OUTB" 8.93184
+cap "X4/XM2/a_n73_n100#" "X4/INA" -5.2133
+cap "X2/XM2/a_n73_n100#" "X4/XM2/a_n73_n100#" 0.0360774
+cap "X4/BIAS" "I3A" 0.30079
+cap "I1B" "X4/OUTB" 12.3007
+cap "X2/INB" "X4/GND" 0.00498354
+cap "X4/BIAS" "I1B" 1.14297
+cap "I3A" "X4/GND" 0.534789
+cap "X4/SUB" "I1A" 4.14614
+cap "X4/SUB" "X4/OUTB" 0.301437
+cap "X4/OUTB" "X2/INA" 7.93985
+cap "X4/SUB" "X4/INB" 5.92303
+cap "I1B" "X4/GND" 1.5574
+cap "I3B" "X4/OUTA" 10.346
+cap "I3A" "X4/OUTA" 9.03244
+cap "I4B" "X4/OUTA" 69.9966
+cap "I1B" "X4/OUTA" 12.4497
+cap "I2B" "X4/OUTA" 5.98825
+cap "X4/INA" "X4/OUTA" 13.2055
+cap "I1A" "X4/OUTA" 11.4913
+cap "X2/INA" "X4/OUTA" 7.57193
+cap "X4/SUB" "X4/OUTA" -3.27522
+cap "X5/AMP" "X5/GND" 1.04082
+cap "BIAS" "X5/XR18/a_n415_n4762#" 13.0655
+cap "X5/AMP" "X5/XR18/a_n415_n4762#" 0.339236
+cap "BIAS" "X5/GND" 34.2355
+cap "X5/GND" "X5/XR18/a_n415_n4762#" -2.99927
+cap "X5/GND" "BIAS" 0.443784
+cap "BIAS" "X5/XR18/a_n415_n4762#" 13.5824
+cap "m2_20210_20620#" "BIAS" 0.443784
+cap "BIAS" "X5/XR18/a_n415_n4762#" 13.5824
+cap "X5/XC1/m3_n2150_n3100#" "X5/XR18/a_n415_n4762#" 15.0283
+cap "BIAS" "X5/XR18/a_n415_n4762#" 13.5824
+cap "X5/XC1/c1_n2050_n3000#" "X5/XC1/m3_n2150_n3100#" 22.0306
+cap "BIAS" "X5/XC1/m3_n2150_n3100#" 0.394651
+cap "X5/XC1/c1_n2050_n3000#" "X5/XR18/a_n415_n4762#" -0.0214497
+cap "X5/XC1/c1_n2050_n3000#" "X5/XR18/a_n415_n4762#" -0.171354
+cap "X5/XC1/m3_n2150_n3100#" "X5/XC1/c1_n2050_n3000#" 248.722
+cap "X5/XC1/m3_n2150_n3100#" "X5/XR18/a_n415_n4762#" 81.3749
+cap "BIAS" "X5/XR18/a_n415_n4762#" 13.5824
+cap "X5/SUB" "X5/XC1/m3_n2150_n3100#" 92.0583
+cap "X5/XR18/a_n285_4200#" "X5/SUB" -0.153823
+cap "X5/XR18/a_n285_4200#" "X5/XC1/m3_n2150_n3100#" 287.7
+cap "X1/BIAS" "X5/SUB" 13.5824
+cap "X1/BIAS" "X5/SUB" 18.1975
+cap "X5/IN2" "X1/GND" 0.399677
+cap "X1/BIAS" "X5/VDD" -1.29046
+cap "X5/SUB" "X1/GND" 121.854
+cap "X5/SUB" "X5/VDD" 36.2217
+cap "X1/BIAS" "X5/XR18/a_n285_4200#" 65.8846
+cap "X1/GND" "X5/VDD" 391.093
+cap "X5/IN1" "X5/SUB" 1.03918
+cap "X5/XR18/a_n285_4200#" "X5/SUB" 0.446334
+cap "X5/IN1" "X1/GND" 20.471
+cap "X5/XR18/a_n285_4200#" "X1/GND" 1143.82
+cap "X5/XR18/a_n285_4200#" "X5/VDD" -6.90274
+cap "X5/XR18/a_n285_4200#" "X5/IN1" 7.15423
+cap "X5/IN2" "X5/SUB" 0.022237
+cap "X5/IN1" "X5/SUB" 26.8715
+cap "X5/VDD" "X1/BIAS" 2.04221
+cap "X5/XR18/a_n285_4200#" "X1/BIAS" 76.4969
+cap "X5/VDD" "X1/GND" -0.590551
+cap "X5/XR18/a_n285_4200#" "X1/GND" 34.5433
+cap "X1/GND" "X1/BIAS" 141.391
+cap "X5/IN1" "X5/XR18/a_n285_4200#" 9.08089
+cap "X5/IN1" "X1/BIAS" 81.9991
+cap "X5/SUB" "X5/VDD" 5.27645
+cap "X5/XR18/a_n285_4200#" "X5/SUB" 44.6834
+cap "X5/SUB" "X1/BIAS" 117.066
+cap "X5/IN1" "X1/GND" 412.383
+cap "X5/SUB" "X1/GND" 9.80347
+cap "I4B" "X1/BIAS" 0.00141705
+cap "X1/GND" "X1/OUTA" 1.16962
+cap "X1/GND" "X1/BIAS" 0.126238
+cap "X1/OUTB" "X1/SUB" 67.8133
+cap "X1/OUTB" "X1/XM2/a_n73_n100#" 31.3878
+cap "X1/OUTB" "X1/BIAS" 48.4819
+cap "X1/XM2/a_n73_n100#" "X1/INB" 0.0126635
+cap "X1/INB" "X1/BIAS" 0.00311392
+cap "X1/OUTB" "X1/GND" 258.198
+cap "X1/SUB" "X1/OUTA" 1.28247
+cap "X1/SUB" "X1/BIAS" 2.71377
+cap "X1/XM2/a_n73_n100#" "X1/OUTA" 1.10443
+cap "X1/XM2/a_n73_n100#" "X1/INA" 0.000187984
+cap "X1/OUTA" "X1/BIAS" 3.79511
+cap "X1/XM2/a_n73_n100#" "I4B" 0.0066283
+cap "X1/SUB" "X1/GND" 3.22612
+cap "X4/XR1/a_n703_n3602#" "X4/GND" 1.0003
+cap "X4/BIAS" "X1/OUTA" 132.384
+cap "X1/OUTA" "X1/XM2/a_n73_n100#" 30.3019
+cap "X4/XR1/a_n703_n3602#" "X1/OUTB" 0.00956616
+cap "X4/BIAS" "X4/GND" 0.106771
+cap "X4/BIAS" "X1/INA" 0.00108458
+cap "X4/XR1/a_n703_n3602#" "X4/BIAS" 0.17464
+cap "X1/INA" "X1/XM2/a_n73_n100#" 0.00109031
+cap "X4/BIAS" "I4B" 0.000375152
+cap "X4/BIAS" "X1/OUTB" 0.16423
+cap "I4B" "X1/XM2/a_n73_n100#" 0.000640648
+cap "X4/GND" "X1/OUTA" 748.133
+cap "X4/XR1/a_n703_n3602#" "X1/OUTA" 88.9189
+cap "X4/SUB" "X4/BIAS" 0.777592
+cap "X4/SUB" "X4/GND" 3.16784
+cap "X4/BIAS" "X4/GND" -1.42109e-14
+cap "X4/SUB" "X1/OUTA" 14.3593
+cap "X4/BIAS" "X4/OUTB" 0.150578
+cap "X4/SUB" "X4/GND" 1.00528
+cap "X4/BIAS" "X4/GND" 0.106771
+cap "X4/INB" "X4/BIAS" 0.00422288
+cap "X4/XM2/a_n73_n100#" "X4/INB" 0.0168496
+cap "X4/SUB" "X4/BIAS" 0.181508
+cap "X4/BIAS" "X4/INA" 0.0017729
+cap "X4/INB" "X4/BIAS" 0.00111412
+cap "X4/XM2/a_n73_n100#" "X4/OUTA" -2.0599e-05
+cap "X4/XM2/a_n73_n100#" "X4/INA" 0.00161283
+cap "X4/GND" "X4/OUTA" -7.30478e-06
+cap "X4/GND" "X4/INA" 0.00024405
+cap "X4/XM2/a_n73_n100#" "X4/INB" 0.0064596
+cap "X5/GND" "BIAS" 114.671
+cap "BIAS" "X5/XR18/a_n415_n4762#" 16.8146
+cap "X5/GND" "BIAS" 0.216022
+cap "X5/XR18/a_n415_n4762#" "BIAS" 20.6345
+cap "BIAS" "X5/XR18/a_n415_n4762#" 20.6345
+cap "m2_20210_20620#" "BIAS" 0.216022
+cap "BIAS" "X5/XR18/a_n415_n4762#" 20.6345
+cap "BIAS" "X5/XC1/m3_n2150_n3100#" 0.192105
+cap "BIAS" "X5/XR18/a_n415_n4762#" 20.6345
+cap "X1/BIAS" "X5/SUB" 20.6345
+cap "X5/SUB" "X1/BIAS" 15.2037
+cap "X5/XR18/a_n285_4200#" "X1/BIAS" 79.8356
+cap "X5/VDD" "X1/BIAS" -14.3458
+cap "X1/BIAS" "X1/GND" -6.91829
+cap "X5/SUB" "X5/VDD" 7.68832
+cap "X5/SUB" "X5/VDD" 11.5742
+cap "OUT180" "X5/SUB" 26.4698
+cap "X5/SUB" "X1/BIAS" 81.887
+cap "OUT180" "X1/XR1/a_n703_n3602#" 49.5207
+cap "X1/BIAS" "X1/XR1/a_n703_n3602#" 1.635
+cap "OUT0" "X1/XR1/a_n703_n3602#" 72.029
+cap "X1/XM4/a_n611_n274#" "OUT0" 15.302
+cap "X5/XR18/a_n415_n4762#" "X5/VDD" 7.72407
+cap "X5/XR18/a_n415_n4762#" "OUT180" 26.5245
+cap "X5/VDD" "X5/XR18/a_n415_n4762#" 11.68
+cap "X1/XR1/a_n703_n3602#" "OUT180" 49.5207
+cap "OUT0" "X4/XR1/a_n703_n3602#" 72.029
+cap "OUT0" "X4/XR1/a_n703_n3602#" 15.302
+cap "X1/VDD" "X1/XR1/a_n703_n3602#" 5.62754
+cap "X1/VDD" "OUT180" 525.268
+cap "X1/XR1/a_n703_n3602#" "X1/VDD" 47.9993
+cap "X1/XR1/a_n703_n3602#" "OUT180" 22.9527
+cap "OUT180" "X1/XR1/a_n703_n3602#" 42.3119
+cap "OUT180" "X1/VDD" 164.771
+cap "X1/VDD" "X1/XR1/a_n703_n3602#" 0.0752195
+cap "X4/XR1/a_n703_n3602#" "OUT0" 64.7548
+cap "X1/VDD" "OUT0" 756.753
+cap "X1/VDD" "X4/XR1/a_n703_n3602#" 0.0664061
+cap "X4/XR1/a_n703_n3602#" "X4/VDD" 13.5145
+cap "OUT0" "X4/VDD" 1.16992
+cap "OUT0" "X4/XR1/a_n703_n3602#" 11.7105
+cap "X4/VDD" "X4/XR1/a_n703_n3602#" 0.0682865
+cap "OUT180" "X1/XR1/a_n703_n3602#" 18.8097
+cap "OUT180" "X1/VDD" 80.9743
+cap "X1/XR1/a_n703_n3602#" "X1/VDD" 6.23519
+cap "OUT180" "X1/XR1/a_n703_n3602#" 6.91315
+cap "X1/XR1/a_n703_n3602#" "X1/VDD" 0.0081545
+cap "OUT180" "X1/VDD" 25.8268
+cap "OUT0" "X4/XR1/a_n703_n3602#" 27.5074
+cap "X4/XR1/a_n703_n3602#" "X1/VDD" 0.00416136
+cap "OUT0" "X1/VDD" 117.373
+cap "X4/XR1/a_n703_n3602#" "X4/VDD" 6.09188
+cap "X4/VDD" "OUT0" 0.187403
+cap "X4/XR1/a_n703_n3602#" "OUT0" 0.707498
+cap "X4/XR1/a_n703_n3602#" "X4/VDD" 0.00462379
 merge "X4/VSUBS" "X1/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 merge "X1/VSUBS" "X5/VSUBS"
 merge "X5/VSUBS" "X2/VSUBS"
 merge "X2/VSUBS" "X3/VSUBS"
 merge "X3/VSUBS" "X6/VSUBS"
 merge "X6/VSUBS" "VSUBS"
-merge "X1/OUTB" "OUT180" 2168.47 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -18080 -198 0 0 15157600 -11390 0 0 0 0 0 0
+merge "X1/OUTB" "OUT180" -4449.36 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2070 -198 0 0 -1518600 -11390 0 0 0 0 0 0
 merge "OUT180" "X5/IN1"
 merge "X5/IN1" "m1_19740_19800#"
-merge "X5/XR18/a_n415_n4762#" "li_24600_25950#" -5151.66 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3498073 -1624 0 0 0 0 0 0 0 0 0 0 0 0
+merge "X5/XR18/a_n415_n4762#" "li_24600_25950#" -3983.98 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -14662 -1624 0 0 0 0 0 0 0 0 0 0 0 0
 merge "li_24600_25950#" "X1/XR2/a_n703_n3602#"
 merge "X1/XR2/a_n703_n3602#" "X4/SUB"
 merge "X4/SUB" "X1/SUB"
@@ -1096,10 +1818,10 @@
 merge "X3/XR1/a_n703_n3602#" "X6/SUB"
 merge "X6/SUB" "li_19750_14940#"
 merge "li_19750_14940#" "li_19750_15740#"
-merge "X1/OUTA" "OUT0" -2382.31 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -974120 -278 0 0 3836100 -11290 0 0 0 0 0 0
+merge "X1/OUTA" "OUT0" -4855.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4830 -278 0 0 -1512200 -11290 0 0 0 0 0 0
 merge "OUT0" "X5/IN2"
 merge "X5/IN2" "m1_19700_19000#"
-merge "X1/VDD" "X4/VDD" -23647.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -30642485 -8536 -1163150 0 0 0 0 0 0 0
+merge "X1/VDD" "X4/VDD" -2533.06 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -431800 -8536 0 0 0 0 0 0 0 0
 merge "X4/VDD" "X5/VDD"
 merge "X5/VDD" "m2_18710_20900#"
 merge "m2_18710_20900#" "X6/VDD"
@@ -1107,37 +1829,37 @@
 merge "m2_17580_14130#" "X2/VDD"
 merge "X2/VDD" "X3/VDD"
 merge "X3/VDD" "VDD"
-merge "X2/OUTA" "X4/OUTA" 86.0755 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1132600 -13688 0 0 0 0 0 0
+merge "X2/OUTA" "X4/OUTA" -6915.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1214650 -13688 0 0 0 0 0 0
 merge "X4/OUTA" "X4/OUTB"
 merge "X4/OUTB" "X2/OUTB"
 merge "X2/OUTB" "X6/IN"
 merge "X6/IN" "m3_19820_13570#"
-merge "X5/IN3" "X3/OUTA" -1039.33 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1814400 -180 0 0 -2682360 -2192 0 0 0 0 0 0
+merge "X5/IN3" "X3/OUTA" -2627.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1400 -180 0 0 -186610 -2192 0 0 0 0 0 0
 merge "X3/OUTA" "OUT90"
 merge "OUT90" "m1_19750_17830#"
-merge "X3/INA" "I3A" 3146.44 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3985710 -362 4266000 -7210 0 0 0 0 0 0 0 0
-merge "X2/GND" "X3/GND" -10842.8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7703400 -3548 -4223600 -9370 0 0 0 0 0 0
+merge "X3/INA" "I3A" -318.047 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6550 -362 -355500 -7210 0 0 0 0 0 0 0 0
+merge "X2/GND" "X3/GND" -5482.57 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -309600 -3548 -7009800 -9370 0 0 0 0 0 0
 merge "X3/GND" "X6/XC2/m3_n2150_n3100#"
 merge "X6/XC2/m3_n2150_n3100#" "GND"
-merge "X3/OUTB" "OUT270" 99.352 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -393275 -408 0 0 5005900 -2188 0 0 0 0 0 0
+merge "X3/OUTB" "OUT270" -2126.02 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6210 -408 0 0 -187150 -2188 0 0 0 0 0 0
 merge "OUT270" "X5/IN4"
 merge "X5/IN4" "m1_19680_17400#"
-merge "X3/INB" "I3B" 1719.97 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1824600 -362 5946000 -10010 0 0 0 0 0 0 0 0
-merge "X1/BIAS" "X4/BIAS" 3856.47 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11008930 -14774 0 0 0 0 0 0 0 0 0 0
+merge "X3/INB" "I3B" -387.581 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6550 -362 -495500 -10010 0 0 0 0 0 0 0 0
+merge "X1/BIAS" "X4/BIAS" -4036.33 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -496520 -14774 0 0 0 0 0 0 0 0 0 0
 merge "X4/BIAS" "X2/BIAS"
 merge "X2/BIAS" "X3/BIAS"
 merge "X3/BIAS" "BIAS"
-merge "X6/VOP" "VOP" -4423.72 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2296080 -22503 0 0 0 0 0 0 0 0
-merge "X5/AMP" "AMP" 539.404 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2589500 -170 0 0 0 0
-merge "X1/GND" "X4/GND" -2186.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2343200 -1880 -188916 -8080 7624216 0 0 0 0 0
+merge "X6/VOP" "VOP" -5756.18 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3059020 -22503 0 0 0 0 0 0 0 0
+merge "X5/AMP" "AMP" -31.4516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1300 -170 0 0 0 0
+merge "X1/GND" "X4/GND" -5908.03 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -71300 -1880 -6809158 -8080 0 0 0 0 0 0
 merge "X4/GND" "X5/GND"
 merge "X5/GND" "m2_20210_20620#"
 merge "m2_20210_20620#" "X5/XC1/m3_n2150_n3100#"
 merge "X5/XC1/m3_n2150_n3100#" "X6/GND"
 merge "X6/GND" "m3_10348_15604#"
-merge "X2/INA" "I2A" 1882.55 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1854600 -342 2135600 -7110 0 0 0 0 0 0 0 0
-merge "X2/INB" "I2B" 735.053 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1854600 -342 2160900 -5920 0 0 0 0 0 0 0 0
-merge "X4/INA" "I4A" 1562.77 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3618000 -390 309100 -7110 0 0 0 0 0 0 0 0
-merge "X4/INB" "I4B" -1746.49 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1836000 -1180 4082000 -5920 0 0 0 0 0 0 0 0
-merge "X1/INA" "I1A" 520.481 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 309600 -300 4266000 -7210 0 0 0 0 0 0 0 0
-merge "X1/INB" "I1B" 376.954 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 141600 -300 5946000 -10010 0 0 0 0 0 0 0 0
+merge "X2/INA" "I2A" -285.738 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6050 -342 -350500 -7110 0 0 0 0 0 0 0 0
+merge "X2/INB" "I2B" -1517.53 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6050 -342 -286000 -5920 0 0 0 0 0 0 0 0
+merge "X4/INA" "I4A" -317.801 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6000 -390 -350500 -7110 0 0 0 0 0 0 0 0
+merge "X4/INB" "I4B" -1685.8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -18000 -1180 -286000 -5920 0 0 0 0 0 0 0 0
+merge "X1/INA" "I1A" -306.738 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4400 -300 -355500 -7210 0 0 0 0 0 0 0 0
+merge "X1/INB" "I1B" -373.67 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4400 -300 -495500 -10010 0 0 0 0 0 0 0 0
diff --git a/mag/cap_bank.ext b/mag/cap_bank.ext
index f60930a..68f683b 100644
--- a/mag/cap_bank.ext
+++ b/mag/cap_bank.ext
@@ -1,4 +1,4 @@
-timestamp 1662665204
+timestamp 1663030914
 version 8.3
 tech sky130A
 style ngspice()
@@ -14,287 +14,395 @@
 use sky130_fd_pr__cap_mim_m3_2_WCTZRP XC2 1 0 3951 0 1 1631
 use sky130_fd_pr__cap_mim_m3_2_3ZFDVT XC3 1 0 3951 0 1 2761
 use sky130_fd_pr__cap_mim_m3_2_VCH7EQ XC4 0 1 4611 1 0 4541
-node "IN" 0 3005.1 3380 -420 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3898000 13720 0 0
-node "m1_4820_n1420#" 1 504.976 4820 -1420 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6400 320 93600 1240 57600 1040 115200 1360 1091200 6620 0 0
-node "m1_4610_n1360#" 0 11.031 4610 -1360 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4200 260 0 0 0 0 0 0 0 0 0 0
-node "ctrll1" 4 551.037 3300 -1540 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 120000 4120 0 0 0 0 0 0 0 0 0 0
-node "m1_3820_n1360#" 0 31.8294 3820 -1360 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4200 260 0 0 0 0 0 0 0 0 0 0
-node "m1_3910_n1320#" 1 566.026 3910 -1320 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11920 560 93600 1240 61200 1060 363600 2740 0 0 0 0
-node "ctrll2" 4 350.884 3300 -1180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 119400 4100 0 0 0 0 0 0 0 0 0 0
-node "m1_4820_n890#" 1 949.676 4820 -890 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6400 320 133100 1500 68800 1180 673300 7200 0 0 0 0
-node "ctrll3" 4 608 3300 -640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 145200 4640 0 0 0 0 0 0 0 0 0 0
-node "m1_4820_n460#" 2 949.739 4820 -460 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12800 640 165000 1720 88000 1420 720000 7680 0 0 0 0
-node "ctrll4" 5 747.462 3300 -50 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 145800 4980 0 0 0 0 0 0 0 0 0 0
-node "m1_4700_270#" 4 2051.68 4700 270 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37200 1680 248100 2300 137600 2040 689280 8936 0 0 0 0
-node "ctrll5" 6 1162.94 3300 860 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 181200 6160 0 0 0 0 0 0 0 0 0 0
+node "IN" 0 2766.59 3380 -420 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3898000 13720 0 0
+node "m1_4820_n1420#" 1 1135.86 4820 -1420 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6400 320 93600 1240 770425 6890 34125 740 0 0 0 0
+node "m1_4610_n1360#" 0 8.73561 4610 -1360 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4200 260 0 0 0 0 0 0 0 0 0 0
+node "ctrll1" 4 599.449 3300 -1540 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 120000 4120 0 0 0 0 0 0 0 0 0 0
+node "m1_3820_n1360#" 0 20.8063 3820 -1360 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4200 260 0 0 0 0 0 0 0 0 0 0
+node "m1_3910_n1320#" 1 639.734 3910 -1320 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11920 560 93600 1240 61200 1060 363600 2740 0 0 0 0
+node "ctrll2" 4 412.722 3300 -1180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 119400 4100 0 0 0 0 0 0 0 0 0 0
+node "m1_4820_n890#" 2 1453.68 4820 -890 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6400 320 133100 1500 1051150 9180 55850 1770 0 0 0 0
+node "ctrll3" 4 562.657 3300 -640 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 145200 4640 0 0 0 0 0 0 0 0 0 0
+node "m1_4820_n460#" 2 1128.74 4820 -460 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12800 640 165000 1720 88000 1420 776200 8840 0 0 0 0
+node "ctrll4" 5 703.834 3300 -50 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 145800 4980 0 0 0 0 0 0 0 0 0 0
+node "m1_4700_270#" 4 1794.33 4700 270 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37200 1680 248100 2300 137600 2040 689280 8936 0 0 0 0
+node "ctrll5" 6 1039.76 3300 860 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 181200 6160 0 0 0 0 0 0 0 0 0 0
 node "li_4250_n1505#" 113 94.4717 4250 -1505 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10850 690 0 0 0 0 0 0 0 0 0 0 0 0
-node "GND" 65 1192.6 4250 -1355 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21700 760 103020 4880 808200 6360 0 0 0 0 0 0 0 0
-node "li_5040_n1185#" 53 60.524 5040 -1185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_4250_n1190#" 154 132.772 4250 -1190 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14700 910 0 0 0 0 0 0 0 0 0 0 0 0
+node "GND" 65 1164.32 4250 -1355 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21700 760 103020 4880 808200 6360 0 0 0 0 0 0 0 0
+node "li_5040_n1185#" 53 57.9051 5040 -1185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4250_n1190#" 154 121.522 4250 -1190 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14700 910 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_5040_n655#" 53 53.9541 5040 -655 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_4525_n660#" 53 56.4427 4525 -660 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_5040_n40#" 53 60.6435 5040 -40 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_4525_n40#" 53 61.7446 4525 -40 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4525_n660#" 53 53.9854 4525 -660 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5040_n40#" 53 57.8923 5040 -40 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4525_n40#" 53 57.9236 4525 -40 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5075 360 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_4250_n1190#" "ctrll2" 118.791
-cap "li_5040_n40#" "li_4525_n40#" 8.45833
-cap "ctrll3" "m1_3910_n1320#" 74.6566
-cap "li_4525_n660#" "ctrll3" 32.4402
-cap "m1_3910_n1320#" "ctrll1" 347.217
-cap "m1_4610_n1360#" "m1_3910_n1320#" 2.04259
-cap "li_5040_n655#" "li_4525_n660#" 8.16667
-cap "GND" "m1_4820_n460#" 740.254
-cap "m1_4820_n460#" "ctrll2" 7.94567
-cap "ctrll3" "m1_4820_n890#" 367.831
-cap "li_5040_n1185#" "ctrll2" 28.6554
-cap "li_5040_n655#" "m1_4820_n890#" 4.26797
-cap "ctrll1" "m1_4820_n890#" 5.00339
-cap "ctrll4" "m1_4820_n460#" 414.554
-cap "m1_4820_n1420#" "m1_4700_270#" 891.337
-cap "li_4525_n660#" "li_4525_n40#" 2.06316
-cap "m1_3820_n1360#" "ctrll3" 3.40615
-cap "m1_3820_n1360#" "ctrll1" 28.3846
-cap "m1_4820_n1420#" "ctrll5" 44.2096
-cap "m1_4610_n1360#" "m1_3820_n1360#" 3.53836
-cap "GND" "ctrll3" 546.659
-cap "m1_4700_270#" "ctrll5" 1037.94
-cap "m1_3910_n1320#" "m1_4820_n890#" 80.0714
-cap "GND" "ctrll1" 751.211
-cap "m1_4610_n1360#" "GND" 82.3064
-cap "ctrll3" "ctrll2" 150.538
-cap "ctrll1" "ctrll2" 249.986
-cap "m1_4610_n1360#" "ctrll2" 30.0619
-cap "ctrll4" "li_5040_n40#" 26.2137
-cap "m1_3820_n1360#" "m1_3910_n1320#" 85.5477
-cap "m1_4820_n1420#" "IN" 193.693
-cap "ctrll4" "ctrll3" 138.886
-cap "m1_4700_270#" "IN" 497.963
-cap "ctrll4" "ctrll1" 47.8078
-cap "GND" "m1_3910_n1320#" 738.836
-cap "ctrll4" "m1_4610_n1360#" 2.51591
-cap "GND" "li_4525_n40#" 22.729
-cap "GND" "li_4525_n660#" 22.5204
-cap "m1_3910_n1320#" "ctrll2" 344.919
-cap "IN" "ctrll5" 72.5383
-cap "GND" "m1_4820_n890#" 1034.91
-cap "ctrll4" "m1_3910_n1320#" 6.13414
-cap "ctrll4" "li_4525_n40#" 27.1524
-cap "ctrll2" "m1_4820_n890#" 12.8348
-cap "m1_4820_n1420#" "m1_4820_n460#" 1426.41
-cap "GND" "m1_3820_n1360#" 21.5611
-cap "m1_4700_270#" "m1_4820_n460#" 3111.27
-cap "m1_4820_n1420#" "li_5040_n1185#" 8.11316
-cap "ctrll4" "m1_4820_n890#" 17.9675
-cap "m1_3820_n1360#" "ctrll2" 22.5873
-cap "ctrll5" "m1_4820_n460#" 23.1958
-cap "li_4250_n1505#" "li_4250_n1190#" 31
-cap "GND" "ctrll2" 590.664
-cap "ctrll4" "m1_3820_n1360#" 1.78548
-cap "m1_4820_n1420#" "li_5040_n40#" 3.12854
-cap "ctrll4" "GND" 746.375
-cap "m1_4820_n1420#" "ctrll3" 19.5467
-cap "m1_4700_270#" "li_5040_n40#" 35.5431
-cap "m1_4700_270#" "ctrll3" 21.9375
-cap "m1_4820_n1420#" "li_5040_n655#" 1.25142
-cap "m1_4820_n1420#" "ctrll1" 389.799
-cap "m1_4820_n1420#" "m1_4610_n1360#" 4.92
-cap "ctrll4" "ctrll2" 64.133
-cap "ctrll5" "ctrll3" 48.6247
-cap "li_4250_n1190#" "li_5040_n1185#" 8.16667
-cap "m1_4610_n1360#" "ctrll5" 1.39245
-cap "m1_4820_n1420#" "m1_3910_n1320#" 68.4958
-cap "li_4250_n1505#" "ctrll1" 97.923
-cap "m1_4820_n1420#" "m1_4820_n890#" 1582.8
-cap "m1_4700_270#" "m1_4820_n890#" 444.855
-cap "m1_4820_n1420#" "m1_3820_n1360#" 0.785106
-cap "ctrll5" "m1_4820_n890#" 26.9404
-cap "li_4250_n1505#" "li_4525_n40#" 0.685315
-cap "li_4250_n1505#" "li_4525_n660#" 1.20988
-cap "m1_4820_n1420#" "GND" 580.437
-cap "m1_4820_n460#" "li_5040_n40#" 0.397451
-cap "ctrll3" "m1_4820_n460#" 34.1226
-cap "m1_4700_270#" "GND" 2549.09
-cap "li_5040_n40#" "li_5040_n1185#" 0.98
-cap "m1_4820_n1420#" "ctrll2" 247.817
-cap "m1_4820_n460#" "ctrll1" 5.33379
-cap "li_5040_n655#" "m1_4820_n460#" 23.3545
-cap "li_4250_n1190#" "li_4525_n40#" 0.975124
-cap "li_4525_n660#" "li_4250_n1190#" 2.54545
-cap "li_5040_n655#" "li_5040_n1185#" 2.54545
-cap "m1_4700_270#" "ctrll2" 10.0352
-cap "ctrll5" "GND" 1131.19
-cap "m1_4820_n1420#" "ctrll4" 6.18597
-cap "ctrll4" "m1_4700_270#" 57.6403
-cap "ctrll5" "ctrll2" 3.22382
-cap "m1_3910_n1320#" "m1_4820_n460#" 5.53125
-cap "ctrll4" "ctrll5" 92.0704
-cap "li_4250_n1505#" "GND" 84.36
-cap "li_5040_n655#" "li_5040_n40#" 2.08511
-cap "li_5040_n655#" "ctrll3" 32.4402
-cap "ctrll3" "ctrll1" 82.8643
-cap "m1_4610_n1360#" "ctrll3" 4.81304
-cap "m1_4610_n1360#" "ctrll1" 24.75
-cap "m1_4820_n460#" "m1_4820_n890#" 3146.56
-cap "GND" "li_4250_n1190#" 121.028
-cap "li_5040_n1185#" "m1_4820_n890#" 13.5527
-cap "ctrll4" "IN" 61.188
-cap "XM2/a_15_n100#" "XM2/a_n33_n188#" 0.3075
-cap "XM3/a_15_122#" "XM1/a_n175_n274#" -103.4
-cap "XM3/a_n33_n100#" "XM1/a_n175_n274#" -50.5437
-cap "XM2/a_n33_n188#" "XM5/a_n273_n188#" 0.143394
-cap "XM2/a_15_n100#" "XM1/a_n175_n274#" -47.1816
-cap "XM4/a_n81_n100#" "XM3/a_n33_n100#" 0.479619
-cap "XM4/a_n81_n100#" "XM2/a_15_n100#" 0.223354
-cap "XM4/a_n129_n188#" "XM1/a_n33_n188#" -3.55271e-15
-cap "XM1/a_n175_n274#" "XM5/a_n273_n188#" 3.72281
-cap "XC6/m4_n551_n300#" "XM1/a_n33_n188#" 2.65371
-cap "XC6/m4_n551_n300#" "XC6/c2_n451_n200#" 73.681
-cap "XM5/a_n225_n100#" "XM1/a_n175_n274#" 1.2736
-cap "XM3/a_15_122#" "XM3/a_n33_n100#" 0.597647
-cap "XM4/a_n129_n188#" "XM2/a_n33_n188#" 5.43037
-cap "XC6/m4_n551_n300#" "XM2/a_n33_n188#" -0.175658
-cap "XM4/a_n81_n100#" "XM5/a_n225_n100#" 0.459188
-cap "XM2/a_n33_n188#" "XM1/a_n33_n188#" 12.951
-cap "XM2/a_15_n100#" "XM3/a_n33_n100#" 0.227642
-cap "XM3/a_15_122#" "XM5/a_n273_n188#" 4.49189
-cap "XC6/m4_n551_n300#" "XM1/a_n175_n274#" -75.76
-cap "XM4/a_n129_n188#" "XM1/a_n175_n274#" 14.4842
-cap "XM1/a_n33_n188#" "XM1/a_n175_n274#" 179.577
-cap "XM4/a_n81_n100#" "XC6/m4_n551_n300#" 33.6496
-cap "XM4/a_n81_n100#" "XM4/a_n129_n188#" 22.9395
-cap "XM3/a_n33_n100#" "XM5/a_n225_n100#" 0.13136
-cap "XM2/a_n33_n188#" "XM1/a_n175_n274#" 204.523
-cap "XM3/a_15_122#" "XC6/m4_n551_n300#" -1.66718
-cap "XM3/a_15_122#" "XM4/a_n129_n188#" 14.3714
-cap "XM3/a_15_122#" "XM1/a_n33_n188#" -7.10543e-15
-cap "XM3/a_n33_n100#" "XC6/m4_n551_n300#" 208.435
-cap "XM4/a_n81_n100#" "XM1/a_n175_n274#" -93.693
-cap "XM2/a_15_n100#" "XC6/m4_n551_n300#" 55.192
-cap "XM2/a_15_n100#" "XM1/a_n33_n188#" 0.887984
-cap "XM3/a_15_122#" "XM2/a_n33_n188#" 6.31974
-cap "XM2/a_15_n100#" "XC6/c2_n451_n200#" 0.0542872
-cap "XM4/a_n129_n188#" "XM5/a_n273_n188#" 14.4613
-cap "XM2/a_15_n100#" "XM1/a_n33_n188#" 50.6018
-cap "XM3/a_n33_n100#" "XM4/a_n129_n188#" 2.86047
-cap "XM1/a_n175_n274#" "XM4/a_n129_n188#" -60.5298
-cap "XM4/a_n129_n188#" "XM2/a_n33_n188#" 3.35126
-cap "XM1/a_n175_n274#" "XM3/a_15_122#" -543.683
-cap "XM3/a_15_122#" "XM2/a_n33_n188#" 7.45069
-cap "XM3/a_n33_n100#" "XM3/a_15_122#" 13.4269
-cap "XM2/a_15_n100#" "XC6/c2_n451_n200#" 0.0542872
-cap "XM1/a_n175_n274#" "XC6/m4_n551_n300#" 1.32633
-cap "XM2/a_n33_n188#" "XC6/m4_n551_n300#" 0.101942
-cap "XM2/a_15_n100#" "XM4/a_n81_n100#" -67.0432
-cap "XM4/a_n81_n100#" "XM1/a_n33_n188#" 7.89414
-cap "XM5/a_n273_n188#" "XM4/a_n81_n100#" 3.83018
-cap "XM1/a_n175_n274#" "XM2/a_n33_n188#" -506.981
-cap "XM1/a_n175_n274#" "XM3/a_n33_n100#" 260.352
-cap "XM3/a_n33_n100#" "XM2/a_n33_n188#" 17.712
-cap "XM2/a_15_n100#" "XM4/a_n129_n188#" 1.70308
-cap "XM2/a_15_n100#" "XM3/a_15_122#" 5.47884
-cap "XM4/a_n129_n188#" "XM5/a_n273_n188#" 10.7514
-cap "XM5/a_n225_n100#" "XM4/a_n81_n100#" 41.9326
-cap "XM3/a_15_122#" "XM5/a_n273_n188#" 4.49189
-cap "XM2/a_15_n100#" "XC6/m4_n551_n300#" 55.192
-cap "XM4/a_n129_n188#" "XM4/a_n81_n100#" 92.2188
-cap "XM3/a_15_122#" "XM4/a_n81_n100#" 46.6276
-cap "XM2/a_15_n100#" "XM1/a_n175_n274#" 287.705
-cap "XM3/a_n33_n100#" "XM1/a_n33_n188#" 7.25902
-cap "XM1/a_n175_n274#" "XM1/a_n33_n188#" -564.037
-cap "XM2/a_15_n100#" "XM3/a_n33_n100#" 20.8348
-cap "XM2/a_n33_n188#" "XM1/a_n33_n188#" 2.8076
-cap "XM2/a_15_n100#" "XM2/a_n33_n188#" 27.9164
-cap "XM4/a_n81_n100#" "XC6/m4_n551_n300#" 33.6496
-cap "XM1/a_n175_n274#" "XM5/a_n273_n188#" 7.68044
-cap "XM2/a_n33_n188#" "XM5/a_n273_n188#" 0.80878
-cap "XM3/a_15_122#" "XM4/a_n129_n188#" 8.75069
-cap "XM5/a_n225_n100#" "XM1/a_n175_n274#" 86.3146
-cap "XM2/a_n33_n188#" "XM4/a_n81_n100#" 11.6829
-cap "XM5/a_n225_n100#" "XM3/a_n33_n100#" 11.9495
-cap "XM3/a_n33_n100#" "XM4/a_n81_n100#" 43.9433
-cap "XM1/a_n175_n274#" "XM4/a_n81_n100#" 697.912
-cap "XM2/a_15_n100#" "XM4/a_n81_n100#" 91.8482
-cap "XM5/a_n273_n188#" "XM5/a_n225_n100#" -6.91875
-cap "XC6/m4_n551_n300#" "XM4/a_n129_n188#" 183.591
-cap "XM5/a_n225_n100#" "XM4/a_n81_n100#" 0.459188
-cap "XM3/a_n33_n100#" "XM4/a_n129_n188#" -16.0677
-cap "XM5/a_n273_n188#" "XM1/a_n175_n274#" 94.9718
-cap "XC6/m4_n551_n300#" "XM2/a_15_n100#" 319.807
-cap "XM2/a_15_n100#" "XM3/a_n33_n100#" 288.858
-cap "XM2/a_n33_n188#" "XM4/a_n129_n188#" -2.0922
-cap "XM3/a_15_122#" "XM4/a_n129_n188#" -2.51591
-cap "XM1/a_n175_n274#" "XM4/a_n81_n100#" 1.05507
-cap "XM5/a_n273_n188#" "XC6/c2_n451_n200#" -72.5383
-cap "XC6/m4_n551_n300#" "XM5/a_n225_n100#" 0.592153
-cap "XM3/a_n33_n100#" "XM5/a_n225_n100#" 0.13136
-cap "XM1/a_n33_n188#" "XM4/a_n129_n188#" -0.826119
-cap "XM3/a_n33_n100#" "XM1/a_n175_n274#" 0.391882
-cap "XC6/m4_n551_n300#" "XC6/c2_n451_n200#" 95.6829
-cap "XM2/a_n33_n188#" "XM1/a_n175_n274#" 0.727864
-cap "XM2/a_15_n100#" "XM5/a_n225_n100#" -471.923
-cap "XM3/a_15_122#" "XM1/a_n175_n274#" 1.22596
-cap "XM3/a_n33_n100#" "XM5/a_n273_n188#" -11.3171
-cap "XC6/m4_n551_n300#" "XM4/a_n81_n100#" 18.9771
-cap "XM1/a_n175_n274#" "XM4/a_n129_n188#" 42.8013
-cap "XM3/a_n33_n100#" "XM4/a_n81_n100#" -4.72
-cap "XM2/a_15_n100#" "XM1/a_n175_n274#" -3.90673
-cap "XM2/a_n33_n188#" "XM5/a_n273_n188#" 0.143394
-cap "XM3/a_15_122#" "XM5/a_n273_n188#" 4.49189
-cap "XM5/a_n273_n188#" "XM4/a_n129_n188#" 14.4613
-cap "XC6/c2_n451_n200#" "XM4/a_n129_n188#" -70.3227
-cap "XM2/a_15_n100#" "XM5/a_n273_n188#" 190.046
-cap "XM2/a_15_n100#" "XC6/c2_n451_n200#" 83.2383
-cap "XM1/a_n175_n274#" "XM5/a_n225_n100#" -125.21
-cap "XC6/m4_n551_n300#" "XM3/a_n33_n100#" 145.605
-cap "XM1/a_n175_n274#" "XM5/a_n225_n100#" -783.679
-cap "XM3/a_15_122#" "XM1/a_n175_n274#" 1.10387
-cap "XC6/m4_n551_n300#" "XM2/a_15_n100#" 30.0018
-cap "XC6/m4_n551_n300#" "XM4/a_n81_n100#" 18.9771
-cap "XM2/a_n33_n188#" "XM4/a_n129_n188#" -1.12959
-cap "XM5/a_n273_n188#" "XM2/a_n33_n188#" 0.80878
-cap "XM2/a_15_n100#" "XM4/a_n129_n188#" -1.96007
-cap "XM4/a_n81_n100#" "XM4/a_n129_n188#" 9.53135
-cap "XM1/a_n33_n188#" "XM4/a_n129_n188#" -0.826119
-cap "XM3/a_n33_n100#" "XM4/a_n129_n188#" 2.86047
-cap "XC6/m4_n551_n300#" "XM5/a_n225_n100#" 0.592153
-cap "XM4/a_n81_n100#" "XM2/a_15_n100#" 11.9348
-cap "XM5/a_n273_n188#" "XM4/a_n129_n188#" 10.7514
-cap "XM5/a_n273_n188#" "XM4/a_n81_n100#" 5.63888
-cap "XM1/a_n175_n274#" "XM2/a_n33_n188#" 0.731864
-cap "XM5/a_n273_n188#" "XM2/a_15_n100#" -22.1737
-cap "XM1/a_n175_n274#" "XM4/a_n129_n188#" -500.067
-cap "XM3/a_15_122#" "XM4/a_n129_n188#" -2.51591
-cap "XM4/a_n81_n100#" "XM5/a_n225_n100#" 42.5344
-cap "XM4/a_n81_n100#" "XM1/a_n175_n274#" 128.893
-cap "XM5/a_n225_n100#" "XM3/a_n33_n100#" 11.9495
-cap "XM2/a_15_n100#" "XM5/a_n225_n100#" 32.246
-cap "XM1/a_n175_n274#" "XM3/a_n33_n100#" 27.1558
-cap "XM1/a_n175_n274#" "XM2/a_15_n100#" 142.408
-cap "XM5/a_n273_n188#" "XM1/a_n175_n274#" -669.768
-cap "XM5/a_n273_n188#" "XM5/a_n225_n100#" 95.6086
-cap "XM5/a_n273_n188#" "XM3/a_15_122#" 4.49189
-cap "XC6/c2_n451_n200#" "XM2/a_15_n100#" -26.7538
-cap "XM4/a_n81_n100#" "XC1/m4_n551_n300#" 37.8848
-cap "XM4/a_n81_n100#" "XC1/c2_n451_n200#" 138.859
-cap "XC2/m4_n651_n300#" "XC6/m4_n551_n300#" 30.3366
-cap "XM4/a_n81_n100#" "XM5/a_n225_n100#" 12.9257
-cap "XC2/m4_n651_n300#" "XC1/m4_n551_n300#" 144.727
-cap "XC2/m4_n651_n300#" "XM4/a_n81_n100#" 309.47
-cap "XC2/m4_n651_n300#" "XC1/c2_n451_n200#" 177.938
-cap "XC2/m4_n651_n300#" "XM5/a_n225_n100#" 0.0847701
-cap "XC2/m4_n651_n300#" "XM4/a_n81_n100#" 0.230469
-cap "XC2/m4_n651_n300#" "XM5/a_n225_n100#" 0.0847701
-cap "XM4/a_n81_n100#" "XM5/a_n225_n100#" 15.5105
-cap "XC3/m4_n651_n500#" "XC4/m4_n951_n500#" 141.752
-cap "XC2/c2_n551_n200#" "XC3/m4_n651_n500#" 192.639
-cap "XC2/c2_n551_n200#" "XC4/m4_n951_n500#" 118.59
-cap "XC3/m4_n651_n500#" "XC4/m4_n951_n500#" 56.2849
-cap "XC2/c2_n551_n200#" "XC4/m4_n951_n500#" -1307.89
-cap "XC4/c2_n851_n400#" "XC4/m4_n951_n500#" 201.801
-cap "XC3/m4_n651_n500#" "XC4/m4_n951_n500#" 20.0303
-cap "XC4/m4_n951_n500#" "XC4/c2_n851_n400#" -246.898
-cap "XC4/m4_n951_n500#" "XC3/m4_n651_n500#" 3.6875
-merge "XC4/VSUBS" "XC3/VSUBS" 5317.03 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 960150 -2518 5192260 -4134 0 0 0 0 0 0 0 0 0 0
+cap "m1_3820_n1360#" "ctrll2" 24.75
+cap "m1_4700_270#" "GND" 1054.6
+cap "GND" "m1_3910_n1320#" 448.923
+cap "ctrll3" "li_4250_n1190#" 4.24327
+cap "IN" "m1_4820_n1420#" 164.534
+cap "m1_4820_n460#" "li_4525_n40#" 2.12393
+cap "ctrll4" "li_4250_n1505#" 0.302663
+cap "ctrll1" "li_4250_n1505#" 30.9363
+cap "li_4525_n660#" "m1_4820_n1420#" 2.9495
+cap "m1_4700_270#" "IN" 445.226
+cap "IN" "m1_3910_n1320#" 33.4016
+cap "ctrll1" "m1_3820_n1360#" 26.1328
+cap "li_4525_n660#" "m1_3910_n1320#" 2.64084
+cap "ctrll3" "m1_4820_n460#" 77.4155
+cap "ctrll5" "m1_4820_n1420#" 58.3962
+cap "li_4525_n40#" "ctrll2" 0.360427
+cap "GND" "li_4250_n1505#" 61.7482
+cap "m1_4700_270#" "ctrll5" 440.831
+cap "m1_3820_n1360#" "GND" 10.1208
+cap "ctrll5" "m1_3910_n1320#" 1.32772
+cap "ctrll4" "li_4525_n40#" 15.8603
+cap "li_5040_n1185#" "li_4250_n1190#" 4.26673
+cap "ctrll3" "ctrll2" 155.664
+cap "li_5040_n655#" "ctrll3" 12.084
+cap "ctrll1" "li_4525_n40#" 0.0573577
+cap "li_4525_n40#" "m1_4820_n890#" 1.66836
+cap "ctrll3" "ctrll4" 146.444
+cap "m1_4820_n460#" "li_5040_n1185#" 1.95517
+cap "li_4525_n40#" "GND" 9.04993
+cap "ctrll3" "m1_4820_n890#" 208.324
+cap "IN" "li_4525_n40#" 1.91881
+cap "m1_4610_n1360#" "m1_4820_n1420#" 5.89976
+cap "ctrll3" "GND" 344.044
+cap "li_4525_n660#" "li_4525_n40#" 1.77435
+cap "m1_4820_n460#" "li_5040_n40#" 3.81982
+cap "li_5040_n1185#" "ctrll2" 11.5396
+cap "li_5040_n655#" "li_5040_n1185#" 2.16102
+cap "m1_4610_n1360#" "m1_3910_n1320#" 1.77794
+cap "m1_4700_270#" "m1_4820_n1420#" 38.8827
+cap "m1_3910_n1320#" "m1_4820_n1420#" 309.895
+cap "ctrll3" "IN" 15.0591
+cap "m1_4820_n460#" "li_4250_n1190#" 1.43305
+cap "ctrll5" "li_4525_n40#" 1.01138
+cap "ctrll4" "li_5040_n1185#" 0.441966
+cap "ctrll3" "li_4525_n660#" 19.5039
+cap "li_5040_n1185#" "ctrll1" 0.741883
+cap "li_5040_n655#" "li_5040_n40#" 1.79217
+cap "ctrll2" "li_5040_n40#" 0.3597
+cap "li_5040_n1185#" "m1_4820_n890#" 2.79992
+cap "li_4250_n1505#" "m1_4820_n1420#" 3.61354
+cap "ctrll2" "li_4250_n1190#" 40.3063
+cap "ctrll4" "li_5040_n40#" 9.97825
+cap "li_5040_n1185#" "GND" 2.52602
+cap "ctrll1" "li_5040_n40#" 0.0571578
+cap "m1_3820_n1360#" "m1_4820_n1420#" 0.937076
+cap "m1_3910_n1320#" "li_4250_n1505#" 3.81753
+cap "m1_4820_n890#" "li_5040_n40#" 2.46659
+cap "ctrll4" "li_4250_n1190#" 2.30852
+cap "m1_3820_n1360#" "m1_3910_n1320#" 34.815
+cap "ctrll1" "li_4250_n1190#" 1.46284
+cap "li_5040_n655#" "m1_4820_n460#" 5.38179
+cap "m1_4820_n460#" "ctrll2" 28.7358
+cap "GND" "li_5040_n40#" 2.00627
+cap "m1_4820_n890#" "li_4250_n1190#" 0.506741
+cap "IN" "li_5040_n40#" 0.443217
+cap "m1_4820_n460#" "ctrll4" 255.375
+cap "li_4525_n40#" "m1_4820_n1420#" 2.77619
+cap "GND" "li_4250_n1190#" 75.504
+cap "m1_4820_n460#" "ctrll1" 12.0783
+cap "li_5040_n655#" "ctrll2" 0.697692
+cap "m1_4700_270#" "li_4525_n40#" 1.03226
+cap "m1_4820_n460#" "m1_4820_n890#" 1292.19
+cap "ctrll3" "m1_4820_n1420#" 83.3847
+cap "m1_4820_n460#" "GND" 400.712
+cap "li_4525_n660#" "li_4250_n1190#" 2.16102
+cap "ctrll5" "li_5040_n40#" 1.01138
+cap "li_5040_n655#" "ctrll4" 0.77921
+cap "m1_4700_270#" "ctrll3" 19.1621
+cap "li_5040_n655#" "ctrll1" 0.361749
+cap "ctrll1" "ctrll2" 178.787
+cap "ctrll3" "m1_3910_n1320#" 56.9831
+cap "m1_4820_n460#" "IN" 541.686
+cap "m1_4820_n890#" "ctrll2" 44.652
+cap "li_5040_n655#" "m1_4820_n890#" 3.88139
+cap "m1_4820_n460#" "li_4525_n660#" 2.18708
+cap "GND" "ctrll2" 337.836
+cap "li_5040_n655#" "GND" 2.03393
+cap "ctrll4" "m1_4820_n890#" 59.4681
+cap "ctrll1" "m1_4820_n890#" 14.5761
+cap "ctrll3" "li_4250_n1505#" 1.20593
+cap "m1_4820_n460#" "ctrll5" 53.2585
+cap "IN" "ctrll2" 7.80861
+cap "ctrll4" "GND" 435.592
+cap "li_5040_n1185#" "m1_4820_n1420#" 4.28735
+cap "li_5040_n655#" "li_4525_n660#" 7.02756
+cap "ctrll1" "GND" 386.262
+cap "li_4525_n660#" "ctrll2" 0.699648
+cap "m1_4700_270#" "li_5040_n1185#" 0.446359
+cap "li_5040_n1185#" "m1_3910_n1320#" 1.31647
+cap "ctrll4" "IN" 41.6969
+cap "m1_4820_n890#" "GND" 1011.76
+cap "IN" "ctrll1" 3.39443
+cap "li_5040_n655#" "ctrll5" 0.200084
+cap "ctrll4" "li_4525_n660#" 0.778423
+cap "m1_4820_n1420#" "li_5040_n40#" 1.37718
+cap "IN" "m1_4820_n890#" 565.852
+cap "ctrll1" "li_4525_n660#" 0.363855
+cap "m1_4700_270#" "li_5040_n40#" 7.50261
+cap "li_4525_n660#" "m1_4820_n890#" 2.0646
+cap "ctrll3" "li_4525_n40#" 0.74351
+cap "IN" "GND" 76.1199
+cap "ctrll4" "ctrll5" 100.332
+cap "li_4250_n1190#" "m1_4820_n1420#" 19.1932
+cap "li_4525_n660#" "GND" 7.0559
+cap "m1_3910_n1320#" "li_4250_n1190#" 6.1487
+cap "ctrll5" "m1_4820_n890#" 107.144
+cap "m1_4820_n460#" "m1_4610_n1360#" 0.756553
+cap "m1_4820_n460#" "m1_4820_n1420#" 221.246
+cap "ctrll5" "GND" 578.72
+cap "m1_4820_n460#" "m1_3910_n1320#" 12.4208
+cap "m1_4700_270#" "m1_4820_n460#" 1096.14
+cap "ctrll5" "IN" 76.7937
+cap "m1_4610_n1360#" "ctrll2" 27.5887
+cap "ctrll5" "li_4525_n660#" 0.194291
+cap "ctrll2" "m1_4820_n1420#" 157.738
+cap "li_5040_n655#" "m1_4820_n1420#" 1.81868
+cap "li_5040_n655#" "m1_4700_270#" 1.49146
+cap "m1_3910_n1320#" "ctrll2" 165.828
+cap "m1_4700_270#" "ctrll2" 4.18351
+cap "li_5040_n655#" "m1_3910_n1320#" 0.943882
+cap "m1_4820_n460#" "li_4250_n1505#" 0.258934
+cap "ctrll3" "li_5040_n1185#" 0.721797
+cap "li_4525_n40#" "li_5040_n40#" 7.27854
+cap "ctrll4" "m1_4820_n1420#" 90.4729
+cap "ctrll1" "m1_4610_n1360#" 23.7724
+cap "ctrll1" "m1_4820_n1420#" 122.561
+cap "m1_4610_n1360#" "m1_4820_n890#" 0.359713
+cap "ctrll4" "m1_3910_n1320#" 19.0205
+cap "m1_4700_270#" "ctrll4" 51.0264
+cap "m1_4820_n890#" "m1_4820_n1420#" 943.071
+cap "m1_4700_270#" "ctrll1" 0.0787503
+cap "ctrll1" "m1_3910_n1320#" 82.8928
+cap "ctrll3" "li_5040_n40#" 0.742179
+cap "m1_4610_n1360#" "GND" 44.2367
+cap "m1_4820_n890#" "m1_3910_n1320#" 81.9022
+cap "m1_4700_270#" "m1_4820_n890#" 539.328
+cap "ctrll2" "li_4250_n1505#" 1.21783
+cap "GND" "m1_4820_n1420#" 784.027
+cap "XM3/a_15_122#" "XM4/a_n129_n188#" 10.6742
+cap "XM5/a_n225_n100#" "XM4/a_n129_n188#" 0.0444391
+cap "XC6/c2_n451_n200#" "XM4/a_n129_n188#" 4.74744
+cap "XM5/a_n273_n188#" "XM4/a_n81_n100#" 0.858769
+cap "XM2/a_15_n100#" "XM1/a_n175_n274#" 49.7198
+cap "XM5/a_n273_n188#" "XM3/a_15_122#" 2.38463
+cap "XM2/a_15_n100#" "XM1/a_n33_n188#" 8.31677
+cap "XM4/a_n129_n188#" "XM2/a_n33_n188#" 3.82135
+cap "XM4/a_n81_n100#" "XM3/a_n33_n100#" 0.111113
+cap "XM3/a_15_122#" "XM3/a_n33_n100#" 2.2675
+cap "XC6/c2_n451_n200#" "XM3/a_n33_n100#" -0.157038
+cap "XM5/a_n273_n188#" "XM2/a_n33_n188#" 0.125316
+cap "XM4/a_n81_n100#" "XC6/m4_n551_n300#" 79.0545
+cap "XM3/a_15_122#" "XC6/m4_n551_n300#" 29.3766
+cap "XC6/c2_n451_n200#" "XC6/m4_n551_n300#" 11.4729
+cap "XM2/a_n33_n188#" "XM3/a_n33_n100#" 1.6983
+cap "XM4/a_n129_n188#" "XM1/a_n175_n274#" 22.8549
+cap "XM5/a_n273_n188#" "XM1/a_n175_n274#" 2.92539
+cap "XM4/a_n129_n188#" "XM1/a_n33_n188#" 0.951981
+cap "XM2/a_n33_n188#" "XC6/m4_n551_n300#" 22.4952
+cap "XM3/a_n33_n100#" "XM1/a_n175_n274#" 11.7261
+cap "XM1/a_n33_n188#" "XM3/a_n33_n100#" 0.0113787
+cap "XM1/a_n175_n274#" "XC6/m4_n551_n300#" 63.2532
+cap "XM4/a_n129_n188#" "XM2/a_15_n100#" 4.66858
+cap "XM1/a_n33_n188#" "XC6/m4_n551_n300#" 12.4802
+cap "XM5/a_n225_n100#" "XM4/a_n81_n100#" 0.0608689
+cap "XM5/a_n273_n188#" "XM2/a_15_n100#" 0.0033385
+cap "XM4/a_n81_n100#" "XC6/c2_n451_n200#" -5.47996
+cap "XM3/a_15_122#" "XM4/a_n81_n100#" 2.82552
+cap "XM3/a_15_122#" "XC6/c2_n451_n200#" -1.5176
+cap "XM5/a_n225_n100#" "XM3/a_15_122#" 0.0459784
+cap "XM2/a_15_n100#" "XM3/a_n33_n100#" 0.857704
+cap "XM4/a_n81_n100#" "XM2/a_n33_n188#" 1.77017
+cap "XC6/c2_n451_n200#" "XM2/a_n33_n188#" -0.52382
+cap "XM5/a_n225_n100#" "XM2/a_n33_n188#" 0.00316008
+cap "XM3/a_15_122#" "XM2/a_n33_n188#" 6.58684
+cap "XM2/a_15_n100#" "XC6/m4_n551_n300#" 49.1399
+cap "XM5/a_n273_n188#" "XM4/a_n129_n188#" 5.54232
+cap "XM4/a_n81_n100#" "XM1/a_n175_n274#" 23.3108
+cap "XM3/a_15_122#" "XM1/a_n175_n274#" 57.0884
+cap "XM4/a_n81_n100#" "XM1/a_n33_n188#" 0.0121604
+cap "XC6/c2_n451_n200#" "XM1/a_n175_n274#" 12.1567
+cap "XM4/a_n129_n188#" "XM3/a_n33_n100#" 5.14657
+cap "XC6/c2_n451_n200#" "XM1/a_n33_n188#" -0.124482
+cap "XM5/a_n273_n188#" "XM3/a_n33_n100#" 0.00303902
+cap "XM3/a_15_122#" "XM1/a_n33_n188#" 3.12152
+cap "XM2/a_n33_n188#" "XM1/a_n175_n274#" 87.7665
+cap "XM4/a_n129_n188#" "XC6/m4_n551_n300#" 14.1105
+cap "XM5/a_n273_n188#" "XC6/m4_n551_n300#" -0.722589
+cap "XM1/a_n33_n188#" "XM2/a_n33_n188#" 11.4309
+cap "XM3/a_n33_n100#" "XC6/m4_n551_n300#" 19.224
+cap "XM4/a_n81_n100#" "XM2/a_15_n100#" -16.9567
+cap "XM5/a_n225_n100#" "XM2/a_15_n100#" 0.000200846
+cap "XC6/c2_n451_n200#" "XM2/a_15_n100#" -2.04727
+cap "XM3/a_15_122#" "XM2/a_15_n100#" 8.13931
+cap "XM1/a_n33_n188#" "XM1/a_n175_n274#" 71.376
+cap "XM2/a_15_n100#" "XM2/a_n33_n188#" 3.01714
+cap "XM4/a_n81_n100#" "XM4/a_n129_n188#" 12.8083
+cap "XM3/a_15_122#" "XM1/a_n175_n274#" 133.701
+cap "XC6/m4_n551_n300#" "XM4/a_n129_n188#" 1.82111
+cap "XM1/a_n33_n188#" "XM4/a_n129_n188#" 0.789697
+cap "XM4/a_n81_n100#" "XC6/m4_n551_n300#" 3.09299
+cap "XM2/a_15_n100#" "XM3/a_n33_n100#" -0.211208
+cap "XM5/a_n273_n188#" "XM4/a_n129_n188#" 2.65789
+cap "XM1/a_n33_n188#" "XM4/a_n81_n100#" 1.27222
+cap "XM4/a_n81_n100#" "XM4/a_n129_n188#" -2.74421
+cap "XM5/a_n225_n100#" "XM3/a_n33_n100#" 0.875984
+cap "XM4/a_n81_n100#" "XM5/a_n273_n188#" 3.92273
+cap "XM2/a_15_n100#" "XM1/a_n175_n274#" 89.057
+cap "XM2/a_n33_n188#" "XM3/a_n33_n100#" -12.9481
+cap "XM5/a_n225_n100#" "XM1/a_n175_n274#" 15.2909
+cap "XM2/a_n33_n188#" "XM1/a_n175_n274#" 145.374
+cap "XM2/a_15_n100#" "XM3/a_15_122#" 7.04684
+cap "XM5/a_n225_n100#" "XM3/a_15_122#" 4.23139
+cap "XC6/m4_n551_n300#" "XM3/a_n33_n100#" -0.739622
+cap "XM1/a_n33_n188#" "XM3/a_n33_n100#" 1.23217
+cap "XM2/a_n33_n188#" "XM3/a_15_122#" 6.88347
+cap "XM4/a_n129_n188#" "XM3/a_n33_n100#" 7.47802
+cap "XM5/a_n273_n188#" "XM3/a_n33_n100#" 2.24249
+cap "XC6/c2_n451_n200#" "XM4/a_n129_n188#" 0.392517
+cap "XC6/m4_n551_n300#" "XM1/a_n175_n274#" 3.5504
+cap "XM4/a_n81_n100#" "XM3/a_n33_n100#" 14.038
+cap "XC6/c2_n451_n200#" "XM4/a_n81_n100#" -0.231835
+cap "XM5/a_n225_n100#" "XM2/a_15_n100#" 0.00945261
+cap "XM1/a_n33_n188#" "XM1/a_n175_n274#" 28.2647
+cap "XM4/a_n129_n188#" "XM1/a_n175_n274#" 24.5661
+cap "XM5/a_n273_n188#" "XM1/a_n175_n274#" 8.0398
+cap "XM2/a_n33_n188#" "XM2/a_15_n100#" -18.568
+cap "XM4/a_n81_n100#" "XM1/a_n175_n274#" 203.886
+cap "XC6/m4_n551_n300#" "XM3/a_15_122#" 0.709367
+cap "XM3/a_15_122#" "XM4/a_n129_n188#" 5.9636
+cap "XM5/a_n225_n100#" "XM2/a_n33_n188#" 0.631422
+cap "XM1/a_n33_n188#" "XM3/a_15_122#" 1.52341
+cap "XM5/a_n273_n188#" "XM3/a_15_122#" 3.88602
+cap "XM4/a_n81_n100#" "XM3/a_15_122#" 40.0048
+cap "XM2/a_15_n100#" "XC6/m4_n551_n300#" -1.0354
+cap "XM1/a_n33_n188#" "XM2/a_15_n100#" 39.8551
+cap "XM2/a_15_n100#" "XM4/a_n129_n188#" 3.65422
+cap "XM5/a_n273_n188#" "XM2/a_15_n100#" 0.113616
+cap "XM4/a_n81_n100#" "XM2/a_15_n100#" 4.75289
+cap "XM2/a_n33_n188#" "XC6/m4_n551_n300#" 1.70199
+cap "XM5/a_n225_n100#" "XM4/a_n129_n188#" 1.84845
+cap "XM3/a_n33_n100#" "XM1/a_n175_n274#" 152.086
+cap "XM2/a_n33_n188#" "XM1/a_n33_n188#" -1.68347
+cap "XM2/a_n33_n188#" "XM4/a_n129_n188#" 3.48083
+cap "XC6/c2_n451_n200#" "XM1/a_n175_n274#" 0.108472
+cap "XM5/a_n225_n100#" "XM4/a_n81_n100#" 2.71116
+cap "XM2/a_n33_n188#" "XM5/a_n273_n188#" 0.528902
+cap "XM2/a_n33_n188#" "XM4/a_n81_n100#" 7.97507
+cap "XM3/a_15_122#" "XM3/a_n33_n100#" -0.683486
+cap "XM4/a_n129_n188#" "XM5/a_n225_n100#" 0.096723
+cap "XM3/a_n33_n100#" "XM1/a_n175_n274#" 23.4285
+cap "XM4/a_n81_n100#" "XM5/a_n273_n188#" 3.27617
+cap "XM4/a_n129_n188#" "XM3/a_15_122#" 0.160507
+cap "XM4/a_n81_n100#" "XC6/m4_n551_n300#" 42.1769
+cap "XM5/a_n273_n188#" "XM5/a_n225_n100#" 2.63755
+cap "XM5/a_n225_n100#" "XC6/m4_n551_n300#" 0.309922
+cap "XM4/a_n129_n188#" "XM3/a_n33_n100#" 1.07855
+cap "XM2/a_n33_n188#" "XM1/a_n175_n274#" 0.565645
+cap "XM4/a_n81_n100#" "XM2/a_15_n100#" 6.58429
+cap "XM3/a_15_122#" "XM5/a_n273_n188#" 0.837216
+cap "XM4/a_n81_n100#" "XC6/c2_n451_n200#" -20.9153
+cap "XM2/a_15_n100#" "XM5/a_n225_n100#" 13.6925
+cap "XM4/a_n129_n188#" "XM2/a_n33_n188#" 0.0419842
+cap "XC6/c2_n451_n200#" "XM5/a_n225_n100#" 6.11068
+cap "XM3/a_n33_n100#" "XM5/a_n273_n188#" 31.2876
+cap "XM2/a_15_n100#" "XM3/a_15_122#" 0.828914
+cap "XM3/a_n33_n100#" "XC6/m4_n551_n300#" 25.0958
+cap "XM3/a_15_122#" "XC6/c2_n451_n200#" 0.0114965
+cap "XM3/a_n33_n100#" "XM2/a_15_n100#" 193.228
+cap "XM2/a_n33_n188#" "XM5/a_n273_n188#" 0.0399355
+cap "XM3/a_n33_n100#" "XC6/c2_n451_n200#" -5.85532
+cap "XM1/a_n33_n188#" "XM1/a_n175_n274#" 0.0641813
+cap "XM2/a_n33_n188#" "XM2/a_15_n100#" 0.0944046
+cap "XM4/a_n81_n100#" "XM5/a_n225_n100#" 2.86362
+cap "XM4/a_n129_n188#" "XM1/a_n175_n274#" 46.3158
+cap "XM4/a_n129_n188#" "XM1/a_n33_n188#" 0.76604
+cap "XM3/a_15_122#" "XM5/a_n225_n100#" 0.00831771
+cap "XM1/a_n175_n274#" "XM5/a_n273_n188#" 60.9654
+cap "XM3/a_n33_n100#" "XM5/a_n225_n100#" 0.126553
+cap "XM1/a_n175_n274#" "XC6/m4_n551_n300#" 25.21
+cap "XM2/a_n33_n188#" "XM5/a_n225_n100#" 0.000428688
+cap "XM2/a_15_n100#" "XM1/a_n175_n274#" 77.0646
+cap "XM4/a_n129_n188#" "XM5/a_n273_n188#" 8.28269
+cap "XM1/a_n175_n274#" "XC6/c2_n451_n200#" 34.89
+cap "XM4/a_n129_n188#" "XC6/m4_n551_n300#" 42.3868
+cap "XM4/a_n129_n188#" "XM2/a_15_n100#" 21.5339
+cap "XM4/a_n129_n188#" "XC6/c2_n451_n200#" -9.40292
+cap "XM5/a_n273_n188#" "XC6/m4_n551_n300#" 15.6859
+cap "XM2/a_15_n100#" "XM5/a_n273_n188#" 73.4313
+cap "XM2/a_15_n100#" "XC6/m4_n551_n300#" 223.634
+cap "XM4/a_n81_n100#" "XM1/a_n175_n274#" 27.991
+cap "XM5/a_n273_n188#" "XC6/c2_n451_n200#" -4.65062
+cap "XC6/c2_n451_n200#" "XC6/m4_n551_n300#" 116.084
+cap "XM1/a_n175_n274#" "XM5/a_n225_n100#" 8.48929
+cap "XM2/a_15_n100#" "XC6/c2_n451_n200#" 200.421
+cap "XM4/a_n129_n188#" "XM4/a_n81_n100#" -6.04904
+cap "XM3/a_15_122#" "XM1/a_n175_n274#" 2.05765
+cap "XM5/a_n273_n188#" "XM3/a_n33_n100#" 9.11419
+cap "XM1/a_n175_n274#" "XM3/a_n33_n100#" 34.8673
+cap "XC6/m4_n551_n300#" "XM4/a_n129_n188#" 0.341009
+cap "XM2/a_n33_n188#" "XM4/a_n129_n188#" 0.254039
+cap "XM5/a_n225_n100#" "XM3/a_n33_n100#" 3.53697
+cap "XM5/a_n273_n188#" "XC6/c2_n451_n200#" 0.981499
+cap "XM4/a_n81_n100#" "XC6/c2_n451_n200#" -0.0447828
+cap "XM1/a_n175_n274#" "XC6/c2_n451_n200#" 0.341088
+cap "XM1/a_n33_n188#" "XM1/a_n175_n274#" 0.184469
+cap "XM5/a_n273_n188#" "XM3/a_15_122#" 1.89994
+cap "XM4/a_n129_n188#" "XM3/a_n33_n100#" 1.59673
+cap "XM1/a_n175_n274#" "XM5/a_n273_n188#" 72.3981
+cap "XM1/a_n175_n274#" "XM3/a_15_122#" 5.94375
+cap "XM4/a_n81_n100#" "XM5/a_n273_n188#" 10.3147
+cap "XM4/a_n81_n100#" "XM1/a_n175_n274#" 39.0581
+cap "XM5/a_n225_n100#" "XC6/c2_n451_n200#" -0.13748
+cap "XM5/a_n225_n100#" "XM3/a_15_122#" 0.755954
+cap "XM2/a_15_n100#" "XM5/a_n273_n188#" 7.85766
+cap "XM5/a_n225_n100#" "XM5/a_n273_n188#" 66.5926
+cap "XM5/a_n225_n100#" "XM1/a_n175_n274#" -404.649
+cap "XM5/a_n225_n100#" "XM4/a_n81_n100#" 3.37933
+cap "XM2/a_15_n100#" "XM1/a_n175_n274#" 5.66549
+cap "XM4/a_n129_n188#" "XC6/c2_n451_n200#" -0.0346589
+cap "XC6/m4_n551_n300#" "XM1/a_n175_n274#" 0.681822
+cap "XC6/m4_n551_n300#" "XM4/a_n81_n100#" 0.951002
+cap "XM5/a_n225_n100#" "XM2/a_15_n100#" 3.22755
+cap "XM2/a_n33_n188#" "XM5/a_n273_n188#" 0.25889
+cap "XM4/a_n129_n188#" "XM3/a_15_122#" -0.390505
+cap "XM5/a_n273_n188#" "XM4/a_n129_n188#" 15.6419
+cap "XM2/a_n33_n188#" "XM1/a_n175_n274#" 1.57995
+cap "XM1/a_n175_n274#" "XM4/a_n129_n188#" 147.31
+cap "XM4/a_n81_n100#" "XM4/a_n129_n188#" -14.16
+cap "XC6/m4_n551_n300#" "XM5/a_n225_n100#" 0.0735222
+cap "XM2/a_15_n100#" "XM4/a_n129_n188#" 0.50529
+cap "XM5/a_n225_n100#" "XM2/a_n33_n188#" 0.0943912
+cap "XM5/a_n225_n100#" "XM4/a_n129_n188#" 11.1229
+cap "XM5/a_n225_n100#" "XM4/a_n81_n100#" 23.9545
+cap "XC1/c2_n451_n200#" "XC2/m4_n651_n300#" -25.6493
+cap "XC1/c2_n451_n200#" "XC1/m4_n551_n300#" 16.3796
+cap "XC1/c2_n451_n200#" "XM4/a_n81_n100#" 116.273
+cap "XM5/a_n273_n188#" "XC1/c2_n451_n200#" -0.905215
+cap "XC2/m4_n651_n300#" "XC1/m4_n551_n300#" 62.2401
+cap "XC2/m4_n651_n300#" "XM4/a_n81_n100#" 331.094
+cap "XC1/c2_n451_n200#" "XC6/m4_n551_n300#" 1.25738
+cap "XM5/a_n273_n188#" "XC2/m4_n651_n300#" -3.07309
+cap "XM4/a_n81_n100#" "XM4/a_n173_n100#" 0.721581
+cap "XC1/c2_n451_n200#" "XM4/a_n129_n188#" -0.158227
+cap "XM5/a_n273_n188#" "XM4/a_n81_n100#" 2.03942
+cap "XM5/a_n225_n100#" "XC1/c2_n451_n200#" -8.68141
+cap "XC2/m4_n651_n300#" "XM4/a_n129_n188#" -0.836563
+cap "XM4/a_n81_n100#" "XM4/a_n275_n274#" 0.556796
+cap "XM4/a_n81_n100#" "XM5/a_n225_n100#" -40.5071
+cap "XC1/c2_n451_n200#" "XM5/a_n225_n100#" 0.329461
+cap "XC2/c2_n551_n200#" "XC3/m4_n651_n500#" 312.877
+cap "XC4/m4_n951_n500#" "XC3/m4_n651_n500#" 208.02
+cap "XC4/m4_n951_n500#" "XC2/c2_n551_n200#" 134.869
+cap "XC2/c2_n551_n200#" "XC4/m4_n951_n500#" -128.299
+cap "XC3/m4_n651_n500#" "XC4/m4_n951_n500#" 6.05127
+cap "XC4/c2_n851_n400#" "XC4/m4_n951_n500#" 284.546
+cap "XC4/c2_n851_n400#" "XC4/m4_n951_n500#" -112.472
+merge "XC4/VSUBS" "XC3/VSUBS" -1793.89 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -23154 -2518 -62960 -4134 0 0 0 0 0 0 0 0 0 0
 merge "XC3/VSUBS" "XC2/VSUBS"
 merge "XC2/VSUBS" "XC1/VSUBS"
 merge "XC1/VSUBS" "XM5/a_n419_n274#"
@@ -322,36 +430,36 @@
 merge "li_4250_n1505#" "XM1/a_n175_n274#"
 merge "XM1/a_n175_n274#" "li_4250_n1190#"
 merge "li_4250_n1190#" "GND"
-merge "XC4/c2_n851_n400#" "XC3/c2_n551_n400#" -1007.65 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1558296 -11190 0 0
+merge "XC4/c2_n851_n400#" "XC3/c2_n551_n400#" -2739.09 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1754620 -11190 0 0
 merge "XC3/c2_n551_n400#" "XC2/c2_n551_n200#"
 merge "XC2/c2_n551_n200#" "XC1/c2_n451_n200#"
 merge "XC1/c2_n451_n200#" "XC6/c2_n451_n200#"
 merge "XC6/c2_n451_n200#" "IN"
-merge "XM4/a_63_n188#" "XM4/a_n33_122#" 258.978 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -378048 -624 0 0 0 0 0 0 0 0 0 0
+merge "XM4/a_63_n188#" "XM4/a_n33_122#" -214.711 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8004 -624 0 0 0 0 0 0 0 0 0 0
 merge "XM4/a_n33_122#" "XM4/a_n129_n188#"
 merge "XM4/a_n129_n188#" "ctrll4"
-merge "XC1/m4_n551_n300#" "XM2/a_15_n100#" -450.952 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 436840 -252 0 0 0 0 0 0 -2697600 -1488 0 0
+merge "XC1/m4_n551_n300#" "XM2/a_15_n100#" -550.886 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3680 -252 0 0 0 0 -34125 -740 0 0 0 0
 merge "XM2/a_15_n100#" "m1_4820_n1420#"
-merge "XC3/m4_n651_n500#" "XM4/a_111_n100#" 42.2693 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 864340 -504 0 0 0 0 -255972 -1022 0 0 0 0
+merge "XC3/m4_n651_n500#" "XM4/a_111_n100#" -1226.46 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7360 -504 0 0 0 0 -91908 -2182 0 0 0 0
 merge "XM4/a_111_n100#" "XM4/a_n81_n100#"
 merge "XM4/a_n81_n100#" "m1_4820_n460#"
-merge "XM1/a_n33_n188#" "ctrll1" -445.206 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1468560 -416 0 0 0 0 0 0 0 0 0 0
+merge "XM1/a_n33_n188#" "ctrll1" -123.977 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5336 -416 0 0 0 0 0 0 0 0 0 0
 merge "ctrll1" "m1_3820_n1360#"
-merge "XM3/a_n81_n188#" "XM3/a_15_122#" 506.134 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -161488 -416 0 0 0 0 0 0 0 0 0 0
+merge "XM3/a_n81_n188#" "XM3/a_15_122#" -173.575 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5336 -416 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_15_122#" "ctrll3"
-merge "XM2/a_n33_n188#" "ctrll2" 261.468 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -265640 -416 0 0 0 0 0 0 0 0 0 0
+merge "XM2/a_n33_n188#" "ctrll2" -143.762 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5336 -416 0 0 0 0 0 0 0 0 0 0
 merge "ctrll2" "m1_4610_n1360#"
-merge "XM5/a_207_122#" "XM5/a_111_n188#" 46.784 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -931344 -1248 0 0 0 0 0 0 0 0 0 0
+merge "XM5/a_207_122#" "XM5/a_111_n188#" -259.534 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -16008 -1248 0 0 0 0 0 0 0 0 0 0
 merge "XM5/a_111_n188#" "XM5/a_15_122#"
 merge "XM5/a_15_122#" "XM5/a_n81_n188#"
 merge "XM5/a_n81_n188#" "XM5/a_n177_122#"
 merge "XM5/a_n177_122#" "XM5/a_n273_n188#"
 merge "XM5/a_n273_n188#" "ctrll5"
-merge "XC4/m4_n951_n500#" "XM5/a_159_n100#" 144.313 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1960800 -1614 0 0 0 0 217056 -2940 181356 -1110 0 0
+merge "XC4/m4_n951_n500#" "XM5/a_159_n100#" -1039.01 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -27600 -1614 0 0 0 0 -156480 -2940 -54108 -1110 0 0
 merge "XM5/a_159_n100#" "XM5/a_n33_n100#"
 merge "XM5/a_n33_n100#" "XM5/a_n225_n100#"
 merge "XM5/a_n225_n100#" "m1_4700_270#"
-merge "XM1/a_n73_n100#" "XC6/m4_n551_n300#" 299.972 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1252060 -492 0 0 0 0 14500 -778 0 0 0 0
+merge "XM1/a_n73_n100#" "XC6/m4_n551_n300#" -516.931 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9200 -492 0 0 0 0 -10440 -778 0 0 0 0
 merge "XC6/m4_n551_n300#" "m1_3910_n1320#"
-merge "XC2/m4_n651_n300#" "XM3/a_n33_n100#" -950.074 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 327980 -252 0 0 0 0 -2655300 -1382 0 0 0 0
+merge "XC2/m4_n651_n300#" "XM3/a_n33_n100#" -643.529 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3680 -252 0 0 0 0 -50960 -1758 0 0 0 0
 merge "XM3/a_n33_n100#" "m1_4820_n890#"
diff --git a/mag/core_osc.ext b/mag/core_osc.ext
index 959b026..4d2678e 100644
--- a/mag/core_osc.ext
+++ b/mag/core_osc.ext
@@ -8,24 +8,24 @@
 use core_osc_amp X3 -1 0 18162 0 1 3195
 use core_osc_amp X2 -1 0 14762 0 1 3195
 use core_osc_amp X1 -1 0 14762 0 -1 12340
-node "m3_12355_3530#" 1 562.393 12355 3530 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 213200 4520 0 0 0 0 0 0
-node "m3_12355_3940#" 1 156.226 12355 3940 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76050 2470 0 0 0 0 0 0
-node "m3_12355_4075#" 0 417.75 12355 4075 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 193050 2670 0 0 0 0 0 0
-node "m3_12350_11295#" 0 575.73 12350 11295 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 357000 2980 0 0 0 0 0 0
-node "m3_12355_11705#" 0 257.293 12355 11705 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 126000 1440 0 0 0 0 0 0
-node "m2_12350_3425#" 2 554.254 12350 3425 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 96375 2720 0 0 0 0 0 0 0 0
-node "S2B" 9 1779.34 9175 7265 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 635625 13140 100500 2410 0 0 0 0 0 0
-node "S2A" 9 803.179 9180 7395 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 671500 13720 70500 1610 0 0 0 0 0 0
-node "S1A" 9 1162.84 9185 7785 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 663900 13670 103200 2740 0 0 0 0 0 0
-node "S1B" 9 1284.67 9185 7915 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 665100 13700 104400 2770 0 0 0 0 0 0
-node "S3A" 9 862.772 9185 7525 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 664700 13690 103600 2750 0 0 0 0 0 0
-node "S3B" 9 1058.04 9185 7655 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 662300 13770 105200 2790 0 0 0 0 0 0
-node "S4A" 9 1447.21 9185 8045 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 676000 13720 69500 1590 0 0 0 0 0 0
-node "S4B" 9 2672.78 9185 8175 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 636500 13130 104500 2490 0 0 0 0 0 0
-node "GND" 15 1806.38 15810 11390 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 480325 14910 26400 1000 0 0 0 0 0 0
-node "VDD" 16 726.332 15910 3685 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 532300 16490 39150 1440 0 0 0 0 0 0
-node "BIAS" 18 2224.46 15730 3425 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 607650 18650 0 0 0 0 0 0 0 0
-node "m2_12280_12036#" 4 901.625 12280 12036 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 160125 4420 0 0 0 0 0 0 0 0
+node "m3_12355_3530#" 1 542.658 12355 3530 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 213200 4520 0 0 0 0 0 0
+node "m3_12355_3940#" 1 90.6332 12355 3940 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76050 2470 0 0 0 0 0 0
+node "m3_12355_4075#" 0 379.905 12355 4075 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 193050 2670 0 0 0 0 0 0
+node "m3_12350_11295#" 0 499.108 12350 11295 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 357000 2980 0 0 0 0 0 0
+node "m3_12355_11705#" 0 250.752 12355 11705 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 126000 1440 0 0 0 0 0 0
+node "m2_12350_3425#" 2 554.159 12350 3425 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 96375 2720 0 0 0 0 0 0 0 0
+node "S2B" 9 1052.98 9175 7265 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 635625 13140 100500 2410 0 0 0 0 0 0
+node "S2A" 9 657.732 9180 7395 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 671500 13720 70500 1610 0 0 0 0 0 0
+node "S1A" 9 617.922 9185 7785 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 663900 13670 103200 2740 0 0 0 0 0 0
+node "S1B" 9 645.51 9185 7915 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 665100 13700 104400 2770 0 0 0 0 0 0
+node "S3A" 9 614.358 9185 7525 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 664700 13690 103600 2750 0 0 0 0 0 0
+node "S3B" 9 629.48 9185 7655 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 662300 13770 105200 2790 0 0 0 0 0 0
+node "S4A" 9 647.134 9185 8045 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 676000 13720 69500 1590 0 0 0 0 0 0
+node "S4B" 9 1072.34 9185 8175 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 636500 13130 104500 2490 0 0 0 0 0 0
+node "GND" 15 1652.75 15810 11390 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 480325 14910 26400 1000 0 0 0 0 0 0
+node "VDD" 16 691.64 15910 3685 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 532300 16490 39150 1440 0 0 0 0 0 0
+node "BIAS" 18 2204.78 15730 3425 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 607650 18650 0 0 0 0 0 0 0 0
+node "m2_12280_12036#" 4 902.198 12280 12036 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 160125 4420 0 0 0 0 0 0 0 0
 node "li_12290_4230#" 139 181.204 12290 4230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13300 830 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_12290_6840#" 139 181.204 12290 6840 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13300 830 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_14750_7140#" 402 547.35 14750 7140 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 50200 2590 0 0 0 0 0 0 0 0 0 0 0 0
@@ -35,893 +35,1056 @@
 node "SUB" 139 181.204 12290 8660 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13300 830 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_12290_11270#" 139 181.204 12290 11270 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13300 830 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "GND" "S2B" 60.1538
-cap "S1A" "S3B" 8762.77
-cap "S2B" "li_11345_7140#" 32.5038
-cap "S3A" "S4B" 636.8
-cap "S1A" "S2B" 786.258
-cap "li_12290_11270#" "m3_12350_11295#" 103.617
-cap "li_10175_7140#" "S4A" 30.9223
-cap "GND" "BIAS" 2225.55
-cap "GND" "S1B" 60.1538
-cap "li_14750_7140#" "S3B" 27.9467
-cap "li_10175_7140#" "S3A" 26.7961
-cap "S1B" "li_11345_7140#" 29.9989
-cap "S1A" "BIAS" 15.0385
-cap "li_14750_7140#" "S2B" 33.9122
-cap "li_13575_7140#" "S2A" 25.8554
-cap "S1A" "S1B" 8841.26
-cap "S1B" "li_14750_7140#" 30.0225
-cap "S2A" "S3B" 1711.39
-cap "li_13575_7140#" "S4B" 39.7011
-cap "S2A" "S2B" 8502.34
-cap "VDD" "S3B" 23.697
-cap "VDD" "S2B" 23.697
-cap "S2A" "BIAS" 15.0385
-cap "S1B" "S2A" 715.64
-cap "S1A" "GND" 60.1538
-cap "VDD" "BIAS" 10654.7
-cap "S1B" "VDD" 23.697
-cap "S3B" "S4B" 808.692
-cap "S1A" "li_11345_7140#" 28.9965
-cap "S4A" "S3A" 886.699
-cap "S2B" "S4B" 291.802
-cap "li_10175_7140#" "S3B" 27.9231
-cap "BIAS" "S4B" 15.0385
-cap "S1A" "li_14750_7140#" 29.0201
-cap "li_10175_7140#" "S2B" 32.5038
-cap "S1B" "S4B" 1709.72
-cap "li_12290_4230#" "m3_12355_4075#" 102.046
-cap "S1B" "li_10175_7140#" 29.9989
-cap "GND" "S2A" 64.9579
-cap "m3_12355_11705#" "m3_12350_11295#" 214.582
-cap "S2A" "li_11345_7140#" 25.8318
-cap "GND" "VDD" 8282.65
-cap "li_13575_7140#" "S4A" 30.9425
-cap "S1A" "S2A" 1004.66
-cap "li_13575_7140#" "S3A" 26.8197
-cap "S1A" "VDD" 23.697
-cap "S2A" "li_14750_7140#" 25.7441
-cap "GND" "S4B" 60.1538
-cap "S3B" "S4A" 1017.62
-cap "li_11345_7140#" "S4B" 39.6774
-cap "m3_12355_3530#" "m3_12355_4075#" 325.119
-cap "S2B" "S4A" 362.538
-cap "S3B" "S3A" 8835.27
-cap "S1A" "S4B" 974.233
-cap "m3_12355_4075#" "m3_12355_3940#" 939.343
-cap "S2B" "S3A" 1665.72
-cap "li_10175_7140#" "li_11345_7140#" 31.0973
-cap "BIAS" "S4A" 15.0385
-cap "S1A" "li_10175_7140#" 28.9965
-cap "li_14750_7140#" "S4B" 39.7011
-cap "S1B" "S4A" 8666.2
-cap "BIAS" "S3A" 17.7877
-cap "S2A" "VDD" 28.1941
-cap "S1B" "S3A" 1086
-cap "m3_12355_3530#" "m3_12355_3940#" 674.138
-cap "S2A" "S4B" 363.112
-cap "li_13575_7140#" "S3B" 27.9467
-cap "VDD" "S4B" 23.697
-cap "li_13575_7140#" "S2B" 32.5275
-cap "S2A" "li_10175_7140#" 25.8318
-cap "GND" "S4A" 60.1538
-cap "li_11345_7140#" "S4A" 30.9189
-cap "li_13575_7140#" "S1B" 30.0225
-cap "GND" "S3A" 63.3972
-cap "S1A" "S4A" 1704.7
-cap "li_11345_7140#" "S3A" 26.7961
-cap "S3B" "S2B" 962.828
-cap "S1A" "S3A" 1800.16
-cap "li_14750_7140#" "S4A" 30.9425
-cap "li_10175_7140#" "S4B" 40.8972
-cap "BIAS" "S3B" 15.0385
-cap "li_14750_7140#" "S3A" 26.8197
-cap "S1B" "S3B" 1806.23
-cap "BIAS" "S2B" 15.0385
-cap "S1B" "S2B" 666.306
-cap "S1B" "BIAS" 15.0385
-cap "S2A" "S4A" 467.423
-cap "m2_12280_12036#" "BIAS" 2.19197
-cap "VDD" "S4A" 23.697
-cap "S2A" "S3A" 8656.21
-cap "S1A" "li_13575_7140#" 29.0201
-cap "VDD" "S3A" 26.6666
-cap "li_13575_7140#" "li_14750_7140#" 30.9604
-cap "GND" "S3B" 60.1538
-cap "S3B" "li_11345_7140#" 27.9231
-cap "S4A" "S4B" 8530.78
-cap "X3/VDD" "X3/GND" -35.8973
-cap "X2/BIAS" "X3/GND" 76.0032
-cap "X3/GND" "X2/XM4/a_927_n100#" 5.21705
-cap "X3/VDD" "X2/BIAS" 52.0438
-cap "X3/VDD" "X2/XM4/a_927_n100#" 2.16497
-cap "X3/XR17/a_n415_n1322#" "X3/GND" 9.0081
-cap "X3/VDD" "X3/XR17/a_n415_n1322#" 51.6066
-cap "X2/BIAS" "X3/XR17/a_n415_n1322#" 5.2985
-cap "X3/XR17/a_n415_n1322#" "X2/XM4/a_927_n100#" 1.00563
-cap "X3/XR17/a_n415_n1322#" "X3/GND" -76.9881
-cap "X3/VDD" "X3/GND" 740.481
-cap "X3/XR17/a_n415_n1322#" "X3/BIAS" 4.05617
-cap "X3/VDD" "X3/BIAS" 791.605
-cap "X3/VDD" "X3/XM4/a_927_n100#" 2.16397
-cap "X3/XR17/a_n415_n1322#" "X3/XM4/a_927_n100#" 1.00422
-cap "X3/XR17/a_n415_n1322#" "X3/VDD" 115.764
-cap "X2/XM4/a_927_n100#" "X3/XR17/a_n415_n1322#" 1.00563
-cap "X2/XM4/a_927_n100#" "X3/VDD" 2.16497
-cap "X3/BIAS" "X3/SUB" 5.67679
-cap "X3/GND" "X3/VDD" 5.57248
-cap "X3/BIAS" "X3/XM4/a_927_n100#" 26.1549
-cap "X3/SUB" "X3/XM4/a_927_n100#" 1.00422
-cap "X3/BIAS" "X3/GND" 113.713
-cap "X3/GND" "X3/SUB" 554.306
-cap "X3/GND" "X3/XM4/a_927_n100#" 3.82756
-cap "X3/BIAS" "X3/VDD" 101.564
-cap "X3/VDD" "X3/SUB" 9.26947
-cap "X3/VDD" "X3/XM4/a_927_n100#" 5.7235
-cap "X3/XM4/a_927_n100#" "X3/BIAS" 3.33749
-cap "X3/GND" "X3/BIAS" 25.3102
-cap "X3/VDD" "X3/BIAS" 149.978
-cap "X3/XM4/a_927_n100#" "X3/GND" 3.82756
-cap "X3/XM4/a_927_n100#" "X3/VDD" 3.55952
-cap "X3/VDD" "X3/GND" 69.4296
-cap "X3/SUB" "X3/GND" 1745.28
-cap "X3/VDD" "X3/SUB" -4.69306
-cap "X2/INB" "X2/XM4/a_831_n100#" 2.4172
-cap "X2/BIAS" "X2/OUTA" 1.20184
-cap "X2/INA" "X2/XM4/a_831_n100#" 1.25321
-cap "X2/XM4/a_927_n100#" "S3A" 2.77071
-cap "S3A" "X2/XM4/a_831_n100#" 2.81593
-cap "X2/XM4/a_927_n100#" "X2/OUTA" 3.03842
-cap "X2/BIAS" "S3B" 0.990709
-cap "X2/OUTA" "X2/XM4/a_831_n100#" 4.36973
-cap "X2/BIAS" "X2/OUTB" 1.34518
-cap "X2/XM4/a_927_n100#" "S3B" 1.34607
-cap "S3B" "X2/XM4/a_831_n100#" 1.36713
-cap "X2/OUTB" "X2/XM4/a_927_n100#" 4.7142
-cap "X2/BIAS" "S3A" 1.08611
-cap "X2/OUTB" "X2/XM4/a_831_n100#" 4.846
-cap "X2/OUTA" "X2/BIAS" 1.20184
-cap "X3/GND" "X2/OUTB" 4.846
-cap "X2/XM4/a_927_n100#" "X2/OUTB" 4.7142
-cap "S3B" "X3/GND" 1.36713
-cap "S3B" "X2/XM4/a_927_n100#" 1.34607
-cap "X2/BIAS" "X2/OUTB" 1.34518
-cap "S3B" "X2/BIAS" 0.990709
-cap "S3A" "X2/XM4/a_927_n100#" 2.77071
-cap "S3A" "X3/GND" 2.81593
-cap "S3A" "X2/BIAS" 1.08611
-cap "X3/XR17/a_n415_n1322#" "X3/GND" 19.7666
-cap "X2/XM4/a_927_n100#" "X3/XR17/a_n415_n1322#" 2.34648
-cap "X2/XM4/a_927_n100#" "X3/GND" 2.00168
-cap "X3/XR17/a_n415_n1322#" "X2/BIAS" 4.80473
-cap "X2/INA" "X3/GND" 3.67041
-cap "X2/XM4/a_927_n100#" "X2/OUTA" 3.03842
-cap "X2/OUTA" "X3/GND" 4.36973
-cap "X2/XM4/a_927_n100#" "X3/XM3/a_n323_n274#" 2.34648
-cap "X3/BIAS" "X3/XM3/a_n323_n274#" 9.60287
-cap "X3/XM3/a_n323_n274#" "X3/GND" 5.35984
-cap "X3/XM4/a_927_n100#" "X3/XM3/a_n323_n274#" 2.34341
-cap "X3/XM4/a_927_n100#" "X3/GND" 8.68562
-cap "X3/VDD" "X3/BIAS" 39.7271
-cap "X3/BIAS" "X3/GND" 61.4524
-cap "X3/XM4/a_927_n100#" "X3/OUTB" 2.69213
-cap "X3/OUTA" "X3/XM4/a_927_n100#" 5.54143
-cap "X3/XM4/a_927_n100#" "X3/INB" 6.37539
-cap "X3/INA" "X3/GND" 8.73946
-cap "X3/BIAS" "X3/OUTB" 1.98142
-cap "X3/SUB" "X3/GND" 2.67815
-cap "X3/OUTA" "X3/BIAS" 2.17222
-cap "X3/BIAS" "X3/INB" 2.69037
-cap "X3/XM4/a_927_n100#" "X3/BIAS" 246.808
-cap "X3/VDD" "X3/GND" 9.71475
-cap "X3/INA" "X3/XM4/a_927_n100#" 6.07683
-cap "X3/SUB" "X3/XM4/a_927_n100#" 2.34341
-cap "X3/GND" "S1A" 2.50641
-cap "X3/INA" "X3/BIAS" 2.40369
-cap "X3/SUB" "X3/BIAS" 4.79814
-cap "X3/GND" "X3/OUTB" 2.73427
-cap "X3/OUTA" "X3/GND" 5.63185
-cap "X3/GND" "X3/INB" 6.0575
-cap "X3/VDD" "X3/XM4/a_927_n100#" 8.07738
-cap "X3/BIAS" "X3/VDD" 39.7271
-cap "X3/VDD" "X3/GND" 9.71475
-cap "X3/VDD" "X3/XM4/a_927_n100#" 8.07738
-cap "X3/BIAS" "X3/GND" 52.0182
-cap "X3/BIAS" "X3/XM4/a_927_n100#" 7.57354
-cap "X3/GND" "X3/XM4/a_927_n100#" 8.68562
-cap "S3A" "X2/XM4/a_543_n100#" 19.6153
-cap "X2/XM4/a_639_n100#" "X2/INA" 1.25723
-cap "X1/INA" "X2/XM4/a_543_n100#" 3.47233
-cap "X1/XM3/a_n129_n100#" "X2/XM4/a_543_n100#" 5.47505
-cap "S3A" "X2/XM3/a_n323_n274#" 6.64129
-cap "X2/INB" "X2/XM4/a_543_n100#" 9.01944
-cap "X2/INA" "X2/OUTB" 17.8971
-cap "X2/XM4/a_639_n100#" "X2/OUTB" 6.74397
-cap "X1/XM3/a_n129_n100#" "X2/XM3/a_n323_n274#" 3.933
-cap "X1/INB" "X2/OUTB" 24.2898
-cap "X2/XM4/a_639_n100#" "X2/OUTA" 6.05501
-cap "X2/INB" "X2/XM3/a_n323_n274#" -42.4686
-cap "X2/XM4/a_639_n100#" "S3B" 1.37193
-cap "X1/INB" "X2/OUTA" 8.24655
-cap "X2/OUTB" "X2/OUTA" 69.118
-cap "X2/XM4/a_n945_n188#" "X2/OUTB" 1.34518
-cap "S3B" "X2/OUTB" 20.773
-cap "X2/XM4/a_543_n100#" "X2/XM3/a_n323_n274#" 3.933
-cap "X2/XM4/a_n945_n188#" "X2/OUTA" 1.20184
-cap "X2/XM4/a_639_n100#" "S3A" 4.33139
-cap "X2/OUTB" "S3A" 49.6441
-cap "X1/INB" "S3A" 9.78906
-cap "X2/XM4/a_639_n100#" "X2/INB" 5.48293
-cap "X2/INA" "X2/INB" 8.03225
-cap "X1/INA" "X2/OUTB" 12.8756
-cap "X1/XM3/a_n129_n100#" "X2/OUTB" 7.68612
-cap "X2/XM4/a_n945_n188#" "S3A" 1.08611
-cap "X1/INB" "X2/INB" 12.8717
-cap "X2/OUTB" "X2/INB" 319.001
-cap "X1/XM3/a_n129_n100#" "X2/OUTA" 3.6198
-cap "X2/INA" "X2/XM4/a_543_n100#" -248.678
-cap "X2/INB" "X2/OUTA" 87.6479
-cap "X1/INB" "X2/XM4/a_543_n100#" 6.50353
-cap "S3B" "X2/INB" 11.9966
-cap "X2/OUTB" "X2/XM4/a_543_n100#" 55.4994
-cap "X2/OUTA" "X2/XM4/a_543_n100#" -550.906
-cap "X1/INB" "X2/XM3/a_n323_n274#" 0.334505
-cap "X2/OUTB" "X2/XM3/a_n323_n274#" 21.4406
-cap "S3B" "X2/XM4/a_543_n100#" 7.06035
-cap "X1/XM3/a_n129_n100#" "S3A" 5.39198
-cap "X2/INB" "S3A" 62.6164
-cap "X2/OUTA" "X2/XM3/a_n323_n274#" 7.75509
-cap "X1/XM3/a_n129_n100#" "X2/INB" 2.89974
-cap "X1/INA" "X2/INB" 4.07292
-cap "X2/XM4/a_543_n100#" "S3B" 7.06035
-cap "X3/OUTB" "X4/XR17/a_n415_n1322#" 7.399
-cap "X2/XM1/a_n945_n188#" "S3A" 2.346
-cap "X4/XR17/a_n415_n1322#" "X2/OUTB" 2.03576
-cap "X1/INB" "X2/INA" 8.78572
-cap "X1/XM3/a_n129_n100#" "X2/OUTB" 3.24538
-cap "X2/OUTA" "X2/XM1/a_n945_n188#" 1.20184
-cap "X2/OUTA" "S3A" 38.5157
-cap "X2/INA" "X2/XM4/a_n945_n188#" 0.971026
-cap "X2/OUTA" "X4/XR17/a_n415_n1322#" 27.3454
-cap "X2/INA" "S3B" 16.5731
-cap "X4/OUTB" "S3A" 9.84532
-cap "X2/XM4/a_543_n100#" "X2/XM1/a_639_n100#" 0.29179
-cap "X2/OUTA" "X1/XM3/a_n129_n100#" 8.06054
-cap "X2/INB" "X2/OUTB" 79.764
-cap "S3B" "X2/XM4/a_n945_n188#" 1.0625
-cap "X3/OUTB" "X2/XM4/a_543_n100#" 0.036129
-cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 1.00657
-cap "X2/XM1/a_n945_n188#" "S3A" 1.08611
-cap "X2/XM4/a_543_n100#" "X2/OUTB" 40.8233
-cap "X2/OUTA" "X2/INB" 34.1839
-cap "X2/XM1/a_639_n100#" "X2/INA" 6.74016
-cap "X4/XR17/a_n415_n1322#" "S3A" 6.66221
-cap "X1/XM3/a_n129_n100#" "S3A" 5.39198
-cap "X2/OUTA" "X2/XM4/a_543_n100#" 44.5666
-cap "X2/XM1/a_639_n100#" "S3B" 1.37193
-cap "X1/INB" "X2/OUTB" 0.0409424
-cap "X3/OUTB" "X2/INA" 2.45996
-cap "X4/OUTB" "X2/XM4/a_543_n100#" 13.0644
-cap "X2/INA" "X2/OUTB" 305.29
-cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 3.933
-cap "X2/XM4/a_n945_n188#" "X2/OUTB" 2.96212
-cap "X2/XM1/a_n945_n188#" "X2/INA" 0.971026
-cap "X1/INB" "X2/OUTA" 10.7585
-cap "X2/INB" "S3A" 12.8652
-cap "X2/XM1/a_n945_n188#" "S3B" 1.0625
-cap "X2/OUTA" "X2/INA" 202.45
-cap "X2/OUTA" "X2/XM4/a_n945_n188#" 2.6183
-cap "X2/XM4/a_543_n100#" "S3A" 19.6153
-cap "X2/INB" "X4/XR17/a_n415_n1322#" 0.953655
-cap "X2/OUTA" "S3B" 16.0037
-cap "X4/XR17/a_n415_n1322#" "X2/XM4/a_543_n100#" 3.13696
-cap "X4/OUTB" "X2/INA" 16.0781
-cap "X3/OUTB" "X2/XM1/a_639_n100#" 0.424471
-cap "X1/XM3/a_n129_n100#" "X2/XM4/a_543_n100#" 13.4705
-cap "X2/XM1/a_639_n100#" "X2/OUTB" 6.74397
-cap "X1/INB" "S3A" 0.056259
-cap "X2/INA" "S3A" 71.9224
-cap "X2/XM4/a_n945_n188#" "S3A" 2.346
-cap "X2/OUTA" "X2/XM1/a_639_n100#" 6.05501
-cap "X4/XR17/a_n415_n1322#" "X2/INA" 7.04454
-cap "X1/INB" "X4/XR17/a_n415_n1322#" 0.672068
-cap "X2/INB" "X2/XM4/a_543_n100#" 7.38273
-cap "X1/XM3/a_n129_n100#" "X2/INA" 2.89974
-cap "X2/XM1/a_n945_n188#" "X2/OUTB" 2.96212
-cap "X2/OUTA" "X3/OUTB" 15.2455
-cap "X2/OUTA" "X2/OUTB" 75.7284
-cap "X2/OUTA" "X2/XM1/a_n945_n188#" 2.6183
-cap "X4/OUTB" "X2/OUTB" 7.16492
-cap "X2/INB" "X2/INA" 12.6875
-cap "X1/INB" "X2/INB" 4.37292
-cap "X1/INB" "X2/XM4/a_543_n100#" 3.6038
-cap "X2/XM1/a_639_n100#" "S3A" 4.33139
-cap "X4/XR17/a_n415_n1322#" "X2/XM1/a_639_n100#" 1.42627
-cap "X2/XM4/a_543_n100#" "X2/INA" 23.9766
-cap "X2/OUTA" "X3/GND" 0.363284
-cap "X2/INB" "S3B" 4.53205
-cap "X2/XM1/a_n945_n188#" "X2/OUTB" 1.34518
-cap "X2/OUTA" "X4/OUTB" 18.1008
-cap "X4/OUTB" "X3/INA" 11.6401
-cap "X4/INB" "X4/OUTA" 8.57192
-cap "X4/XR17/a_n415_n1322#" "X3/INA" 81.2949
-cap "X4/INA" "X4/OUTB" 9.56524
-cap "X4/INB" "X3/INA" 195.224
-cap "X4/INA" "X4/INB" 28.5731
-cap "X2/XM4/a_543_n100#" "X3/INA" 6.79615
-cap "X4/OUTB" "X4/INB" 9.82889
-cap "X4/XR17/a_n415_n1322#" "X4/INB" 55.1305
-cap "X3/INB" "X2/INA" 3.04568
-cap "X2/XM4/a_543_n100#" "X4/XR17/a_n415_n1322#" 0.670423
-cap "X3/XM4/a_639_n100#" "X3/INA" 0.423831
-cap "X2/XM4/a_543_n100#" "X4/INB" 0.036129
-cap "X3/INB" "X3/INA" 13.7224
-cap "S1B" "X3/INA" 3.70949
-cap "X4/OUTB" "X3/INB" 7.82
-cap "X3/XM4/a_543_n100#" "X3/INA" 0.0360825
-cap "X2/INA" "X3/INA" 9.78163
-cap "X4/OUTA" "X3/INA" 3.33854
-cap "X4/INA" "X2/INA" 3.72541
-cap "X3/INB" "X4/XR17/a_n415_n1322#" 0.682422
-cap "S1B" "X4/INB" 9.52436
-cap "X3/INB" "X4/INB" 42.8596
-cap "X4/INA" "X3/INA" 11.1285
-cap "X4/XR17/a_n415_n1322#" "X2/INA" 0.683432
-cap "X2/XM1/a_639_n100#" "X4/INB" 0.424471
-cap "X3/XM4/a_543_n100#" "X4/XR17/a_n415_n1322#" 0.66948
-cap "X2/INA" "X4/INB" 13.1749
-cap "X3/INA" "VDD" 13.6591
-cap "X4/OUTA" "X4/INB" 5.47769
-cap "X3/XM1/a_n945_n188#" "X4/INA" 1.08611
-cap "X3/INA" "X4/INA" 160.41
-cap "X3/INB" "X4/XM3/a_n129_n100#" 16.5393
-cap "X3/XM4/a_543_n100#" "X4/OUTB" 10.9058
-cap "X3/INA" "X3/XM4/a_n945_n188#" 2.6183
-cap "X3/INB" "S1B" 10.4732
-cap "X3/XM4/a_543_n100#" "X3/XM1/a_n945_n188#" 6.95998
-cap "X3/INB" "X4/XR17/a_n415_n1322#" -2.74963
-cap "S1A" "X4/INB" 7.18216
-cap "X3/XM4/a_639_n100#" "X4/INB" 1.37193
-cap "X4/XR17/a_n415_n1322#" "X4/XM3/a_n129_n100#" 7.86601
-cap "X3/XM4/a_543_n100#" "X4/OUTA" 11.6483
-cap "X3/XM4/a_n945_n188#" "X4/INA" 1.08611
-cap "X3/INB" "X3/XM1/a_639_n100#" 6.74397
-cap "GND" "X4/INA" 4.34262
-cap "X3/INB" "X3/XM1/a_n945_n188#" 1.34518
-cap "X3/INB" "X3/INA" 297.895
-cap "X3/XM4/a_543_n100#" "S1A" 12.1865
-cap "X4/INA" "X4/INB" 53.0562
-cap "X4/XM3/a_n129_n100#" "X3/INA" 10.1393
-cap "BIAS" "X4/INA" 3.73253
-cap "X3/XM1/a_n945_n188#" "S1A" 0.971026
-cap "X3/XM4/a_n945_n188#" "X4/INB" 1.0625
-cap "X3/INA" "S1B" 10.5291
-cap "X4/XR17/a_n415_n1322#" "X3/INA" 17.086
-cap "X3/INB" "X3/XM4/a_n945_n188#" 1.34518
-cap "X3/XM4/a_543_n100#" "VDD" 3.55952
-cap "X3/XM1/a_639_n100#" "X3/INA" 6.05501
-cap "VDD" "X3/XM1/a_n945_n188#" 0.6254
-cap "X3/XM4/a_543_n100#" "X4/INA" 26.8023
-cap "X4/OUTB" "X4/INA" 11.1455
-cap "X3/XM1/a_n945_n188#" "X3/INA" 1.20184
-cap "X3/INB" "X4/INB" 95.7878
-cap "X4/INA" "X3/XM1/a_n945_n188#" 2.346
-cap "X3/XM4/a_639_n100#" "S1A" 1.25723
-cap "X4/XM3/a_n129_n100#" "X4/INB" 4.44074
-cap "X4/OUTA" "X4/INA" 28.5545
-cap "X3/XM1/a_639_n100#" "GND" 0.0815943
-cap "S1B" "X4/INB" 6.21234
-cap "X4/XR17/a_n415_n1322#" "X4/INB" 12.9759
-cap "X3/XM4/a_n945_n188#" "X3/INA" 1.20184
-cap "X3/INB" "X3/XM4/a_543_n100#" 122.028
-cap "X3/INA" "GND" 14.8041
-cap "X3/XM1/a_639_n100#" "X4/INB" 1.37193
-cap "X3/INB" "X4/OUTB" 13.4478
-cap "X4/INA" "S1A" 7.18216
-cap "BIAS" "X3/XM1/a_639_n100#" 0.0701723
-cap "X3/XM4/a_639_n100#" "X4/INA" 4.33139
-cap "X3/XM4/a_n945_n188#" "S1A" 0.971026
-cap "X3/XM4/a_543_n100#" "X4/XM3/a_n129_n100#" 18.9456
-cap "X3/INA" "X4/INB" 78.8568
-cap "X3/XM4/a_543_n100#" "S1B" 10.7348
-cap "X4/XR17/a_n415_n1322#" "X3/XM4/a_543_n100#" -3.56476
-cap "BIAS" "X3/INA" 8.30456
-cap "X3/INB" "X4/OUTA" 13.7536
-cap "X4/XR17/a_n415_n1322#" "X4/OUTB" 4.20695
-cap "VDD" "X4/INA" 4.00677
-cap "X3/XM1/a_639_n100#" "X3/XM1/a_n945_n188#" -0.0211694
-cap "X4/XR17/a_n415_n1322#" "X4/OUTA" 4.20695
-cap "X3/INB" "S1A" 12.8618
-cap "X4/INA" "X3/XM4/a_n945_n188#" 2.346
-cap "X3/XM4/a_543_n100#" "X3/INA" 76.8614
-cap "X3/INB" "X3/XM4/a_639_n100#" 1.68599
-cap "X3/INA" "X4/OUTB" 13.1801
-cap "X3/INA" "X3/XM1/a_n945_n188#" 0.0698214
-cap "X3/INA" "X4/OUTA" 17.4988
-cap "X3/XM1/a_639_n100#" "S1A" 1.25723
-cap "X3/INB" "X4/INA" 93.87
-cap "X3/XM4/a_543_n100#" "GND" 3.82756
-cap "X3/INB" "X3/XM4/a_n945_n188#" 2.96212
-cap "GND" "X3/XM1/a_n945_n188#" 0.668148
-cap "X4/XM3/a_n129_n100#" "X4/INA" 15.2247
-cap "X3/INA" "S1A" 12.9304
-cap "X4/INA" "S1B" 6.21234
-cap "X4/XR17/a_n415_n1322#" "X4/INA" 24.8889
-cap "X3/XM4/a_543_n100#" "X4/INB" 1.6925
-cap "X3/INA" "X3/XM4/a_639_n100#" 6.47884
-cap "X3/XM1/a_639_n100#" "VDD" 0.0753082
-cap "X4/OUTB" "X4/INB" 23.1327
-cap "BIAS" "X3/XM4/a_543_n100#" 3.33749
-cap "X3/XM1/a_n945_n188#" "X4/INB" 1.0625
-cap "X3/XM1/a_639_n100#" "X4/INA" 4.33139
-cap "X4/OUTA" "X3/INB" 7.82
-cap "X4/OUTA" "X4/INA" 21.7573
-cap "VDD" "X3/INA" 13.6591
-cap "GND" "X3/XM1/a_n945_n188#" 0.668148
-cap "X3/INA" "X4/XM3/a_n323_n274#" 2.49652
-cap "GND" "X3/INA" 14.8041
-cap "X4/INA" "X4/INB" 12.2456
-cap "S1A" "X4/INA" 10.7149
-cap "BIAS" "X4/INA" 43.4796
-cap "X4/INA" "X3/INA" 34.2877
-cap "BIAS" "X3/XM1/a_639_n100#" 0.0701723
-cap "BIAS" "X3/XM4/a_543_n100#" 3.33749
-cap "X4/INA" "S4B" 7.79266
-cap "VDD" "X4/INA" 55.2659
-cap "X4/OUTA" "X3/INA" 8.60502
-cap "VDD" "X3/XM1/a_639_n100#" 0.0753082
-cap "X3/XM4/a_543_n100#" "VDD" 3.55952
-cap "GND" "X4/INA" 78.0839
-cap "X3/INB" "X4/XM3/a_n323_n274#" -0.775284
-cap "X4/INA" "X4/XM3/a_n323_n274#" 1.8317
-cap "GND" "X3/XM1/a_639_n100#" 0.0815943
-cap "X4/INA" "X3/INB" 42.8596
-cap "X3/XM4/a_543_n100#" "GND" 3.82756
-cap "S1B" "X4/INA" 9.52436
-cap "BIAS" "X3/INA" 8.30456
-cap "VDD" "X3/XM1/a_n945_n188#" 0.6254
-cap "X2/XM4/a_n801_n100#" "X2/XM3/a_n323_n274#" 3.933
-cap "X1/INB" "X2/OUTA" 8.24655
-cap "X1/INB" "S3A" 9.78906
-cap "X1/XM3/a_n129_n100#" "S3B" 10.8349
-cap "X1/INB" "X1/XM4/a_n945_n188#" 1.20679
-cap "X2/INA" "X2/OUTB" 17.8971
-cap "X2/INB" "X1/XM4/a_n945_n188#" 1.09015
-cap "X2/INB" "X2/OUTA" 14.1077
-cap "X2/INB" "S3A" 15.8099
-cap "X1/XM3/a_n129_n100#" "X1/INB" 73.9917
-cap "X2/INA" "X1/XM4/a_n897_n100#" 1.37676
-cap "X1/INA" "X1/XM4/a_n945_n188#" 1.35138
-cap "X1/XM3/a_n129_n100#" "X2/INB" 37.5456
-cap "X1/INB" "S3B" 24.0828
-cap "X2/INB" "S3B" 58.5186
-cap "X1/XM3/a_n129_n100#" "X1/INA" 35.1056
-cap "X1/XM3/a_n129_n100#" "X2/XM3/a_n323_n274#" 3.933
-cap "X2/INB" "X1/INB" 237.013
-cap "S3B" "X2/XM4/a_n945_n188#" 0.990709
-cap "X2/INA" "X2/XM4/a_n801_n100#" 6.09325
-cap "S3B" "X2/XM3/a_n323_n274#" 5.48799
-cap "X2/XM4/a_n897_n100#" "S3B" 1.37193
-cap "X1/INB" "X1/INA" 241.551
-cap "X1/INB" "X2/XM3/a_n323_n274#" 2.11422
-cap "X2/INB" "X1/INA" 83.7435
-cap "X2/INB" "X2/XM3/a_n323_n274#" 16.186
-cap "X2/INA" "X1/XM4/a_n945_n188#" 0.994068
-cap "X1/INA" "X2/XM3/a_n323_n274#" -4.95636
-cap "X1/XM3/a_n129_n100#" "X2/OUTB" 7.68612
-cap "X1/XM3/a_n129_n100#" "X2/INA" 14.0035
-cap "S3B" "X2/OUTB" 20.773
-cap "S3B" "X1/XM4/a_n897_n100#" 1.26129
-cap "X1/INB" "X2/OUTB" 24.2898
-cap "X2/INB" "X2/OUTB" 48.753
-cap "X2/INA" "X1/INB" 31.28
-cap "X1/INB" "X1/XM4/a_n897_n100#" 4.39352
-cap "X1/XM3/a_n129_n100#" "X2/XM4/a_n801_n100#" 5.47505
-cap "X2/INA" "X2/INB" 59.4724
-cap "X1/INA" "X2/OUTB" 12.8756
-cap "X2/INB" "X1/XM4/a_n897_n100#" 2.832
-cap "S3B" "X2/XM4/a_n801_n100#" 8.40642
-cap "X2/XM3/a_n323_n274#" "X2/OUTB" 4.20695
-cap "X1/INA" "X1/XM4/a_n897_n100#" 5.08825
-cap "X2/XM4/a_n897_n100#" "X2/INA" 1.25723
-cap "X1/INB" "X2/XM4/a_n801_n100#" 6.50353
-cap "X2/INA" "X2/XM3/a_n323_n274#" 4.45458
-cap "X2/INB" "X2/XM4/a_n801_n100#" 9.80814
-cap "X1/XM3/a_n129_n100#" "X2/OUTA" 3.6198
-cap "X1/XM3/a_n129_n100#" "S3A" 4.09277
-cap "X2/XM4/a_n801_n100#" "X1/INA" 3.47233
-cap "X2/XM1/a_n945_n188#" "X2/INA" 0.971026
-cap "X2/INA" "X1/XM1/a_n945_n188#" 1.06636
-cap "X2/INA" "X2/INB" 58.8688
-cap "X2/INA" "X2/XM1/a_n897_n100#" 1.25723
-cap "X1/XM3/a_n129_n100#" "X2/OUTA" 8.06054
-cap "X2/INB" "X2/OUTA" 12.0272
-cap "X2/INA" "X1/XM4/a_n945_n188#" 1.06636
-cap "X1/INB" "S3A" 0.056259
-cap "X4/OUTB" "X1/XM1/a_n945_n188#" 1.35138
-cap "X2/INA" "X1/INB" 62.7978
-cap "X2/XM4/a_n801_n100#" "S3B" 8.40642
-cap "X4/OUTB" "X1/XM1/a_n897_n100#" 0.146268
-cap "X1/XM3/a_n129_n100#" "S3B" 10.8349
-cap "X1/INB" "X2/OUTA" 10.7585
-cap "X2/XM1/a_n945_n188#" "S3B" 1.0625
-cap "X2/INB" "S3B" 4.53205
-cap "X2/XM1/a_n897_n100#" "S3B" 1.37193
-cap "X1/XM1/a_n945_n188#" "S3B" 0.974252
-cap "X1/XM3/a_n129_n100#" "X2/XM4/a_n801_n100#" 13.4705
-cap "X2/XM4/a_n801_n100#" "X2/INB" 5.36739
-cap "X4/OUTB" "S3A" 9.84532
-cap "X1/XM3/a_n129_n100#" "X2/OUTB" 3.24538
-cap "X2/INA" "X4/XR17/a_n415_n1322#" 35.2618
-cap "X2/INA" "X4/OUTB" 202.196
-cap "S3B" "X1/XM4/a_n945_n188#" 0.974252
-cap "X2/INA" "X2/XM4/a_n945_n188#" 0.971026
-cap "X1/INB" "S3B" 0.138407
-cap "X1/XM3/a_n129_n100#" "X2/INB" 18.0272
-cap "X2/INB" "X1/XM1/a_n945_n188#" 1.17771
-cap "X4/OUTB" "X2/OUTA" 18.1008
-cap "X4/XR17/a_n415_n1322#" "X2/OUTA" 4.20695
-cap "X1/INB" "X2/XM4/a_n801_n100#" 3.6038
-cap "X2/INA" "X1/XM1/a_n945_n188#" 0.994068
-cap "X1/INB" "X2/OUTB" 0.0409424
-cap "X1/XM3/a_n129_n100#" "X1/INB" 22.4571
-cap "X2/INB" "X1/XM4/a_n945_n188#" 1.17771
-cap "X1/INB" "X2/INB" 4.62932
-cap "X2/XM1/a_n945_n188#" "S3B" 0.990709
-cap "X1/INB" "X1/XM1/a_n945_n188#" 1.31502
-cap "X2/INA" "X1/XM1/a_n897_n100#" 1.37676
-cap "X4/OUTB" "S3B" 24.2212
-cap "X4/XR17/a_n415_n1322#" "S3B" 5.47765
-cap "X2/XM4/a_n945_n188#" "S3B" 1.0625
-cap "X4/OUTB" "X2/XM4/a_n801_n100#" 13.0644
-cap "X2/INA" "S3A" 12.0845
-cap "X2/XM4/a_n801_n100#" "X4/XR17/a_n415_n1322#" 3.933
-cap "X1/INB" "X1/XM4/a_n945_n188#" 0.0350673
-cap "X4/OUTB" "X2/OUTB" 7.16492
-cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 4.25114
-cap "X1/XM3/a_n129_n100#" "X4/OUTB" 154.722
-cap "X4/OUTB" "X2/INB" 44.8689
-cap "X2/INB" "X4/XR17/a_n415_n1322#" 3.94113
-cap "X2/INA" "X2/OUTA" 42.712
-cap "X4/OUTB" "X1/XM1/a_n945_n188#" 1.48858
-cap "X1/XM1/a_n897_n100#" "S3B" 1.26129
-cap "X2/INB" "X1/XM1/a_n945_n188#" 1.09015
-cap "X1/INB" "X4/XR17/a_n415_n1322#" 2.67815
-cap "X1/INB" "X4/OUTB" 49.954
-cap "X2/INB" "X1/XM1/a_n897_n100#" 2.832
-cap "X2/INA" "S3B" 54.6886
-cap "X1/INB" "X1/XM1/a_n945_n188#" 1.20679
-cap "X2/INA" "X2/XM4/a_n801_n100#" 10.534
-cap "X2/OUTA" "S3B" 16.0037
-cap "X1/XM3/a_n129_n100#" "S3A" 4.09277
-cap "X2/INA" "X2/OUTB" 9.69505
-cap "X1/INB" "X1/XM1/a_n897_n100#" 4.39352
-cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 1.51849
-cap "X1/XM3/a_n129_n100#" "X2/INA" 33.8187
-cap "X3/INB" "X4/OUTB" 7.82
-cap "X4/XR17/a_n415_n1322#" "X1/XM3/a_n129_n100#" 0.335211
-cap "X4/OUTB" "X3/INA" 11.6401
-cap "S1B" "X4/INB" 9.52436
-cap "X4/OUTB" "X4/XM3/a_n129_n100#" 6.80287
-cap "X3/INB" "X2/INA" 3.04568
-cap "X4/XR17/a_n415_n1322#" "X4/INB" 0.682422
-cap "X4/OUTA" "X4/OUTB" 34.5535
-cap "X4/OUTA" "X3/INA" 3.33854
-cap "X2/INA" "X4/OUTB" 134.303
-cap "X2/INA" "X3/INA" 9.78163
-cap "X2/INA" "X4/XM3/a_n129_n100#" 0.0360825
-cap "X4/OUTA" "X2/INA" 13.4577
-cap "X4/OUTB" "X1/XM3/a_n129_n100#" 0.036129
-cap "X4/OUTB" "X4/INB" 31.3622
-cap "X3/INA" "X4/INB" 4.76934
-cap "X4/OUTB" "S1B" 28.7574
-cap "X4/OUTB" "X4/INA" 9.56524
-cap "S1B" "X3/INA" 3.70949
-cap "X4/OUTA" "X4/INB" 8.57192
-cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 56.4151
-cap "X2/INA" "X4/INB" 21.5596
-cap "X4/XR17/a_n415_n1322#" "X4/XM3/a_n129_n100#" 0.33474
-cap "X2/INA" "X4/INA" 3.72541
-cap "X2/INA" "S1B" 11.2003
-cap "X2/INA" "X4/XR17/a_n415_n1322#" 31.1391
-cap "S1B" "X4/OUTA" 21.2662
-cap "X3/INA" "X4/OUTA" 17.4988
-cap "S1A" "X3/INB" 12.8618
-cap "S1A" "X3/XM1/a_n897_n100#" 1.25723
-cap "S1A" "X3/XM4/a_n945_n188#" 0.971026
-cap "X4/SUB" "X4/INA" 6.09771
-cap "X4/XM4/a_n945_n188#" "S1A" 1.06636
-cap "X1/OUTA" "X4/OUTB" 4.18574
-cap "X3/XM4/a_n897_n100#" "X4/INB" 1.37193
-cap "X4/XM1/a_n945_n188#" "X4/INB" 0.974252
-cap "S1A" "X4/INB" 49.8026
-cap "X4/INB" "X3/XM4/a_n801_n100#" 19.7126
-cap "X4/XM3/a_n129_n100#" "X4/SUB" 8.19775
-cap "S1A" "X4/XM1/a_n897_n100#" 1.37676
-cap "X3/INB" "X4/OUTB" 13.4478
-cap "S1A" "X4/XM4/a_n897_n100#" 1.37676
-cap "X4/XM3/a_n129_n100#" "X4/INA" 113.061
-cap "GND" "X4/OUTA" 4.34262
-cap "X4/XM4/a_n945_n188#" "X4/OUTB" 1.48858
-cap "X4/INB" "X4/OUTB" 474.432
-cap "S1A" "X4/SUB" 7.77206
-cap "X4/SUB" "X3/XM4/a_n801_n100#" 7.86601
-cap "S1B" "X3/INB" 10.4732
-cap "X4/XM1/a_n897_n100#" "X4/OUTB" 4.8756
-cap "X4/XM4/a_n897_n100#" "X4/OUTB" 4.8756
-cap "S1A" "X4/INA" 49.8925
-cap "X4/XM4/a_n945_n188#" "S1B" 1.17771
-cap "X3/XM1/a_n945_n188#" "X4/INB" 1.0625
-cap "X4/INA" "X3/XM4/a_n801_n100#" 2.89974
-cap "S1B" "X4/INB" 60.5856
-cap "X3/INA" "X4/INB" 25.5661
-cap "X4/SUB" "X4/OUTB" -4.03687
-cap "X4/XM3/a_n129_n100#" "S1A" 28.0071
-cap "X4/XM4/a_n945_n188#" "X4/OUTA" 1.20679
-cap "X4/XM3/a_n129_n100#" "X3/XM4/a_n801_n100#" 18.9456
-cap "S1B" "X4/XM1/a_n897_n100#" 2.832
-cap "S1B" "X4/XM4/a_n897_n100#" 2.832
-cap "X4/INA" "X4/OUTB" 328.744
-cap "S1B" "X4/SUB" 5.65693
-cap "X3/XM4/a_n897_n100#" "S1A" 1.25723
-cap "S1A" "X4/XM1/a_n945_n188#" 1.06636
-cap "X4/XM3/a_n129_n100#" "X4/OUTB" 85.9201
-cap "X3/INA" "X4/SUB" 1.00657
-cap "X4/XM1/a_n945_n188#" "X4/OUTA" 1.20679
-cap "S1A" "X3/XM4/a_n801_n100#" 12.1865
-cap "X4/INA" "VDD" 12.4249
-cap "S1B" "X4/INA" 60.7138
-cap "X3/INA" "X4/INA" 17.7924
-cap "X4/OUTA" "BIAS" 3.73253
-cap "X4/XM3/a_n129_n100#" "VDD" 2.39583
-cap "X4/XM3/a_n129_n100#" "S1B" 36.0544
-cap "X3/INA" "X4/XM3/a_n129_n100#" 10.1393
-cap "X4/XM1/a_n945_n188#" "X4/OUTB" 1.48858
-cap "S1A" "X4/OUTB" 17.1795
-cap "X3/XM4/a_n801_n100#" "X4/OUTB" 10.9058
-cap "S1A" "X3/XM1/a_n945_n188#" 0.971026
-cap "GND" "X4/INA" 13.4564
-cap "S1B" "X4/XM1/a_n945_n188#" 1.17771
-cap "X3/INA" "S1A" 12.9304
-cap "S1B" "X3/XM4/a_n801_n100#" 10.7348
-cap "X4/XM3/a_n129_n100#" "GND" 2.57624
-cap "X3/INB" "X4/OUTA" 13.7536
-cap "S1B" "X4/OUTB" 21.2662
-cap "X3/INA" "X4/OUTB" 13.1801
-cap "X4/XM4/a_n945_n188#" "X4/OUTA" 1.31502
-cap "X4/INB" "X4/OUTA" 108.302
-cap "X4/XM1/a_n897_n100#" "X4/OUTA" 4.39352
-cap "X4/OUTA" "X4/XM4/a_n897_n100#" 4.39352
-cap "X3/INA" "S1B" 10.5291
-cap "X4/SUB" "X4/OUTA" -33.4648
-cap "X4/INB" "X1/OUTA" 2.45799
-cap "X4/INA" "X4/OUTA" 245.665
-cap "X4/INA" "BIAS" 7.16173
-cap "S1A" "X4/XM4/a_n945_n188#" 0.994068
-cap "X3/XM4/a_n945_n188#" "X4/INB" 0.990709
-cap "X3/INB" "X4/INB" 33.1955
-cap "X4/XM3/a_n129_n100#" "X4/OUTA" 61.2963
-cap "X4/XM3/a_n129_n100#" "BIAS" 2.24639
-cap "X3/XM1/a_n897_n100#" "X4/INB" 1.37193
-cap "X3/XM4/a_n945_n188#" "X4/INB" 1.0625
-cap "X4/XM4/a_n945_n188#" "X4/INB" 0.974252
-cap "X4/SUB" "X1/OUTA" 4.48526
-cap "S1A" "X4/XM1/a_n945_n188#" 0.994068
-cap "X4/XM4/a_n945_n188#" "X4/OUTB" 1.35138
-cap "X4/XM1/a_n897_n100#" "X4/INB" 1.26129
-cap "S1A" "X4/OUTA" 17.1795
-cap "X4/XM1/a_n945_n188#" "X4/OUTA" 1.31502
-cap "X3/XM4/a_n801_n100#" "X4/OUTA" 11.6483
-cap "X4/SUB" "X3/INB" 1.00657
-cap "X4/INB" "X4/XM4/a_n897_n100#" 4.00275
-cap "S1B" "X4/XM4/a_n945_n188#" 1.09015
-cap "X4/XM3/a_n129_n100#" "X1/OUTA" 0.0360825
-cap "X3/INB" "X4/INA" 4.09424
-cap "X4/XM1/a_n945_n188#" "X4/OUTB" 1.35138
-cap "X4/SUB" "X4/INB" 20.2466
-cap "X4/OUTA" "X4/OUTB" 71.7314
-cap "X4/XM3/a_n129_n100#" "X3/INB" 16.5393
-cap "X4/INA" "X4/INB" 51.6793
-cap "X4/XM1/a_n897_n100#" "X4/INA" 2.74146
-cap "S1B" "X4/XM1/a_n945_n188#" 1.09015
-cap "VDD" "X4/OUTA" 4.00677
-cap "X3/XM1/a_n945_n188#" "X4/INB" 0.990709
-cap "X4/XM3/a_n129_n100#" "X4/INB" 77.6219
-cap "X3/INB" "X4/OUTA" 7.82
-cap "X3/INA" "X4/OUTA" 8.60502
-cap "X4/OUTA" "X4/SUB" 6.92315
-cap "BIAS" "X4/XM3/a_n129_n100#" 2.24639
-cap "BIAS" "X4/OUTA" 25.4548
-cap "X4/INB" "X4/SUB" 2.00969
-cap "X4/OUTA" "X4/INA" 21.7573
-cap "BIAS" "X4/INA" 7.16173
-cap "X4/INB" "X4/OUTA" 21.5333
-cap "S1B" "X4/SUB" 1.39259
-cap "X4/INB" "X4/INA" 12.2456
-cap "GND" "X4/XM3/a_n129_n100#" 2.57624
-cap "S1B" "X4/OUTA" 28.7574
-cap "S1B" "X4/INA" 9.52436
-cap "X4/OUTA" "GND" 44.6431
-cap "X4/XM3/a_n129_n100#" "VDD" 2.39583
-cap "X4/INA" "GND" 13.4564
-cap "S1A" "X4/SUB" 1.71509
-cap "X4/SUB" "S4B" -0.961096
-cap "X4/OUTA" "VDD" 32.0205
-cap "S1A" "X4/OUTA" 24.6265
-cap "X4/OUTA" "S4B" 37.1245
-cap "X4/INA" "VDD" 12.4249
-cap "S1A" "X4/INA" 10.7149
-cap "X4/INA" "S4B" 7.79266
-cap "X1/XM3/a_n129_n100#" "X1/INA" 2.51156
-cap "X1/XM4/a_n945_n188#" "X1/XM4/a_n897_n100#" 10.9126
-cap "X1/XM4/a_n945_n188#" "X1/INA" 1.35138
-cap "X1/XM3/a_n129_n100#" "X1/OUTB" 4.50513
-cap "X1/XM3/a_n129_n100#" "S3B" 1.41569
-cap "X1/XM3/a_n129_n100#" "X1/OUTA" 2.90561
-cap "X1/XM4/a_n945_n188#" "X1/OUTB" 1.09015
-cap "X1/XM4/a_n945_n188#" "X1/OUTA" 0.994068
-cap "X1/XM3/a_n129_n100#" "X1/INB" 4.98631
-cap "X1/XM4/a_n897_n100#" "X1/XM3/a_n323_n274#" 1.56069
-cap "X1/XM4/a_n945_n188#" "X1/INB" 1.20679
-cap "X1/XM4/a_n945_n188#" "X1/XM3/a_n129_n100#" 5.37602
-cap "X1/XM3/a_n129_n100#" "S3A" 1.29921
-cap "X1/XM4/a_n897_n100#" "X1/INA" 5.08825
-cap "X1/OUTB" "X1/XM4/a_n897_n100#" 2.832
-cap "X1/XM4/a_n897_n100#" "S3B" 1.26129
-cap "X1/XM4/a_n897_n100#" "X1/OUTA" 1.37676
-cap "X1/XM4/a_n897_n100#" "X1/INB" 4.39352
-cap "X1/XM1/a_n945_n188#" "X1/OUTA" 2.06043
-cap "X1/OUTB" "X1/XM4/a_n945_n188#" 1.17771
-cap "X1/XM1/a_n945_n188#" "X4/OUTB" 2.83996
-cap "X1/OUTA" "X1/XM4/a_n945_n188#" 1.06636
-cap "X1/XM3/a_n129_n100#" "X4/GND" 2.00168
-cap "X4/OUTB" "X1/OUTA" 5.13104
-cap "X1/XM1/a_n945_n188#" "X4/XR17/a_n415_n1322#" 4.10059
-cap "X1/XM1/a_n945_n188#" "S3B" 0.974252
-cap "X1/XM3/a_n129_n100#" "X1/INB" 4.98631
-cap "X4/GND" "X1/INB" 4.39352
-cap "X1/OUTA" "X4/XR17/a_n415_n1322#" 6.88414
-cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 2.9111
-cap "S3B" "X1/XM4/a_n945_n188#" 0.974252
-cap "X1/XM3/a_n129_n100#" "X1/OUTB" 4.50513
-cap "S3A" "X1/XM3/a_n129_n100#" 1.29921
-cap "X4/GND" "X1/OUTB" 2.832
-cap "X1/XM1/a_n945_n188#" "X1/XM3/a_n129_n100#" 5.53992
-cap "X1/XM1/a_n945_n188#" "X4/GND" 11.017
-cap "X1/XM3/a_n129_n100#" "X1/OUTA" 2.90561
-cap "X1/XM3/a_n129_n100#" "X4/OUTB" 6.93983
-cap "X4/GND" "X1/OUTA" 1.74004
-cap "X1/XM1/a_n945_n188#" "X1/INB" 2.52181
-cap "X4/GND" "X4/OUTB" 0.570739
-cap "X1/XM3/a_n129_n100#" "X1/XM4/a_n945_n188#" 4.07932
-cap "X1/XM1/a_n945_n188#" "X1/OUTB" 2.26786
-cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 2.34648
-cap "X4/GND" "X4/XR17/a_n415_n1322#" 18.709
-cap "X1/INB" "X1/XM4/a_n945_n188#" 0.0350673
-cap "X1/XM3/a_n129_n100#" "S3B" 1.41569
-cap "X4/GND" "S3B" 1.26129
-cap "X4/GND" "X4/OUTB" 0.424471
-cap "X4/OUTB" "X1/OUTA" 63.8314
-cap "X4/XR17/a_n415_n1322#" "X4/OUTB" 23.9876
-cap "X1/XM1/a_n945_n188#" "X4/XR17/a_n415_n1322#" 4.10059
-cap "X4/GND" "X1/OUTA" 0.423831
-cap "X4/XR17/a_n415_n1322#" "X4/XM3/a_n129_n100#" 2.34341
-cap "X4/XR17/a_n415_n1322#" "X1/XM3/a_n129_n100#" 2.34648
-cap "X4/XM4/a_n945_n188#" "X4/XR17/a_n415_n1322#" 4.09504
-cap "X4/XR17/a_n415_n1322#" "X4/GND" 4.01994
-cap "X4/XR17/a_n415_n1322#" "X1/OUTA" 23.9866
-cap "X4/INB" "X4/XM1/a_n945_n188#" 0.974252
-cap "X4/INB" "X4/XM3/a_n129_n100#" 2.83138
-cap "X4/XM1/a_n945_n188#" "X4/OUTA" 2.52181
-cap "X4/XM4/a_n945_n188#" "X4/OUTB" 2.83996
-cap "X4/XM3/a_n129_n100#" "X4/XM1/a_n945_n188#" 202.571
-cap "X4/XM3/a_n129_n100#" "X4/OUTA" 9.97262
-cap "X1/OUTA" "X4/SUB" 2.91012
-cap "X1/OUTA" "X4/GND" 0.423831
-cap "X4/XM1/a_n945_n188#" "S1B" 2.26786
-cap "S1A" "X4/XM1/a_n945_n188#" 2.06043
-cap "X4/XM3/a_n129_n100#" "S1B" 9.01026
-cap "X4/OUTB" "X4/GND" 9.7512
-cap "X4/XM4/a_n945_n188#" "X4/SUB" 4.09504
-cap "X4/XM3/a_n129_n100#" "X4/INA" 2.59842
-cap "X4/XM3/a_n129_n100#" "S1A" 5.81121
-cap "X4/XM4/a_n945_n188#" "X4/GND" 10.7265
-cap "X4/VDD" "X4/GND" 7.60612
-cap "BIAS" "X4/GND" 7.0874
-cap "X4/SUB" "X4/GND" 4.82536
-cap "X4/XM4/a_n945_n188#" "X4/INB" 0.974252
-cap "X4/OUTB" "X4/XM1/a_n945_n188#" 2.83996
-cap "X4/XM4/a_n945_n188#" "X4/OUTA" 2.52181
-cap "X4/VDD" "X4/XM1/a_n945_n188#" 32.0977
-cap "X4/OUTB" "X4/XM3/a_n129_n100#" 13.8797
-cap "X4/XM4/a_n945_n188#" "X4/XM3/a_n129_n100#" 9.67531
-cap "X4/INB" "X4/GND" 5.26404
-cap "BIAS" "X4/XM1/a_n945_n188#" 16.2282
-cap "X4/VDD" "X4/XM3/a_n129_n100#" 7.80357
-cap "X4/XM4/a_n945_n188#" "S1B" 2.26786
-cap "BIAS" "X4/XM3/a_n129_n100#" 7.31681
-cap "X4/XM4/a_n945_n188#" "S1A" 2.06043
-cap "X4/GND" "X4/OUTA" 8.78704
-cap "X4/XM1/a_n945_n188#" "X4/GND" 52.4029
-cap "X4/XM3/a_n129_n100#" "X4/GND" 8.39119
-cap "X4/SUB" "X4/XM3/a_n129_n100#" 2.34341
-cap "X4/GND" "S1B" 5.664
-cap "X4/GND" "X4/INA" 2.74146
-cap "S1A" "X4/GND" 2.75352
-cap "BIAS" "X4/GND" 7.0874
-cap "X4/VDD" "X4/XM3/a_n129_n100#" 7.80357
-cap "X4/VDD" "X4/OUTA" 23.2454
-cap "BIAS" "X4/XM1/a_n945_n188#" 16.2282
-cap "X4/XM3/a_n129_n100#" "X4/GND" 8.39119
-cap "X4/VDD" "X4/GND" 7.60612
-cap "X4/OUTA" "X4/GND" 33.4408
-cap "X4/VDD" "X4/XM1/a_n945_n188#" 32.0977
-cap "X4/XM3/a_n129_n100#" "BIAS" 7.31681
-cap "X4/OUTA" "BIAS" 18.0248
-cap "X4/XM1/a_n945_n188#" "X4/GND" 34.7046
-cap "X4/SUB" "X4/GND" 0.032408
-cap "X1/BIAS" "X4/XR17/a_n415_n1322#" 7.16478
-cap "X4/GND" "X4/XR17/a_n415_n1322#" 13.5455
-cap "X1/XM4/a_n989_n100#" "X4/VDD" 2.16497
-cap "X1/BIAS" "X4/GND" 91.7387
-cap "X1/XM4/a_n989_n100#" "X4/XR17/a_n415_n1322#" 1.34085
-cap "X4/XR17/a_n415_n1322#" "X4/VDD" 51.8367
-cap "X1/XM4/a_n989_n100#" "X4/GND" 5.50886
-cap "X1/BIAS" "X4/VDD" 36.9065
-cap "X4/GND" "X4/VDD" 34.033
-cap "X4/VDD" "X4/BIAS" 43.7832
-cap "X4/VDD" "X4/XM4/a_n989_n100#" 2.16397
-cap "X4/VDD" "X1/XM4/a_n989_n100#" 2.16497
-cap "X4/VDD" "X4/GND" 901.149
-cap "X4/VDD" "X4/XR17/a_n415_n1322#" 115.764
-cap "X4/BIAS" "X4/XR17/a_n415_n1322#" 5.46342
-cap "X4/XR17/a_n415_n1322#" "X4/XM4/a_n989_n100#" 1.33896
-cap "X1/XM4/a_n989_n100#" "X4/XR17/a_n415_n1322#" 1.34085
-cap "X4/GND" "X4/XR17/a_n415_n1322#" -77.2189
-cap "X4/BIAS" "X4/VDD" 89.2917
-cap "X4/SUB" "X4/XM4/a_n989_n100#" 1.33896
-cap "X4/BIAS" "X4/SUB" 7.5143
-cap "X4/GND" "X4/XM4/a_n989_n100#" 5.37331
-cap "X4/SUB" "X4/VDD" 9.26947
-cap "X4/BIAS" "X4/GND" 150.57
-cap "X4/GND" "X4/VDD" 85.0809
-cap "X4/SUB" "X4/GND" 554.976
-cap "X4/BIAS" "X4/XM4/a_n989_n100#" 76.4442
-cap "X4/VDD" "X4/XM4/a_n989_n100#" 7.161
-cap "X4/XM4/a_n989_n100#" "X4/GND" 5.37331
-cap "X4/VDD" "X4/GND" 79.9258
-cap "X4/XM4/a_n989_n100#" "X4/VDD" 4.99702
-cap "X4/GND" "X4/BIAS" 37.5488
-cap "X4/GND" "X4/SUB" 1745.28
-cap "X4/XM4/a_n989_n100#" "X4/BIAS" 4.68533
-cap "X4/VDD" "X4/BIAS" 140.867
-cap "X4/VDD" "X4/SUB" -4.69306
-cap "X4/VDD" "X1/BIAS" 14.4104
-cap "X4/VDD" "X4/GND" -51.313
-cap "X4/VDD" "X4/BIAS" 723.857
-cap "X4/VDD" "X4/BIAS" 13.6404
-cap "X4/BIAS" "X4/VDD" 16.9313
+cap "SUB" "S4B" 6.93765
+cap "S4A" "GND" 38.1928
+cap "S2B" "S1A" 153.399
+cap "m2_12350_3425#" "m3_12355_3530#" 78.8393
+cap "S2B" "S2A" 3677.01
+cap "m2_12280_12036#" "m3_12355_11705#" 37.7234
+cap "S1B" "li_12290_6840#" 1.99103
+cap "S4A" "S4B" 3662.27
+cap "SUB" "S2B" 1.029
+cap "S1B" "S3A" 151.115
+cap "li_12290_4230#" "m3_12355_3530#" 6.22828
+cap "S4A" "S2B" 16.9341
+cap "VDD" "BIAS" 2334.02
+cap "li_14750_7140#" "li_13575_7140#" 27.5172
+cap "S1B" "li_11345_7140#" 32.7182
+cap "S3B" "S1A" 3814.58
+cap "S3A" "GND" 38.3697
+cap "S3B" "S2A" 106.065
+cap "S4B" "li_12290_6840#" 1.00929
+cap "SUB" "S3B" 2.45362
+cap "S3A" "S4B" 131.338
+cap "S2B" "li_12290_6840#" 3.76319
+cap "S4B" "li_11345_7140#" 26.6532
+cap "S4A" "S3B" 85.0401
+cap "S2B" "S3A" 93.2236
+cap "S1A" "li_10175_7140#" 30.7637
+cap "S2B" "li_11345_7140#" 24.9361
+cap "li_10175_7140#" "S2A" 22.7765
+cap "S3B" "li_12290_6840#" 2.84374
+cap "S3B" "S3A" 3875.68
+cap "S4A" "li_10175_7140#" 25.1494
+cap "VDD" "GND" 2025.59
+cap "S3B" "li_11345_7140#" 24.6522
+cap "S1A" "S2A" 94.8938
+cap "li_12290_4230#" "m2_12350_3425#" 3.75682
+cap "m2_12280_12036#" "li_12290_11270#" 4.45719
+cap "BIAS" "li_14750_7140#" 2.94459
+cap "SUB" "S1A" 2.85849
+cap "S1B" "li_13575_7140#" 24.6709
+cap "SUB" "S2A" 1.53137
+cap "S3A" "li_10175_7140#" 22.7559
+cap "VDD" "S2B" 0.0636205
+cap "li_10175_7140#" "li_11345_7140#" 27.636
+cap "S4A" "S1A" 88.5295
+cap "S4A" "S2A" 6.25884
+cap "SUB" "S4A" 3.52291
+cap "S4B" "li_13575_7140#" 26.877
+cap "S1A" "li_12290_6840#" 2.4372
+cap "li_12290_6840#" "S2A" 6.6825
+cap "S2B" "li_13575_7140#" 25.9373
+cap "S3A" "S1A" 156.268
+cap "S3A" "S2A" 3735.3
+cap "S1A" "li_11345_7140#" 42.4994
+cap "m3_12350_11295#" "m3_12355_11705#" 245.368
+cap "li_14750_7140#" "S1B" 22.6572
+cap "li_11345_7140#" "S2A" 28.1721
+cap "SUB" "S3A" 2.00883
+cap "S4A" "li_12290_6840#" 1.51246
+cap "li_14750_7140#" "GND" 6.63481
+cap "S3B" "li_13575_7140#" 42.7215
+cap "S4A" "S3A" 177.848
+cap "m2_12350_3425#" "m3_12355_4075#" 35.2988
+cap "li_14750_7140#" "S4B" 22.6337
+cap "S4A" "li_11345_7140#" 26.4448
+cap "BIAS" "m2_12280_12036#" 2.68116
+cap "S2B" "li_14750_7140#" 24.34
+cap "m3_12355_3530#" "m3_12355_3940#" 455.684
+cap "S3A" "li_12290_6840#" 3.20401
+cap "li_12290_4230#" "m3_12355_4075#" 7.75305
+cap "BIAS" "GND" 24.5428
+cap "S3A" "li_11345_7140#" 24.6475
+cap "S3B" "li_14750_7140#" 30.5791
+cap "BIAS" "S2B" 0.0270081
+cap "VDD" "S4A" 8.65567
+cap "S1A" "li_13575_7140#" 24.6757
+cap "li_13575_7140#" "S2A" 27.1261
+cap "S1B" "GND" 22
+cap "m3_12350_11295#" "li_12290_11270#" 10.9803
+cap "VDD" "S3A" 8.39321
+cap "S4A" "li_13575_7140#" 25.5166
+cap "S1B" "S4B" 112.495
+cap "m2_12350_3425#" "m3_12355_3940#" 17.7237
+cap "GND" "S4B" 22
+cap "S2B" "S1B" 172.505
+cap "m3_12355_11705#" "li_12290_11270#" 6.22828
+cap "S2B" "GND" 22.1389
+cap "li_14750_7140#" "S1A" 22.6621
+cap "li_14750_7140#" "S2A" 24.5738
+cap "li_12290_4230#" "m3_12355_3940#" 1.63592
+cap "S3A" "li_13575_7140#" 32.6518
+cap "S2B" "S4B" 25.0801
+cap "S3B" "S1B" 156.644
+cap "S4A" "li_14750_7140#" 25.5795
+cap "S3B" "GND" 22
+cap "S3B" "S4B" 167.631
+cap "S1B" "li_10175_7140#" 40.5077
+cap "S3B" "S2B" 98.0143
+cap "li_14750_7140#" "S3A" 38.8814
+cap "BIAS" "S4A" 7.33091
+cap "S4B" "li_10175_7140#" 24.505
+cap "m3_12355_4075#" "m3_12355_3940#" 577.2
+cap "S2B" "li_10175_7140#" 25.0083
+cap "S1B" "S1A" 3892.75
+cap "S1B" "S2A" 79.2004
+cap "BIAS" "S3A" 6.34238
+cap "S1A" "GND" 22
+cap "m2_12280_12036#" "m3_12350_11295#" 67.8236
+cap "SUB" "S1B" 3.21686
+cap "GND" "S2A" 24.623
+cap "S1A" "S4B" 104.946
+cap "S4B" "S2A" 17.2049
+cap "S4A" "S1B" 3736.45
+cap "S3B" "li_10175_7140#" 22.7606
+cap "VDD" "li_14750_7140#" 3.75143
+cap "X2/BIAS" "X3/GND" 3.65713
+cap "X3/XR17/a_n415_n1322#" "X2/BIAS" 4.22174
+cap "X2/XM4/a_927_n100#" "X3/GND" 0.00179881
+cap "X2/XM4/a_927_n100#" "X3/XR17/a_n415_n1322#" 0.0801329
+cap "X3/VDD" "X2/BIAS" 6.16667
+cap "X3/VDD" "X2/XM4/a_927_n100#" 0.0105611
+cap "X3/XR17/a_n415_n1322#" "X3/GND" 6.12827
+cap "X3/VDD" "X3/GND" 18.2638
+cap "X3/VDD" "X3/XR17/a_n415_n1322#" 2.3926
+cap "X3/VDD" "X3/XR17/a_n415_n1322#" 71.8311
+cap "X3/VDD" "X3/XM4/a_927_n100#" 0.0972545
+cap "X3/BIAS" "X3/VDD" 450.073
+cap "X3/GND" "X3/VDD" 715.044
+cap "X3/XR17/a_n415_n1322#" "X3/XM4/a_927_n100#" 0.327247
+cap "X2/XM4/a_927_n100#" "X3/VDD" 0.0977186
+cap "X3/BIAS" "X3/XR17/a_n415_n1322#" 5.13037
+cap "X3/GND" "X3/XR17/a_n415_n1322#" 32.1451
+cap "X2/XM4/a_927_n100#" "X3/XR17/a_n415_n1322#" 0.336664
+cap "X3/BIAS" "X3/GND" -40.1697
+cap "X3/GND" "X3/VDD" -24.5139
+cap "X3/GND" "X3/BIAS" 45.9061
+cap "X3/VDD" "X3/XM4/a_927_n100#" 0.17319
+cap "X3/BIAS" "X3/XM4/a_927_n100#" 0.0583205
+cap "X3/VDD" "X3/SUB" 2.4103
+cap "X3/BIAS" "X3/SUB" 15.1236
+cap "X3/GND" "X3/XM4/a_927_n100#" 0.278411
+cap "X3/GND" "X3/SUB" 17.1783
+cap "X3/BIAS" "X3/VDD" 20.413
+cap "X3/XM4/a_927_n100#" "X3/SUB" 0.0771283
+cap "X3/SUB" "X3/GND" 13.4544
+cap "X3/BIAS" "X3/XM4/a_927_n100#" 0.0638164
+cap "X3/GND" "X3/XM4/a_927_n100#" 2.37825
+cap "X3/SUB" "X3/BIAS" 7.86354
+cap "X3/GND" "X3/BIAS" 25.367
+cap "X3/SUB" "X3/VDD" -1.65292
+cap "X3/VDD" "X3/XM4/a_927_n100#" 0.189509
+cap "X3/VDD" "X3/GND" 120.629
+cap "X3/VDD" "X3/BIAS" 55.2987
+cap "X2/XM4/a_831_n100#" "X2/OUTB" 0.253579
+cap "X2/XM4/a_927_n100#" "X2/OUTB" 0.197865
+cap "X2/BIAS" "X2/OUTB" 0.598008
+cap "X2/XM4/a_927_n100#" "X2/INB" 4.44089e-16
+cap "X2/XM4/a_831_n100#" "X2/INA" 0.000584467
+cap "X2/OUTA" "X2/XM4/a_831_n100#" 0.149068
+cap "X2/INB" "X2/BIAS" 2.38968
+cap "X2/OUTA" "X2/XM4/a_927_n100#" 0.110319
+cap "S3A" "X2/XM4/a_831_n100#" 0.0736751
+cap "S3A" "X2/XM4/a_927_n100#" 0.0447436
+cap "S3B" "X2/XM4/a_831_n100#" 0.0262961
+cap "X2/OUTA" "X2/BIAS" 0.405785
+cap "S3B" "X2/XM4/a_927_n100#" 0.00569452
+cap "S3A" "X2/BIAS" 0.230289
+cap "S3B" "X2/BIAS" 0.0786701
+cap "S3B" "X2/BIAS" 0.0800869
+cap "S3B" "X3/GND" 0.0262961
+cap "X2/XM4/a_927_n100#" "S3A" 0.0447436
+cap "X2/XM4/a_927_n100#" "X3/XR17/a_n415_n1322#" 0.186617
+cap "X2/OUTB" "X3/GND" 0.253579
+cap "X2/OUTB" "X2/BIAS" 0.856495
+cap "X2/XM4/a_927_n100#" "X3/GND" 0.000942448
+cap "S3A" "X2/BIAS" 0.28095
+cap "S3A" "X3/GND" 0.0736751
+cap "X3/XR17/a_n415_n1322#" "X2/BIAS" 11.6281
+cap "X3/XR17/a_n415_n1322#" "X3/GND" 8.06571
+cap "X2/INB" "X2/BIAS" 0.00033956
+cap "X2/INA" "X2/XM4/a_927_n100#" 4.44089e-16
+cap "X3/GND" "X2/BIAS" 0.211778
+cap "X2/XM4/a_927_n100#" "X2/OUTA" 0.110319
+cap "X2/XM4/a_927_n100#" "S3B" 0.00569452
+cap "X2/INA" "X2/BIAS" 2.37585
+cap "X2/INA" "X3/GND" 0.000584467
+cap "X2/XM4/a_927_n100#" "X2/OUTB" 0.197865
+cap "X3/GND" "X2/OUTA" 0.149068
+cap "X2/OUTA" "X2/BIAS" 0.539774
+cap "X3/XM3/a_n323_n274#" "X3/GND" 6.70499
+cap "X3/XM3/a_n323_n274#" "X3/XM4/a_927_n100#" 0.781579
+cap "X3/XM3/a_n323_n274#" "X3/BIAS" 5.90486
+cap "X3/XM3/a_n323_n274#" "X2/XM4/a_927_n100#" 0.802401
+cap "X3/INB" "X3/GND" 0.598004
+cap "X3/BIAS" "X3/SUB" 5.50462
+cap "X3/INB" "X3/BIAS" 1.40258
+cap "X3/GND" "X3/XM4/a_927_n100#" 0.670432
+cap "X3/BIAS" "X3/XM4/a_927_n100#" 0.139966
+cap "X3/GND" "X3/BIAS" 36.8272
+cap "X3/GND" "S1A" 0.00116893
+cap "X3/INA" "X3/XM4/a_927_n100#" 0.220638
+cap "X3/OUTA" "X3/XM4/a_927_n100#" 0.0894873
+cap "X3/VDD" "X3/XM4/a_927_n100#" 0.398327
+cap "X3/GND" "X3/INA" 0.298135
+cap "X3/GND" "X3/OUTA" 0.14735
+cap "X3/VDD" "X3/GND" 0.329818
+cap "X3/OUTB" "X3/XM4/a_927_n100#" 0.011389
+cap "X3/BIAS" "X3/INA" 1.12725
+cap "X3/OUTA" "X3/BIAS" 3.25157
+cap "X3/OUTB" "X3/GND" 0.0525921
+cap "X3/VDD" "X3/BIAS" 7.99386
+cap "X3/SUB" "X3/XM4/a_927_n100#" 0.180023
+cap "X3/INB" "X3/XM4/a_927_n100#" 0.638884
+cap "X3/OUTB" "X3/BIAS" 2.8249
+cap "X3/GND" "X3/SUB" 7.938
+cap "X3/SUB" "X3/BIAS" 13.5639
+cap "X3/BIAS" "X3/VDD" 0.585961
+cap "X3/SUB" "X3/VDD" 14.7366
+cap "X3/XM4/a_927_n100#" "X3/GND" 21.7754
+cap "X3/XM4/a_927_n100#" "X3/BIAS" 0.152721
+cap "X3/BIAS" "X3/GND" 38.0586
+cap "X3/XM4/a_927_n100#" "X3/VDD" 0.459924
+cap "X3/SUB" "X3/GND" 51.8475
+cap "X3/GND" "X3/VDD" 15.7931
+cap "X2/XM3/a_n323_n274#" "X1/XM3/a_n129_n100#" 1.32304
+cap "X2/OUTB" "X2/INB" 250.989
+cap "S3B" "X2/INB" 2.09381
+cap "X2/OUTB" "X2/XM4/a_n945_n188#" 0.24611
+cap "X2/OUTB" "X2/XM4/a_543_n100#" 9.64926
+cap "S3B" "X2/XM4/a_543_n100#" 3.05542
+cap "X2/OUTB" "X2/OUTA" 50.4238
+cap "X2/INA" "X2/INB" 4.35533
+cap "X2/OUTB" "S3A" 44.2969
+cap "X2/OUTB" "X2/XM3/a_n323_n274#" 32.9068
+cap "X2/INA" "X2/XM4/a_543_n100#" 2.54356
+cap "X2/XM3/a_n323_n274#" "S3B" 10.3899
+cap "X1/INB" "X2/INB" 2.08578
+cap "X1/INB" "X2/XM4/a_543_n100#" 2.45361
+cap "X2/OUTB" "X2/XM4/a_639_n100#" 2.01028
+cap "X2/XM3/a_n323_n274#" "X2/INA" 9.66973
+cap "X2/OUTB" "X2/XM4/a_n945_n188#" 0.214235
+cap "X2/OUTA" "X1/INB" 0.162822
+cap "X2/XM4/a_639_n100#" "S3B" 0.366925
+cap "X2/XM4/a_n945_n188#" "S3B" 0.0379357
+cap "X2/OUTB" "X1/INA" 12.3024
+cap "X2/XM4/a_639_n100#" "X2/INA" 0.158223
+cap "X2/XM3/a_n323_n274#" "X1/INB" 8.19718
+cap "X2/INA" "X2/XM4/a_n945_n188#" 0.00547022
+cap "X2/XM4/a_543_n100#" "X2/INB" 2.23823
+cap "X2/OUTB" "X1/XM3/a_n129_n100#" 1.20923
+cap "X2/XM4/a_n945_n188#" "X2/INB" 0.487284
+cap "X2/OUTA" "X2/INB" 4.36351
+cap "X2/OUTA" "X2/XM4/a_n945_n188#" 0.0860055
+cap "X2/OUTA" "X2/XM4/a_543_n100#" 4.94899
+cap "S3A" "X2/INB" 2.67154
+cap "X2/XM3/a_n323_n274#" "X2/INB" 21.6179
+cap "S3A" "X2/XM4/a_543_n100#" 4.35292
+cap "X2/XM3/a_n323_n274#" "X2/XM4/a_543_n100#" 0.270462
+cap "S3A" "X2/XM4/a_n945_n188#" 0.0401249
+cap "X2/XM3/a_n323_n274#" "X2/OUTA" 14.5065
+cap "X2/XM4/a_n945_n188#" "X2/INB" 0.00143217
+cap "X2/XM4/a_639_n100#" "X2/INB" 0.192297
+cap "X2/XM3/a_n323_n274#" "S3A" 12.8033
+cap "X2/OUTB" "S3B" 34.5776
+cap "X2/OUTA" "X2/XM4/a_639_n100#" 1.17515
+cap "X2/OUTA" "X2/XM4/a_n945_n188#" 0.135564
+cap "X1/INA" "X2/INB" 0.936785
+cap "S3A" "X2/XM4/a_639_n100#" 0.80537
+cap "X1/INA" "X2/XM4/a_543_n100#" 3.45661
+cap "X2/OUTB" "X2/INA" 34.8251
+cap "X2/XM3/a_n323_n274#" "X2/XM4/a_639_n100#" 0.280359
+cap "S3A" "X2/XM4/a_n945_n188#" 0.0898909
+cap "X2/INB" "X1/XM3/a_n129_n100#" 0.167311
+cap "X2/XM4/a_543_n100#" "X1/XM3/a_n129_n100#" 0.315546
+cap "X2/OUTA" "X1/XM3/a_n129_n100#" 0.295003
+cap "X2/OUTB" "X1/INB" 22.6167
+cap "X2/XM3/a_n323_n274#" "X1/INA" 4.16993
+cap "S3A" "X2/OUTA" 36.338
+cap "X2/INA" "X2/XM4/a_543_n100#" 13.1025
+cap "X1/INB" "X2/OUTB" 0.0852592
+cap "X2/XM4/a_n945_n188#" "X2/INB" 0.00194234
+cap "X2/XM1/a_639_n100#" "X2/OUTA" 1.17515
+cap "X2/OUTB" "X4/XR17/a_n415_n1322#" 52.4416
+cap "X2/OUTB" "X2/XM1/a_n945_n188#" 4.21958
+cap "X4/XR17/a_n415_n1322#" "S3B" 13.1053
+cap "X2/XM1/a_n945_n188#" "S3B" 0.273349
+cap "X2/XM4/a_n945_n188#" "X2/INA" 0.0543891
+cap "X4/XR17/a_n415_n1322#" "S3A" 16.2654
+cap "X2/XM1/a_n945_n188#" "S3A" 0.559548
+cap "X4/OUTB" "X2/OUTB" 0.194605
+cap "X2/OUTB" "X2/XM4/a_n945_n188#" 0.00718572
+cap "X1/INB" "X2/OUTA" 15.7088
+cap "X2/OUTB" "X2/XM1/a_n945_n188#" 2.45795
+cap "X1/XM3/a_n129_n100#" "X2/OUTB" 0.480996
+cap "X2/XM1/a_639_n100#" "X4/XR17/a_n415_n1322#" 0.799479
+cap "X2/XM1/a_n945_n188#" "X2/OUTA" 0.820113
+cap "X2/OUTB" "X2/INB" 54.6392
+cap "X4/XR17/a_n415_n1322#" "X2/OUTA" 95.3403
+cap "X2/INB" "S3B" 2.24457
+cap "X3/OUTB" "X2/XM1/a_639_n100#" 0.141536
+cap "X2/XM1/a_n945_n188#" "S3A" 0.0402472
+cap "X2/XM4/a_n945_n188#" "S3A" 0.000122387
+cap "X2/OUTB" "X2/XM4/a_543_n100#" 73.2407
+cap "X2/XM4/a_543_n100#" "S3B" 14.478
+cap "X2/OUTB" "X2/INA" 189.286
+cap "X2/INB" "S3A" 2.67109
+cap "X3/OUTB" "X2/OUTA" 0.0669615
+cap "X2/INA" "S3B" 4.33903
+cap "X2/XM1/a_639_n100#" "X2/INB" 0.00315865
+cap "X2/XM4/a_543_n100#" "S3A" 18.2688
+cap "X4/OUTB" "X2/OUTA" 16.1773
+cap "X1/INB" "X4/XR17/a_n415_n1322#" 9.65474
+cap "X2/XM4/a_n945_n188#" "X2/OUTA" 0.000256416
+cap "X2/XM1/a_n945_n188#" "X2/OUTA" 0.0862619
+cap "X1/XM3/a_n129_n100#" "X2/OUTA" 1.76301
+cap "X4/XR17/a_n415_n1322#" "X2/XM1/a_n945_n188#" 0.0181878
+cap "X2/INA" "S3A" 5.34337
+cap "X2/OUTB" "X2/XM4/a_n945_n188#" 3.29123
+cap "X2/INB" "X2/OUTA" 23.6258
+cap "X2/XM4/a_n945_n188#" "S3B" 0.235414
+cap "X3/OUTB" "X4/XR17/a_n415_n1322#" 1.98476
+cap "X2/XM4/a_543_n100#" "X2/OUTA" 20.5988
+cap "X2/XM1/a_639_n100#" "X2/INA" 0.405841
+cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 9.72857
+cap "X2/XM4/a_n945_n188#" "S3A" 0.469657
+cap "X2/INA" "X2/OUTA" 115.877
+cap "X1/INB" "X2/INB" 6.43018
+cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 2.38497
+cap "X4/XR17/a_n415_n1322#" "X2/INB" 10.5551
+cap "X1/INB" "X2/XM4/a_543_n100#" 13.1424
+cap "X2/XM4/a_n945_n188#" "X2/OUTA" 0.68455
+cap "X1/INB" "X2/INA" 2.61387
+cap "X2/XM4/a_543_n100#" "X4/XR17/a_n415_n1322#" 2.83947
+cap "X2/INA" "X2/XM1/a_n945_n188#" 0.063908
+cap "X2/INA" "X4/XR17/a_n415_n1322#" 31.6476
+cap "X4/OUTB" "X2/INB" 0.678953
+cap "X3/OUTB" "X2/XM4/a_543_n100#" 0.000966681
+cap "X1/XM3/a_n129_n100#" "X2/INB" 0.205686
+cap "X4/OUTB" "X2/XM4/a_543_n100#" 15.6211
+cap "X3/OUTB" "X2/INA" 1.01115
+cap "X2/XM1/a_639_n100#" "X2/OUTB" 11.5389
+cap "X4/OUTB" "X2/INA" 6.02336
+cap "X2/XM1/a_639_n100#" "S3B" 0.366925
+cap "X1/XM3/a_n129_n100#" "X2/XM4/a_543_n100#" 5.81683
+cap "X2/XM4/a_543_n100#" "X2/INB" 10.8449
+cap "X2/OUTB" "X2/OUTA" 149.372
+cap "X2/INA" "X2/XM1/a_n945_n188#" 0.482486
+cap "X1/XM3/a_n129_n100#" "X2/INA" 0.372997
+cap "X2/INA" "X2/INB" 8.11385
+cap "X2/XM1/a_639_n100#" "S3A" 0.80537
+cap "S3B" "X2/OUTA" 28.9179
+cap "X3/INB" "X4/XR17/a_n415_n1322#" 21.7187
+cap "X3/INB" "X3/INA" 55.358
+cap "X4/XR17/a_n415_n1322#" "X3/INA" 122.239
+cap "X4/XR17/a_n415_n1322#" "X3/XM4/a_639_n100#" 0.181073
+cap "X4/INB" "X3/INB" 182.872
+cap "X3/INA" "X3/XM4/a_639_n100#" 0.118607
+cap "X2/XM3/a_n81_122#" "X3/INA" 0.0186845
+cap "X4/XR17/a_n415_n1322#" "X3/XM4/a_n945_n188#" 0.0358295
+cap "X4/XR17/a_n415_n1322#" "X4/OUTA" 3.96566
+cap "X4/INB" "X4/XR17/a_n415_n1322#" 42.0333
+cap "X4/OUTB" "X3/INB" 0.260568
+cap "X4/INB" "X3/INA" 592.408
+cap "X4/OUTA" "X3/INA" 2.2746
+cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 2.9601
+cap "X4/OUTB" "X3/INA" 1.83186
+cap "S1B" "X4/XR17/a_n415_n1322#" 5.22305
+cap "X4/INB" "X4/OUTA" 9.13292
+cap "X2/XM1/a_n945_n188#" "X4/XR17/a_n415_n1322#" 0.0365473
+cap "S1B" "X3/INA" 3.40941
+cap "X4/OUTB" "X4/INB" 10.2422
+cap "X4/INA" "X4/XR17/a_n415_n1322#" 9.01295
+cap "S1B" "X4/INB" 13.2583
+cap "X4/INA" "X3/INA" 7.78347
+cap "X3/INA" "X2/XM3/a_159_n100#" 0.0651814
+cap "X3/INA" "X2/INB" 1.63792
+cap "X4/INB" "X4/INA" 27.687
+cap "X4/XR17/a_n415_n1322#" "X2/XM1/a_639_n100#" 0.182503
+cap "X2/XM4/a_543_n100#" "X4/XR17/a_n415_n1322#" 0.229443
+cap "X3/INB" "X2/INA" 0.100533
+cap "X4/XR17/a_n415_n1322#" "X2/INA" 6.79372
+cap "X2/XM4/a_543_n100#" "X3/INA" 3.66204
+cap "X3/INA" "X2/INA" 28.0318
+cap "X4/INB" "X2/XM1/a_639_n100#" 0.119096
+cap "X3/XM4/a_543_n100#" "X4/XR17/a_n415_n1322#" 0.222669
+cap "X2/XM4/a_543_n100#" "X4/INB" 0.0243765
+cap "X4/INB" "X2/INA" 18.0417
+cap "X3/XM4/a_543_n100#" "X3/INA" 0.053987
+cap "X3/INB" "X4/OUTB" 1.68335
+cap "S1A" "X3/INA" 3.82303
+cap "X4/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 3.708
+cap "X3/XM1/a_n945_n188#" "X3/INB" 3.43015
+cap "GND" "X3/XM1/a_n945_n188#" 0.341311
+cap "X4/INB" "X4/INA" 24.2332
+cap "X3/XM1/a_639_n100#" "S1A" 0.123009
+cap "X4/OUTA" "X3/INB" 5.30573
+cap "VDD" "X3/INA" 1.47947
+cap "S1A" "X3/XM4/a_543_n100#" 15.4933
+cap "X3/XM4/a_n945_n188#" "X3/INA" 0.0862619
+cap "X4/INB" "X4/XM3/a_n129_n100#" 1.01151
+cap "S1B" "X3/INB" 1.96411
+cap "X3/XM1/a_639_n100#" "VDD" 0.019006
+cap "VDD" "X3/XM4/a_543_n100#" 0.784822
+cap "S1B" "X3/XM4/a_639_n100#" 0.00315865
+cap "X4/XM3/a_n129_n100#" "X4/INA" 1.01151
+cap "X3/XM4/a_639_n100#" "X3/INB" 1.81781
+cap "X4/XR17/a_n415_n1322#" "X3/INA" 28.689
+cap "S1A" "X3/XM1/a_n945_n188#" 0.0598593
+cap "X3/XM4/a_n945_n188#" "X3/INB" 1.19329
+cap "X3/XM1/a_n945_n188#" "VDD" 0.0379634
+cap "X3/XM1/a_639_n100#" "X4/XR17/a_n415_n1322#" 0.149928
+cap "X4/XR17/a_n415_n1322#" "X3/XM4/a_543_n100#" 3.17748
+cap "X4/INB" "X3/INA" 37.8027
+cap "S1A" "X3/INB" 2.4438
+cap "X4/XR17/a_n415_n1322#" "X4/OUTB" 8.73014
+cap "X3/XM1/a_n945_n188#" "X4/INA" 0.0402472
+cap "S1A" "X3/XM4/a_639_n100#" 0.158223
+cap "X4/INA" "X3/INA" 62.0108
+cap "S1A" "X3/XM4/a_n945_n188#" 0.0598593
+cap "X3/XM1/a_639_n100#" "X4/INB" 0.286488
+cap "X4/INB" "X3/XM4/a_543_n100#" 20.4023
+cap "X3/XM4/a_n945_n188#" "X3/INB" 0.228894
+cap "X4/XR17/a_n415_n1322#" "X3/XM1/a_n945_n188#" -0.00387849
+cap "X4/XM3/a_n129_n100#" "X3/INA" 1.82456
+cap "X4/INB" "X4/OUTB" 18.0054
+cap "X3/XM1/a_639_n100#" "X4/INA" 0.645986
+cap "X4/OUTA" "X4/XR17/a_n415_n1322#" 10.4628
+cap "X4/INA" "X3/XM4/a_543_n100#" 25.5015
+cap "S1B" "X4/XR17/a_n415_n1322#" 9.76008
+cap "X4/INB" "X3/XM1/a_n945_n188#" 0.273349
+cap "X4/XM3/a_n129_n100#" "X3/XM4/a_543_n100#" 6.1861
+cap "X4/INA" "X4/OUTB" 4.42817
+cap "X4/XR17/a_n415_n1322#" "X3/INB" 40.3363
+cap "GND" "X4/XR17/a_n415_n1322#" 0.0222557
+cap "BIAS" "X4/INA" 0.230575
+cap "X4/INB" "X4/OUTA" 8.30193
+cap "X3/XM4/a_639_n100#" "X4/XR17/a_n415_n1322#" 0.815566
+cap "X4/INA" "X3/XM1/a_n945_n188#" 0.559548
+cap "X3/XM4/a_n945_n188#" "X4/XR17/a_n415_n1322#" 0.0179933
+cap "X3/XM1/a_n945_n188#" "X3/INA" 0.514956
+cap "X4/INB" "S1B" 10.2131
+cap "X4/INB" "X3/INB" 58.3083
+cap "X4/OUTA" "X4/INA" 15.6135
+cap "X4/INB" "X3/XM4/a_639_n100#" 0.366925
+cap "S1B" "X4/INA" 6.6874
+cap "X4/INB" "X3/XM4/a_n945_n188#" 0.273349
+cap "S1A" "X4/XR17/a_n415_n1322#" 11.7916
+cap "X4/INA" "X3/INB" 35.3779
+cap "X3/XM1/a_639_n100#" "X3/INA" 2.68927
+cap "X3/XM4/a_543_n100#" "X3/INA" 35.5218
+cap "GND" "X4/INA" 0.53789
+cap "X4/XM3/a_n129_n100#" "X3/INB" 16.3047
+cap "X4/INA" "X3/XM4/a_639_n100#" 0.80537
+cap "X4/OUTB" "X3/INA" 2.66932
+cap "X4/INA" "X3/XM4/a_n945_n188#" 0.559548
+cap "BIAS" "X3/INA" 1.05243
+cap "S1A" "X4/INB" 11.9582
+cap "X3/XM1/a_639_n100#" "X3/XM4/a_543_n100#" -0.158587
+cap "X3/XM1/a_n945_n188#" "X3/INA" 2.03301
+cap "X3/XM4/a_543_n100#" "X4/OUTB" 8.82284
+cap "S1A" "X4/INA" 7.66266
+cap "X3/XM1/a_639_n100#" "BIAS" 0.0119871
+cap "X4/OUTA" "X3/INA" 4.45091
+cap "BIAS" "X3/XM4/a_543_n100#" 0.323992
+cap "X3/XM1/a_n945_n188#" "X3/INB" 0.543279
+cap "X3/XM1/a_639_n100#" "X3/XM1/a_n945_n188#" -0.00920395
+cap "S1B" "X3/INA" 3.24484
+cap "X3/XM1/a_n945_n188#" "X3/XM4/a_543_n100#" -0.00755421
+cap "X3/INB" "X3/INA" 143.866
+cap "X4/INA" "VDD" 0.382053
+cap "X3/XM4/a_n945_n188#" "X4/INA" 0.0402472
+cap "GND" "X3/INA" 12.2427
+cap "X4/OUTA" "X3/XM4/a_543_n100#" 11.2941
+cap "X3/XM4/a_639_n100#" "X3/INA" 1.31541
+cap "BIAS" "X3/XM1/a_n945_n188#" 0.0154884
+cap "X4/INB" "X4/XR17/a_n415_n1322#" 40.9314
+cap "X3/XM1/a_639_n100#" "S1B" 0.00245322
+cap "X3/XM4/a_n945_n188#" "X3/INA" 0.820113
+cap "S1B" "X3/XM4/a_543_n100#" 13.1524
+cap "X3/XM1/a_639_n100#" "X3/INB" 2.62782
+cap "X3/XM4/a_543_n100#" "X3/INB" 115.624
+cap "GND" "X3/XM1/a_639_n100#" 0.125579
+cap "GND" "X3/XM4/a_543_n100#" 2.52703
+cap "X4/INA" "X4/XR17/a_n415_n1322#" 40.9898
+cap "X4/INA" "BIAS" 23.1917
+cap "X3/INA" "X4/XM3/a_n323_n274#" 8.38583
+cap "X4/INB" "X3/XM1/a_639_n100#" 0.0804361
+cap "X3/INA" "GND" 7.17303
+cap "X4/XM3/a_n323_n274#" "X4/OUTA" 3.24973
+cap "X3/XM1/a_639_n100#" "S1A" 0.0352138
+cap "X4/INA" "GND" 120.021
+cap "X4/XM3/a_n323_n274#" "BIAS" 6.28858
+cap "X4/INA" "X4/XM3/a_n323_n274#" -0.993841
+cap "GND" "X4/XM3/a_n323_n274#" 26.7668
+cap "X3/XM4/a_543_n100#" "VDD" 0.215645
+cap "X3/XM1/a_n945_n188#" "VDD" 0.00932843
+cap "X3/XM1/a_639_n100#" "VDD" 1.04323
+cap "X4/INA" "S1B" 16.3372
+cap "X3/INB" "X4/OUTA" 0.344384
+cap "X4/INA" "X3/INB" 196.037
+cap "X4/INA" "S4B" 6.50638
+cap "X4/XM3/a_n323_n274#" "S1B" 4.09813
+cap "X3/XM4/a_543_n100#" "BIAS" 0.067469
+cap "X3/INA" "X3/XM1/a_639_n100#" 0.226413
+cap "X3/INB" "X4/XM3/a_n323_n274#" 21.8485
+cap "X3/XM1/a_n945_n188#" "BIAS" 0.00327439
+cap "X4/INB" "X4/INA" 25.1093
+cap "S4B" "X4/XM3/a_n323_n274#" 2.03983
+cap "X3/XM1/a_639_n100#" "BIAS" 0.834695
+cap "X4/INA" "X3/XM1/a_639_n100#" 0.159384
+cap "X3/XM4/a_543_n100#" "GND" 8.57955
+cap "X4/INA" "S1A" 20.8931
+cap "X3/XM1/a_n945_n188#" "GND" 0.838884
+cap "X4/INB" "X4/XM3/a_n323_n274#" 5.93908
+cap "X4/XM3/a_n323_n274#" "S1A" 5.05976
+cap "X3/XM1/a_639_n100#" "X4/XM3/a_n323_n274#" 0.123923
+cap "GND" "X3/XM1/a_639_n100#" 3.91298
+cap "X3/INA" "VDD" 0.149619
+cap "X4/INA" "VDD" 25.8683
+cap "X3/XM1/a_639_n100#" "S1B" 0.000705431
+cap "X4/XM3/a_n323_n274#" "VDD" 6.9527
+cap "X3/INA" "X4/OUTA" 0.878189
+cap "X3/INA" "BIAS" 0.0829783
+cap "X3/XM1/a_639_n100#" "X3/INB" 1.72299
+cap "X3/INA" "X4/INA" 36.9059
+cap "X4/INA" "X4/OUTA" 16.0013
+cap "X2/XM4/a_n801_n100#" "S3B" 0.667707
+cap "X2/XM4/a_n801_n100#" "X1/INA" 7.16235
+cap "X1/XM4/a_n945_n188#" "X2/INB" 0.0188494
+cap "X2/OUTA" "X2/XM3/a_n323_n274#" 5.65568
+cap "X2/INA" "X2/XM3/a_n323_n274#" 11.8993
+cap "X2/OUTB" "X2/INB" 30.6637
+cap "X2/INA" "X2/XM4/a_n945_n188#" 0.00214386
+cap "X2/OUTA" "X1/XM3/a_n129_n100#" 0.592589
+cap "X1/XM3/a_n129_n100#" "X2/INA" 1.66838
+cap "X1/INB" "X2/INB" 117.694
+cap "X1/XM4/a_n897_n100#" "X1/INB" 2.42886
+cap "X1/XM4/a_n897_n100#" "X2/XM3/a_n323_n274#" 0.0166213
+cap "X1/INB" "X1/XM4/a_n945_n188#" 0.510146
+cap "X2/XM3/a_n323_n274#" "X2/INB" 31.862
+cap "X1/XM3/a_n129_n100#" "X2/INB" 1.04625
+cap "X1/INB" "S3A" 1.53442
+cap "X1/XM4/a_n897_n100#" "X2/INA" 0.108772
+cap "S3A" "X2/XM3/a_n323_n274#" 7.31593
+cap "X2/INA" "X2/INB" 38.8296
+cap "X2/XM4/a_n897_n100#" "X2/INA" 0.0232399
+cap "X2/INA" "X1/XM4/a_n945_n188#" 0.0137265
+cap "X2/OUTA" "X2/INB" 17.6303
+cap "X1/INA" "X2/OUTB" 4.45724
+cap "X1/XM4/a_n945_n188#" "X1/INA" 1.0092
+cap "X1/XM3/a_n129_n100#" "S3A" 0.739221
+cap "X2/OUTB" "S3B" 4.31538
+cap "X1/XM4/a_n945_n188#" "S3B" 0.00236244
+cap "X1/XM4/a_n897_n100#" "X2/INB" 0.241032
+cap "X2/XM4/a_n897_n100#" "X2/INB" 0.000378214
+cap "X2/INB" "X1/XM4/a_n945_n188#" 0.0417296
+cap "X1/INB" "X1/INA" 143.768
+cap "X1/INA" "X2/XM3/a_n323_n274#" 39.2046
+cap "X1/INB" "S3B" 2.09492
+cap "S3B" "X2/XM3/a_n323_n274#" 10.5426
+cap "X2/XM4/a_n801_n100#" "X1/INB" 0.847099
+cap "X2/XM4/a_n945_n188#" "S3B" 0.0077989
+cap "X2/XM4/a_n801_n100#" "X2/XM3/a_n323_n274#" 1.32304
+cap "X1/XM3/a_n129_n100#" "X1/INA" 40.8696
+cap "S3A" "X2/INB" 23.6368
+cap "S3B" "X2/XM4/a_n945_n188#" 0.0128843
+cap "X2/INA" "X1/INA" -0.530244
+cap "X1/XM3/a_n129_n100#" "S3B" 1.40109
+cap "X2/OUTA" "X1/INA" -0.423155
+cap "X1/XM3/a_n129_n100#" "X2/XM4/a_n801_n100#" 0.0961485
+cap "X2/XM4/a_n801_n100#" "X2/INA" 0.521979
+cap "X1/XM4/a_n897_n100#" "X1/INA" 10.9914
+cap "X1/XM4/a_n897_n100#" "S3B" 0.0253534
+cap "X1/INA" "X1/XM4/a_n945_n188#" 2.63337
+cap "S3B" "X2/INB" 32.9503
+cap "X1/INA" "X2/INB" 244.63
+cap "X2/XM4/a_n897_n100#" "S3B" 0.104951
+cap "X2/XM4/a_n801_n100#" "X2/INB" 1.40497
+cap "X1/INB" "X2/OUTB" 3.51893
+cap "S3A" "X1/INA" -0.466637
+cap "X2/OUTB" "X2/XM3/a_n323_n274#" 7.12186
+cap "X1/XM4/a_n945_n188#" "X1/INB" 0.187846
+cap "X1/XM3/a_n129_n100#" "X2/OUTB" 0.609651
+cap "X1/INB" "X2/XM3/a_n323_n274#" 23.0667
+cap "X2/INA" "X2/OUTB" 3.35719
+cap "X1/XM4/a_n945_n188#" "X2/INA" 0.00804752
+cap "X1/INA" "S3B" -0.502527
+cap "X1/XM3/a_n129_n100#" "X1/INB" 5.30761
+cap "X1/XM3/a_n129_n100#" "X2/XM3/a_n323_n274#" -0.884108
+cap "X2/OUTA" "X1/INB" 1.20997
+cap "X2/INA" "X1/INB" 2.53466
+cap "X1/INB" "X1/XM1/a_n945_n188#" 0.511665
+cap "X2/XM1/a_n897_n100#" "X2/INA" 0.0232399
+cap "X2/OUTA" "X2/INA" 28.5797
+cap "X2/XM4/a_n801_n100#" "X1/INB" 0.895272
+cap "X1/INB" "S3A" 1.73325
+cap "X2/XM1/a_n897_n100#" "S3B" 0.104951
+cap "X2/XM4/a_n945_n188#" "S3B" 4.36515e-05
+cap "X2/OUTA" "S3B" 3.20782
+cap "X4/XR17/a_n415_n1322#" "X4/OUTB" 50.2681
+cap "X2/OUTB" "X4/XR17/a_n415_n1322#" 5.47579
+cap "X1/XM3/a_n129_n100#" "X2/OUTA" 9.52236
+cap "X1/XM1/a_n945_n188#" "X2/INA" 0.0324732
+cap "X1/XM1/a_n945_n188#" "S3B" 0.00849314
+cap "X1/XM4/a_n945_n188#" "X2/INA" 4.62748e-05
+cap "X4/OUTB" "X2/INA" 180.711
+cap "X1/INB" "X1/XM4/a_n945_n188#" 0.00652595
+cap "X2/OUTB" "X2/INA" 9.54422
+cap "X4/OUTB" "S3B" 3.399
+cap "X2/XM4/a_n945_n188#" "X2/INA" 0.00555282
+cap "X1/XM3/a_n129_n100#" "X4/OUTB" 83.2314
+cap "X2/XM1/a_n945_n188#" "S3B" 0.012928
+cap "X2/XM4/a_n945_n188#" "S3B" 0.0234944
+cap "X1/XM1/a_n945_n188#" "X2/INB" 0.0418572
+cap "X1/INB" "X1/XM1/a_n897_n100#" 2.42886
+cap "X2/OUTB" "X1/XM3/a_n129_n100#" 7.05598
+cap "X2/XM4/a_n801_n100#" "X2/INB" 1.05081
+cap "X4/OUTB" "X2/OUTA" 2.77145
+cap "X1/XM4/a_n945_n188#" "X2/INB" 0.057309
+cap "X2/XM4/a_n801_n100#" "X4/XR17/a_n415_n1322#" 2.38497
+cap "S3A" "X4/XR17/a_n415_n1322#" 9.33664
+cap "X1/XM1/a_n945_n188#" "X2/INA" 0.0137727
+cap "X1/XM1/a_n945_n188#" "X4/OUTB" 0.300393
+cap "X4/OUTB" "X1/XM4/a_n945_n188#" 0.00338598
+cap "S3A" "X2/INA" 18.8135
+cap "X1/INB" "X2/INB" 6.11476
+cap "X1/XM1/a_n897_n100#" "X2/INB" 0.241032
+cap "X2/XM4/a_n801_n100#" "X2/INA" 2.4285
+cap "X2/XM4/a_n801_n100#" "S3B" 1.82949
+cap "S3A" "X1/XM3/a_n129_n100#" 10.9656
+cap "X2/OUTB" "X4/OUTB" 1.31455
+cap "X1/INB" "X4/XR17/a_n415_n1322#" 30.3001
+cap "X2/XM1/a_n945_n188#" "X2/INA" 0.00769668
+cap "X1/XM4/a_n945_n188#" "X2/INA" 0.0244257
+cap "X2/XM4/a_n801_n100#" "X1/XM3/a_n129_n100#" 5.81683
+cap "X2/XM1/a_n945_n188#" "S3B" 0.0312933
+cap "X1/XM4/a_n945_n188#" "S3B" 0.0061307
+cap "X4/XR17/a_n415_n1322#" "X1/XM1/a_n897_n100#" 0.000207292
+cap "X1/INB" "X2/INA" 70.0054
+cap "X1/INB" "S3B" 2.18295
+cap "X1/XM1/a_n897_n100#" "X2/INA" 0.108772
+cap "X1/INB" "X1/XM3/a_n129_n100#" 35.9205
+cap "X1/XM1/a_n897_n100#" "S3B" 0.0253534
+cap "S3A" "X4/OUTB" 2.4515
+cap "X4/OUTB" "X1/XM1/a_n945_n188#" 1.92441
+cap "X4/XR17/a_n415_n1322#" "X2/INB" 18.2902
+cap "X2/XM4/a_n801_n100#" "X4/OUTB" 9.18907
+cap "X1/INB" "X2/OUTA" 2.59107
+cap "X2/INA" "X2/INB" 34.4638
+cap "X4/OUTB" "X1/XM4/a_n945_n188#" 1.97349
+cap "S3B" "X2/INB" 0.19678
+cap "X1/INB" "X1/XM1/a_n945_n188#" 0.775297
+cap "X1/XM3/a_n129_n100#" "X2/INB" 19.5606
+cap "X1/INB" "X4/OUTB" 11.8314
+cap "X4/XR17/a_n415_n1322#" "X2/INA" 113.969
+cap "X4/OUTB" "X1/XM1/a_n897_n100#" 5.00883
+cap "X2/OUTB" "X1/INB" 1.1009
+cap "X4/XR17/a_n415_n1322#" "S3B" 13.5772
+cap "X2/OUTA" "X2/INB" 1.82081
+cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 2.59986
+cap "X2/XM1/a_n897_n100#" "X2/INB" 0.000378214
+cap "S3B" "X2/INA" 26.0847
+cap "X1/XM1/a_n945_n188#" "X2/INB" 0.0761584
+cap "X1/XM3/a_n129_n100#" "X2/INA" 18.6467
+cap "X1/XM4/a_n945_n188#" "X2/INB" 0.000127593
+cap "X1/XM3/a_n129_n100#" "S3B" 14.1002
+cap "X4/XR17/a_n415_n1322#" "X2/OUTA" 10.0999
+cap "X4/OUTB" "X2/INB" 11.518
+cap "X4/INB" "S1B" 1.37723
+cap "X1/XM3/a_n129_n100#" "X4/OUTB" 0.0537195
+cap "X4/XR17/a_n415_n1322#" "X4/INA" 5.26985
+cap "X2/INA" "X4/XM3/a_n129_n100#" 0.0232782
+cap "X4/INB" "X3/INA" 1.10489
+cap "X4/INB" "X4/XR17/a_n415_n1322#" 7.76358
+cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 0.11687
+cap "X3/INB" "X2/INA" 1.81236
+cap "X4/OUTB" "X4/OUTA" 28.9455
+cap "X4/INA" "X2/INA" 4.86801
+cap "X4/INB" "X2/INA" 10.1415
+cap "X3/INA" "X4/OUTA" 0.270354
+cap "X4/XR17/a_n415_n1322#" "X4/OUTA" 10.1731
+cap "S1B" "X4/OUTB" 25.6027
+cap "S1B" "X4/XR17/a_n415_n1322#" 9.37313
+cap "X3/INA" "X4/OUTB" 8.46107
+cap "X4/XR17/a_n415_n1322#" "X4/OUTB" 67.3561
+cap "S1B" "X3/INA" 0.522687
+cap "X2/INA" "X4/OUTA" 11.4826
+cap "X4/XR17/a_n415_n1322#" "X3/INA" 4.65196
+cap "X4/XM3/a_n129_n100#" "X4/OUTB" 3.66557
+cap "X2/INA" "X4/OUTB" 388.376
+cap "S1B" "X2/INA" 10.1871
+cap "X4/INB" "X4/OUTA" 0.715382
+cap "X3/INB" "X4/OUTB" 4.46919
+cap "X4/XR17/a_n415_n1322#" "X4/XM3/a_n129_n100#" 0.113389
+cap "X2/INA" "X3/INA" 10.7833
+cap "X4/XR17/a_n415_n1322#" "X2/INA" 74.8449
+cap "X3/INB" "X4/XR17/a_n415_n1322#" 2.69554
+cap "X4/INA" "X4/OUTB" 13.7969
+cap "X4/INB" "X4/OUTB" 38.7687
+cap "X4/XM1/a_n897_n100#" "S1B" 0.20515
+cap "S1B" "X3/XM4/a_n801_n100#" 1.44427
+cap "X4/XM1/a_n897_n100#" "S1A" 0.091725
+cap "X3/XM4/a_n801_n100#" "S1A" 1.93897
+cap "S1B" "X3/XM1/a_n897_n100#" 0.000293746
+cap "X3/XM1/a_n897_n100#" "S1A" 0.0184955
+cap "X4/OUTA" "X4/XM4/a_n945_n188#" 0.0881342
+cap "X4/INB" "X3/XM1/a_n945_n188#" 0.012928
+cap "X4/XM1/a_n897_n100#" "X4/OUTB" 0.554868
+cap "S1B" "X4/XM4/a_n945_n188#" 0.0418572
+cap "X3/XM4/a_n801_n100#" "X4/OUTB" 1.6771
+cap "BIAS" "X4/INA" 1.05243
+cap "X3/INA" "X4/INA" 8.1186
+cap "S1A" "X4/XM4/a_n945_n188#" 0.0137727
+cap "X4/INB" "X4/XM1/a_n897_n100#" 0.020288
+cap "X3/INA" "X4/OUTA" 11.9238
+cap "BIAS" "X4/OUTA" 0.292638
+cap "X4/INB" "X3/XM4/a_n801_n100#" 2.84913
+cap "S1B" "X3/INA" 0.356855
+cap "X4/INB" "X3/XM1/a_n897_n100#" 0.0884929
+cap "X3/INA" "S1A" 0.431597
+cap "S1B" "X3/XM4/a_n897_n100#" 0.000378214
+cap "X1/OUTA" "X4/OUTB" 0.0629391
+cap "X4/OUTB" "X4/XM4/a_n945_n188#" 0.150789
+cap "X4/XM1/a_n897_n100#" "X4/SUB" 5.92263e-05
+cap "S1A" "X3/XM4/a_n897_n100#" 0.0232399
+cap "X4/SUB" "X3/XM4/a_n801_n100#" 3.708
+cap "X4/INB" "X1/OUTA" 0.993465
+cap "X4/OUTA" "X4/INA" 97.7298
+cap "X3/XM4/a_n801_n100#" "X4/XM3/a_n129_n100#" 6.1861
+cap "X4/INB" "X4/XM4/a_n945_n188#" 0.487284
+cap "S1B" "X4/INA" 6.12118
+cap "X3/INA" "X4/OUTB" 10.0561
+cap "S1A" "X4/INA" 5.79181
+cap "S1B" "X4/OUTA" 12.8618
+cap "X4/INB" "X3/INA" 3.13831
+cap "X4/XM1/a_n945_n188#" "X4/INA" 0.482486
+cap "S1A" "X4/OUTA" 11.8448
+cap "X4/SUB" "X1/OUTA" 1.22978
+cap "X4/XM4/a_n945_n188#" "X4/OUTA" 0.133545
+cap "X4/XM4/a_n897_n100#" "X4/OUTA" 0.418371
+cap "X1/OUTA" "X4/XM3/a_n129_n100#" 0.000917775
+cap "S1B" "X4/XM4/a_n945_n188#" 0.0761584
+cap "S1B" "X4/XM4/a_n897_n100#" 0.241032
+cap "X4/XM1/a_n945_n188#" "X4/OUTA" 0.0881342
+cap "X4/INB" "X3/XM4/a_n897_n100#" 0.104951
+cap "X4/XM4/a_n945_n188#" "S1A" 0.0324732
+cap "GND" "X4/INA" 10.6094
+cap "X4/XM1/a_n945_n188#" "S1B" 0.0418572
+cap "X4/XM4/a_n897_n100#" "S1A" 0.108772
+cap "X4/INA" "X4/OUTB" 196.521
+cap "X4/XM1/a_n945_n188#" "S1A" 0.0137727
+cap "X3/INA" "X4/SUB" 12.5743
+cap "GND" "X4/OUTA" 0.63954
+cap "X4/OUTA" "X4/OUTB" 35.113
+cap "X4/XM1/a_n945_n188#" "X4/OUTA" 0.133545
+cap "BIAS" "X4/XM3/a_n129_n100#" 0.125275
+cap "X3/INA" "X4/XM3/a_n129_n100#" 15.3906
+cap "X4/INB" "X4/INA" 12.4162
+cap "S1B" "X4/OUTB" 18.8751
+cap "X4/XM1/a_n945_n188#" "S1B" 0.0761584
+cap "X3/INB" "X4/INA" 1.62464
+cap "S1A" "X4/OUTB" 17.1913
+cap "X4/XM1/a_n945_n188#" "S1A" 0.0324732
+cap "X4/XM4/a_n945_n188#" "X4/OUTB" 0.204145
+cap "X4/INB" "X4/OUTA" 19.5784
+cap "X4/XM4/a_n897_n100#" "X4/OUTB" 0.647649
+cap "X4/INA" "VDD" 1.47947
+cap "X4/INB" "S1B" 6.31959
+cap "X3/INB" "X4/OUTA" 6.20001
+cap "X4/XM1/a_n945_n188#" "X4/OUTB" 0.150789
+cap "S1B" "X3/INB" 0.356855
+cap "X4/INB" "S1A" 6.05057
+cap "X4/OUTA" "VDD" 0.463777
+cap "X4/INB" "X4/XM4/a_n945_n188#" 0.00849314
+cap "X4/SUB" "X4/INA" 22.9867
+cap "X4/INB" "X4/XM4/a_n897_n100#" 0.149378
+cap "X3/INB" "S1A" 0.431597
+cap "X4/INA" "X4/XM3/a_n129_n100#" 13.5969
+cap "X4/SUB" "X4/OUTA" 24.2337
+cap "X4/XM1/a_n945_n188#" "X4/OUTB" 0.204145
+cap "S1B" "X4/SUB" 17.5182
+cap "X4/OUTA" "X4/XM3/a_n129_n100#" 24.7918
+cap "S1B" "X4/XM3/a_n129_n100#" 21.8232
+cap "X4/SUB" "S1A" 16.0983
+cap "X4/INB" "X4/XM1/a_n945_n188#" 0.00849314
+cap "X4/INB" "X4/OUTB" 282.735
+cap "X4/SUB" "X4/XM4/a_n897_n100#" 0.0166213
+cap "X3/XM1/a_n945_n188#" "S1A" 0.00769668
+cap "S1A" "X4/XM3/a_n129_n100#" 19.6508
+cap "X3/INB" "X4/OUTB" 13.0118
+cap "X4/INB" "X3/INB" 6.52092
+cap "X4/SUB" "GND" 0.0171268
+cap "X4/SUB" "X4/OUTB" 24.9515
+cap "GND" "X4/XM3/a_n129_n100#" 0.446736
+cap "X4/XM3/a_n129_n100#" "X4/OUTB" 25.9871
+cap "X4/INB" "X4/SUB" 35.4292
+cap "X4/INB" "X3/XM1/a_n945_n188#" 0.0312933
+cap "X4/INB" "X4/XM3/a_n129_n100#" 17.628
+cap "X4/SUB" "X3/INB" 10.4175
+cap "X3/XM4/a_n945_n188#" "S1A" 0.00769668
+cap "X3/INB" "X4/XM3/a_n129_n100#" 19.0432
+cap "X4/INB" "X3/XM4/a_n945_n188#" 0.012928
+cap "VDD" "X4/XM3/a_n129_n100#" 0.283168
+cap "X4/SUB" "X4/XM3/a_n129_n100#" 3.02457
+cap "X4/XM1/a_n897_n100#" "X4/INA" 0.19289
+cap "X3/XM4/a_n801_n100#" "X4/INA" 0.351926
+cap "X4/INB" "X3/XM4/a_n945_n188#" 0.0312933
+cap "X4/XM1/a_n897_n100#" "X4/OUTA" 0.357106
+cap "X3/XM4/a_n801_n100#" "X4/OUTA" 2.04296
+cap "X4/XM1/a_n897_n100#" "S4B" 1.52595
+cap "X4/INA" "BIAS" 0.0829783
+cap "GND" "X4/INA" 9.02408
+cap "X4/XM1/a_n897_n100#" "S1A" 0.0170471
+cap "X3/INA" "X4/SUB" 3.12113
+cap "X4/INA" "X4/INB" 3.22066
+cap "X4/INA" "S1B" 1.58133
+cap "BIAS" "X4/SUB" 3.90286
+cap "GND" "X4/SUB" 20.1387
+cap "X3/INB" "X4/SUB" 2.07978
+cap "X3/INA" "X4/OUTA" 10.9557
+cap "BIAS" "X4/OUTA" 12.0452
+cap "GND" "X4/OUTA" 74.0284
+cap "BIAS" "X4/XM3/a_n129_n100#" 0.0450337
+cap "GND" "X4/XM3/a_n129_n100#" 5.59474
+cap "X4/OUTA" "X3/INB" 6.20453
+cap "X4/INB" "X4/SUB" 6.05721
+cap "X4/SUB" "S1B" 7.67696
+cap "S4B" "X4/INA" 0.329988
+cap "X4/XM1/a_n897_n100#" "X4/SUB" 0.0224577
+cap "X4/OUTA" "S1B" 31.4487
+cap "X4/INB" "X4/OUTA" 25.3439
+cap "X4/INA" "VDD" 0.149619
+cap "X4/INA" "S1A" 2.42049
+cap "X4/XM1/a_n897_n100#" "X4/OUTA" 0.0612645
+cap "S4B" "X4/SUB" 21.7108
+cap "X4/SUB" "VDD" 4.38526
+cap "S4B" "X4/OUTA" 189.574
+cap "S1A" "X4/SUB" 6.91508
+cap "X4/OUTA" "VDD" 13.3671
+cap "X4/XM3/a_n129_n100#" "VDD" 0.143443
+cap "S1A" "X4/OUTA" 27.0939
+cap "X3/XM1/a_n897_n100#" "X4/INB" 0.0164579
+cap "X3/XM1/a_n897_n100#" "S1B" 8.44677e-05
+cap "X4/INA" "X4/SUB" 4.12925
+cap "X4/INA" "X4/OUTA" 25.5135
+cap "X4/OUTA" "X4/SUB" 14.0212
+cap "X3/XM1/a_n897_n100#" "S1A" 0.00474442
+cap "X4/XM1/a_n897_n100#" "X4/INB" 0.00506537
+cap "X4/XM1/a_n897_n100#" "S1B" 0.0358823
+cap "X1/INA" "X1/XM3/a_n323_n274#" 0.428113
+cap "X1/INB" "X1/XM3/a_n323_n274#" 0.333439
+cap "X1/INA" "X1/XM3/a_n129_n100#" 1.93944
+cap "X1/XM3/a_n129_n100#" "X1/INB" 0.853249
+cap "X1/INA" "X1/XM4/a_n945_n188#" 0.728321
+cap "X1/XM4/a_n945_n188#" "X1/INB" 0.517631
+cap "S3B" "X1/XM3/a_n323_n274#" 0.111961
+cap "X1/OUTB" "X1/XM3/a_n323_n274#" 0.478299
+cap "S3B" "X1/XM3/a_n129_n100#" 0.135071
+cap "X1/OUTB" "X1/XM3/a_n129_n100#" 0.592389
+cap "X1/OUTA" "X1/XM3/a_n323_n274#" 0.189268
+cap "X1/XM3/a_n129_n100#" "X1/OUTA" 0.350009
+cap "X1/INA" "X1/XM4/a_n897_n100#" 1.36756
+cap "X1/XM4/a_n897_n100#" "X1/INB" 0.924389
+cap "S3A" "X1/XM3/a_n323_n274#" 0.0328321
+cap "S3B" "X1/XM4/a_n945_n188#" 0.0063514
+cap "X1/OUTB" "X1/XM4/a_n945_n188#" 3.06676
+cap "S3A" "X1/XM3/a_n129_n100#" 0.00117905
+cap "X1/XM4/a_n945_n188#" "X1/OUTA" 0.123574
+cap "S3B" "X1/XM4/a_n897_n100#" 0.167099
+cap "X1/XM4/a_n897_n100#" "X1/XM3/a_n323_n274#" 0.262566
+cap "X1/OUTB" "X1/XM4/a_n897_n100#" 0.65458
+cap "X1/XM4/a_n897_n100#" "X1/OUTA" 0.402479
+cap "S3A" "X1/XM4/a_n897_n100#" 0.00493567
+cap "X1/XM3/a_n129_n100#" "S3B" 0.143446
+cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 1.51331
+cap "X1/XM1/a_n945_n188#" "X4/XR17/a_n415_n1322#" 9.23851
+cap "S3B" "X1/XM1/a_n945_n188#" 0.0670974
+cap "X4/OUTB" "X1/XM4/a_n945_n188#" 0.92836
+cap "S3A" "X4/XR17/a_n415_n1322#" 0.0593856
+cap "X1/INB" "X1/XM3/a_n129_n100#" 0.931584
+cap "X4/GND" "X1/OUTA" 0.402479
+cap "S3B" "X4/XR17/a_n415_n1322#" 0.202313
+cap "X1/INB" "X1/XM1/a_n945_n188#" 1.17885
+cap "X1/XM4/a_n945_n188#" "S3B" 0.060746
+cap "X1/OUTB" "X1/XM3/a_n129_n100#" 0.648176
+cap "X1/INB" "X4/XR17/a_n415_n1322#" 0.740857
+cap "X1/OUTB" "X1/XM1/a_n945_n188#" 0.756514
+cap "X1/XM4/a_n945_n188#" "X1/INB" 2.21011
+cap "X4/OUTB" "X4/GND" 1.54224
+cap "X1/OUTB" "X4/XR17/a_n415_n1322#" 0.476024
+cap "X1/OUTB" "X1/XM4/a_n945_n188#" 0.446996
+cap "S3A" "X4/GND" 0.00493567
+cap "X1/XM3/a_n129_n100#" "X1/OUTA" 0.382341
+cap "X4/XR17/a_n415_n1322#" "X4/GND" 7.26676
+cap "S3B" "X4/GND" 0.167099
+cap "X1/XM1/a_n945_n188#" "X1/OUTA" 3.01826
+cap "X1/INB" "X4/GND" 0.924389
+cap "X4/XR17/a_n415_n1322#" "X1/OUTA" 0.543221
+cap "X1/XM4/a_n945_n188#" "X1/OUTA" 0.243381
+cap "X4/OUTB" "X1/XM3/a_n129_n100#" 1.46821
+cap "S3A" "X1/XM3/a_n129_n100#" 0.00117905
+cap "X1/OUTB" "X4/GND" 0.65458
+cap "X4/OUTB" "X1/XM1/a_n945_n188#" 1.65668
+cap "X1/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 0.393024
+cap "X4/OUTB" "X4/XR17/a_n415_n1322#" 16.7301
+cap "X4/XR17/a_n415_n1322#" "X1/XM3/a_n129_n100#" 0.780632
+cap "X4/GND" "X1/OUTA" 0.118607
+cap "X4/XM4/a_n945_n188#" "X4/XR17/a_n415_n1322#" 2.41004
+cap "X4/OUTB" "X1/OUTA" 242.586
+cap "X4/XR17/a_n415_n1322#" "X1/OUTA" 16.7335
+cap "X4/OUTB" "X4/GND" 0.119096
+cap "X1/XM1/a_n945_n188#" "X4/XR17/a_n415_n1322#" 2.43333
+cap "X4/XR17/a_n415_n1322#" "X4/GND" 5.64708
+cap "X4/XM3/a_n129_n100#" "X4/XR17/a_n415_n1322#" 0.760407
+cap "X4/XM3/a_n129_n100#" "X4/GND" 2.45946
+cap "X4/XM4/a_n945_n188#" "X4/GND" 0.0787155
+cap "X4/SUB" "S1B" 0.677963
+cap "X4/GND" "X4/OUTA" 1.68097
+cap "X4/GND" "X4/INB" 0.342997
+cap "X4/SUB" "X4/GND" 7.29586
+cap "BIAS" "X4/XM1/a_n945_n188#" 4.58349
+cap "BIAS" "X4/XM3/a_n129_n100#" 0.313248
+cap "X4/OUTB" "X4/GND" 2.21535
+cap "X4/SUB" "X1/OUTA" 0.758505
+cap "X4/XM3/a_n129_n100#" "X4/XM1/a_n945_n188#" -0.00755421
+cap "X4/INB" "X4/XM1/a_n945_n188#" 0.0670974
+cap "X4/OUTA" "X4/XM1/a_n945_n188#" 1.17885
+cap "X4/XM3/a_n129_n100#" "X4/OUTA" 1.77491
+cap "X4/SUB" "X4/XM1/a_n945_n188#" -4.79253
+cap "X4/XM3/a_n129_n100#" "X4/INB" 0.278516
+cap "X4/SUB" "X4/XM3/a_n129_n100#" 0.301075
+cap "X4/XM4/a_n945_n188#" "X4/OUTA" 1.17885
+cap "X4/XM4/a_n945_n188#" "X4/INB" 2.42839
+cap "X4/INA" "X4/GND" 0.0583837
+cap "X4/OUTB" "X4/XM1/a_n945_n188#" 1.62704
+cap "X4/SUB" "X4/XM4/a_n945_n188#" 9.20205
+cap "X4/SUB" "X4/INB" 0.482102
+cap "X4/OUTB" "X4/XM3/a_n129_n100#" 2.34666
+cap "X4/SUB" "X4/OUTA" 0.857957
+cap "X4/OUTB" "X4/XM4/a_n945_n188#" 1.62704
+cap "S1A" "X4/GND" 0.722805
+cap "X4/OUTB" "X4/SUB" 1.02399
+cap "X4/INA" "X4/XM1/a_n945_n188#" 2.35361
+cap "X4/INA" "X4/XM3/a_n129_n100#" 0.0023581
+cap "X4/VDD" "X4/GND" 0.313792
+cap "X4/GND" "S1B" 1.18316
+cap "S1A" "X4/XM1/a_n945_n188#" 0.366972
+cap "S1A" "X4/XM3/a_n129_n100#" 0.73235
+cap "X4/SUB" "X4/INA" 0.265639
+cap "S1A" "X4/XM4/a_n945_n188#" 0.366972
+cap "X1/OUTA" "X4/GND" 0.140259
+cap "X4/SUB" "S1A" 0.486941
+cap "X4/VDD" "X4/XM1/a_n945_n188#" 6.38904
+cap "X4/VDD" "X4/XM3/a_n129_n100#" 0.822896
+cap "X4/XM1/a_n945_n188#" "S1B" 0.756514
+cap "BIAS" "X4/GND" 0.198174
+cap "X4/XM3/a_n129_n100#" "S1B" 1.24056
+cap "X4/GND" "X4/XM1/a_n945_n188#" 29.0334
+cap "X4/XM4/a_n945_n188#" "S1B" 0.756514
+cap "S4B" "X4/SUB" 0.0928503
+cap "X4/OUTA" "X4/SUB" -1.83196
+cap "X4/GND" "X4/XM3/a_n129_n100#" 21.767
+cap "X4/GND" "X4/INA" 0.0011023
+cap "X4/VDD" "X4/XM1/a_n945_n188#" 0.485312
+cap "BIAS" "X4/XM1/a_n945_n188#" 0.269109
+cap "X4/VDD" "X4/OUTA" 9.43997
+cap "X4/SUB" "X4/INA" 0.00784719
+cap "X4/GND" "S1A" 0.0821539
+cap "X4/OUTA" "BIAS" 8.65191
+cap "S1A" "X4/SUB" 0.0442767
+cap "X4/VDD" "X4/XM3/a_n129_n100#" 0.452377
+cap "X4/GND" "X4/SUB" 48.0849
+cap "BIAS" "X4/XM3/a_n129_n100#" 0.150071
+cap "S1B" "X4/GND" 0.126003
+cap "X4/INB" "X4/GND" 0.0369685
+cap "S1B" "X4/SUB" 0.0615575
+cap "X4/INB" "X4/SUB" 0.0262757
+cap "X4/VDD" "X4/GND" 13.6101
+cap "BIAS" "X4/GND" 10.8067
+cap "X4/VDD" "X4/SUB" 14.3044
+cap "BIAS" "X4/SUB" 13.1888
+cap "X4/GND" "X4/XM1/a_n945_n188#" 19.3434
+cap "S4B" "X4/GND" 0.206872
+cap "X4/OUTA" "X4/GND" 57.2871
+cap "X1/BIAS" "X4/GND" 3.28117
+cap "X4/VDD" "X1/BIAS" 4.2009
+cap "X1/BIAS" "X4/XR17/a_n415_n1322#" 6.62952
+cap "X1/XM4/a_n989_n100#" "X4/VDD" 0.0105611
+cap "X4/VDD" "X4/GND" 16.7247
+cap "X1/XM4/a_n989_n100#" "X4/XR17/a_n415_n1322#" 0.113339
+cap "X4/GND" "X4/XR17/a_n415_n1322#" 6.38947
+cap "X4/VDD" "X4/XR17/a_n415_n1322#" 2.3926
+cap "X4/GND" "X4/VDD" 931.219
+cap "X4/BIAS" "X4/GND" 0.341967
+cap "X4/XR17/a_n415_n1322#" "X1/XM4/a_n989_n100#" 0.471006
+cap "X4/XR17/a_n415_n1322#" "X4/VDD" 71.8311
+cap "X4/XM4/a_n989_n100#" "X4/XR17/a_n415_n1322#" 0.4577
+cap "X4/BIAS" "X4/XR17/a_n415_n1322#" 7.41292
+cap "X4/XR17/a_n415_n1322#" "X4/GND" 33.7887
+cap "X4/VDD" "X1/XM4/a_n989_n100#" 0.0977186
+cap "X4/XM4/a_n989_n100#" "X4/VDD" 0.0972545
+cap "X4/BIAS" "X4/VDD" 10.2157
+cap "X4/BIAS" "X4/GND" 44.2078
+cap "X4/SUB" "X4/XM4/a_n989_n100#" 0.108987
+cap "X4/VDD" "X4/XM4/a_n989_n100#" 0.250274
+cap "X4/SUB" "X4/BIAS" 6.69072
+cap "X4/SUB" "X4/GND" 18.7548
+cap "X4/VDD" "X4/BIAS" 9.16657
+cap "X4/VDD" "X4/GND" 22.157
+cap "X4/VDD" "X4/SUB" 2.4103
+cap "X4/XM4/a_n989_n100#" "X4/BIAS" 0.0967688
+cap "X4/XM4/a_n989_n100#" "X4/GND" 0.409405
+cap "X4/GND" "X4/XM4/a_n989_n100#" 6.27204
+cap "X4/SUB" "X4/GND" 23.7154
+cap "X4/BIAS" "X4/GND" 24.4067
+cap "X4/BIAS" "X4/XM4/a_n989_n100#" 0.0889023
+cap "X4/SUB" "X4/BIAS" 7.87649
+cap "X4/GND" "X4/VDD" 122.844
+cap "X4/XM4/a_n989_n100#" "X4/VDD" 0.269256
+cap "X4/SUB" "X4/VDD" 1.34423
+cap "X4/BIAS" "X4/VDD" 11.6877
+cap "X4/VDD" "X1/BIAS" 1.96577
+cap "X4/BIAS" "X4/GND" -0.654281
+cap "X4/BIAS" "X4/XR17/a_n415_n1322#" 10.8837
+cap "X4/BIAS" "X4/VDD" 601.449
+cap "X4/VDD" "X4/BIAS" 26.5749
+cap "X4/XR17/a_n415_n1322#" "X4/BIAS" 9.58369
+cap "X4/GND" "X4/BIAS" 12.558
+cap "X4/BIAS" "X4/XR17/a_n415_n1322#" 3.01084
+cap "X4/BIAS" "X4/GND" 10.1701
+cap "X4/BIAS" "X4/VDD" 54.4234
 merge "X4/VSUBS" "X1/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 merge "X1/VSUBS" "X3/VSUBS"
 merge "X3/VSUBS" "X2/VSUBS"
 merge "X2/VSUBS" "VSUBS"
-merge "X1/GND" "X4/GND" -1260.52 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2758000 -5600 -32942165 -2708 0 0 0 0 0 0
+merge "X1/GND" "X4/GND" -2213.72 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -182000 -5600 -17860 -2708 0 0 0 0 0 0
 merge "X4/GND" "m3_12350_11295#"
 merge "m3_12350_11295#" "X2/GND"
 merge "X2/GND" "GND"
 merge "GND" "X3/GND"
 merge "X3/GND" "m3_12355_3940#"
 merge "m3_12355_3940#" "m3_12355_4075#"
-merge "X1/VDD" "X4/VDD" -1561.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3038000 -5600 -13810715 -5988 0 0 0 0 0 0
+merge "X1/VDD" "X4/VDD" -2507 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -182000 -5600 -102510 -5988 0 0 0 0 0 0
 merge "X4/VDD" "m3_12355_11705#"
 merge "m3_12355_11705#" "X2/VDD"
 merge "X2/VDD" "VDD"
 merge "VDD" "X3/VDD"
 merge "X3/VDD" "m3_12355_3530#"
-merge "X3/INA" "X2/OUTA" 1156.41 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12023200 -146 -14983700 -210 0 0 0 0 0 0
+merge "X3/INA" "X2/OUTA" -666.313 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -146 -500 -210 0 0 0 0 0 0
 merge "X2/OUTA" "S2A"
-merge "X2/XR16/a_n415_n1322#" "li_12290_11270#" 953.663 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1972034 -2304 0 0 0 0 0 0 0 0 0 0 0 0
+merge "X2/XR16/a_n415_n1322#" "li_12290_11270#" -2240.98 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20666 -2304 0 0 0 0 0 0 0 0 0 0 0 0
 merge "li_12290_11270#" "X4/SUB"
 merge "X4/SUB" "X2/XM2/a_n323_n274#"
 merge "X2/XM2/a_n323_n274#" "X1/SUB"
@@ -941,23 +1104,23 @@
 merge "li_12290_6840#" "X2/SUB"
 merge "X2/SUB" "X3/XR17/a_n415_n1322#"
 merge "X3/XR17/a_n415_n1322#" "li_12290_4230#"
-merge "X3/INB" "X2/OUTB" -287.632 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500 -160 5400 -210 0 0 0 0 0 0
+merge "X3/INB" "X2/OUTB" -1057.46 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -375 -160 -500 -210 0 0 0 0 0 0
 merge "X2/OUTB" "S2B"
-merge "X4/BIAS" "X1/BIAS" 713.753 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -317395 -11194 0 0 0 0 0 0 0 0
+merge "X4/BIAS" "X1/BIAS" -1827.42 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -343305 -11194 0 0 0 0 0 0 0 0
 merge "X1/BIAS" "m2_12280_12036#"
 merge "m2_12280_12036#" "X3/BIAS"
 merge "X3/BIAS" "BIAS"
 merge "BIAS" "X2/BIAS"
 merge "X2/BIAS" "m2_12350_3425#"
-merge "X4/OUTA" "X1/INB" 238.546 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24000 -230 230800 -800 0 0 0 0 0 0
+merge "X4/OUTA" "X1/INB" -509.685 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1000 -230 -600 -800 0 0 0 0 0 0
 merge "X1/INB" "S4A"
-merge "X4/OUTB" "X1/INA" -652.787 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1194000 -2280 96000 -280 0 0 0 0 0 0
+merge "X4/OUTB" "X1/INA" -1612.69 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -99000 -2280 -4000 -280 0 0 0 0 0 0
 merge "X1/INA" "S4B"
-merge "X4/INA" "X3/OUTA" 886.656 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2957100 -8774 24800 -180 0 0 0 0 0 0
+merge "X4/INA" "X3/OUTA" -1674.58 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -284775 -8774 -800 -180 0 0 0 0 0 0
 merge "X3/OUTA" "S3A"
-merge "X4/INB" "X3/OUTB" 1265.58 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2986880 -6066 74400 -220 0 0 0 0 0 0
+merge "X4/INB" "X3/OUTB" -807.104 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -282480 -6066 -2400 -220 0 0 0 0 0 0
 merge "X3/OUTB" "S3B"
-merge "X1/OUTA" "X2/INA" 1961.43 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6575320 -5144 12400 -170 0 0 0 0 0 0
+merge "X1/OUTA" "X2/INA" -758.537 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -243120 -5144 -400 -170 0 0 0 0 0 0
 merge "X2/INA" "S1A"
-merge "X1/OUTB" "X2/INB" 43.1088 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3767200 -7976 7600 -200 0 0 0 0 0 0
+merge "X1/OUTB" "X2/INB" -588.442 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -384350 -7976 -1600 -200 0 0 0 0 0 0
 merge "X2/INB" "S1B"
diff --git a/mag/core_osc_amp.ext b/mag/core_osc_amp.ext
index c50bb7e..1bd676d 100644
--- a/mag/core_osc_amp.ext
+++ b/mag/core_osc_amp.ext
@@ -10,204 +10,268 @@
 use sky130_fd_pr__nfet_01v8_lvt_HNLS5R XM3 0 1 4310 -1 0 3659
 use sky130_fd_pr__nfet_01v8_lvt_HNLS5R XM2 0 -1 3650 -1 0 3659
 use sky130_fd_pr__nfet_01v8_lvt_YTLFGX XM1 0 -1 3650 -1 0 2127
-node "VDD" 2 3443.46 2565 1165 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 495900 4020 1442100 7340 898500 6590 0 0 0 0 0 0
-node "GND" 58 3806.79 3550 1220 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 185492 16832 169850 7400 1944000 13560 0 0 0 0 0 0
-node "BIAS" 42 4361.78 3425 1175 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 304000 14000 977625 26220 0 0 0 0 0 0 0 0
-node "INB" 4 520.692 4085 3570 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30400 1400 97125 2740 0 0 0 0 0 0 0 0
-node "m1_3550_1144#" 71 3513.16 3550 1144 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 235144 20868 233100 9900 1638375 6980 0 0 0 0 0 0
-node "INA" 4 601.026 3425 3475 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30400 1400 97125 2740 0 0 0 0 0 0 0 0
-node "m1_3640_3828#" 4 72.5393 3640 3828 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1728 508 0 0 0 0 0 0 0 0 0 0
-node "OUTB" 2 1772.59 4325 3420 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 229225 2880 272925 3160 509530 3672 0 0 0 0 0 0
-node "OUTA" 3 1884.45 2585 3140 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 232801 3888 272925 3160 508620 3668 0 0 0 0 0 0
+node "VDD" 2 3235.01 2565 1165 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 495900 4020 1442100 7340 898500 6590 0 0 0 0 0 0
+node "GND" 58 2029.81 3550 1220 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 185492 16832 169850 7400 1944000 13560 0 0 0 0 0 0
+node "BIAS" 42 2953.65 3425 1175 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 304000 14000 977625 26220 0 0 0 0 0 0 0 0
+node "INB" 4 381.245 4085 3570 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30400 1400 97125 2740 0 0 0 0 0 0 0 0
+node "m1_3550_1144#" 71 1555.22 3550 1144 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 235144 20868 233100 9900 1638375 6980 0 0 0 0 0 0
+node "INA" 4 384.406 3425 3475 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30400 1400 97125 2740 0 0 0 0 0 0 0 0
+node "m1_3640_3828#" 4 29.8067 3640 3828 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1728 508 0 0 0 0 0 0 0 0 0 0
+node "OUTB" 2 1577.7 4325 3420 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 229225 2880 272925 3160 509530 3672 0 0 0 0 0 0
+node "OUTA" 3 1586.67 2585 3140 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 232801 3888 272925 3160 508620 3668 0 0 0 0 0 0
 node "li_4580_1035#" 46 65.615 4580 1035 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_3920_1035#" 46 62.034 3920 1035 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_3265_1035#" 46 68.597 3265 1035 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3265_1035#" 46 65.5847 3265 1035 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
 node "SUB" 73 102.118 2435 2260 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7000 470 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_4550_3185#" 57 52.981 4550 3185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5425 380 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_3890_3185#" 66 60.4421 3890 3185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6300 430 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_3260_3185#" 46 45.9552 3260 3185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4550_3185#" 57 50.4698 4550 3185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5425 380 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3890_3185#" 66 53.2059 3890 3185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6300 430 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3260_3185#" 46 42.8607 3260 3185 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_4580_3335#" 46 24.5119 4580 3335 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_3920_3335#" 46 28.1391 3920 3335 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_3260_3335#" 46 28.8793 3260 3335 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_4580_3650#" 55 61.5831 4580 3650 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_3265_3645#" 42 50.3901 3265 3645 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4025 300 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3920_3335#" 46 27.6661 3920 3335 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3260_3335#" 46 24.3916 3260 3335 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4580_3650#" 55 57.4473 4580 3650 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5250 370 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3265_3645#" 42 47.1478 3265 3645 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4025 300 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_3915_3945#" 46 60.3451 3915 3945 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4375 320 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "OUTB" "VDD" 27.3619
-cap "OUTB" "m1_3550_1144#" 662.182
-cap "li_3890_3185#" "m1_3550_1144#" 23.5106
-cap "li_4580_3335#" "li_4550_3185#" 30.4348
-cap "GND" "li_4580_1035#" 19.6371
-cap "li_3890_3185#" "li_4550_3185#" 2.04167
-cap "OUTB" "li_4580_3335#" 9.85692
-cap "li_3920_3335#" "m1_3550_1144#" 11.2009
-cap "INB" "OUTA" 40.0632
-cap "INA" "OUTA" 901.803
-cap "li_4550_3185#" "li_3260_3185#" 0.841202
-cap "INB" "BIAS" 96.5434
-cap "VDD" "OUTA" 27.3619
-cap "li_3265_3645#" "li_3260_3185#" 7.57647
-cap "INA" "BIAS" 96.5434
-cap "m1_3550_1144#" "OUTA" 2183.18
-cap "GND" "m1_3640_3828#" 56.8458
-cap "li_4580_1035#" "li_3265_1035#" 0.823529
-cap "li_4580_3335#" "li_3920_3335#" 1.83178
-cap "VDD" "BIAS" 2094.1
-cap "m1_3550_1144#" "BIAS" 6038.81
-cap "li_3890_3185#" "li_3260_3185#" 1.94059
-cap "li_3890_3185#" "li_3920_3335#" 30.4348
-cap "li_3265_3645#" "OUTA" 18.0902
-cap "OUTB" "OUTA" 93.23
-cap "li_3920_1035#" "li_4580_1035#" 1.83178
-cap "li_3260_3335#" "li_3265_3645#" 11.7091
-cap "INB" "m1_3640_3828#" 1.87254
-cap "GND" "li_3265_1035#" 38.1285
-cap "li_4580_3335#" "li_3260_3335#" 0.820084
-cap "INA" "m1_3640_3828#" 20.91
-cap "m1_3640_3828#" "m1_3550_1144#" 295.785
-cap "INB" "GND" 13.4786
-cap "GND" "INA" 13.4786
-cap "li_3260_3335#" "li_3260_3185#" 30.4348
-cap "li_3915_3945#" "li_3890_3185#" 4.82759
-cap "VDD" "GND" 2185.65
-cap "li_3260_3335#" "li_3920_3335#" 1.83178
-cap "li_4550_3185#" "li_4580_3650#" 8.13953
-cap "GND" "m1_3550_1144#" 24477.7
-cap "li_3265_3645#" "li_4580_3650#" 0.7
-cap "li_4580_3335#" "li_4580_3650#" 12.5
-cap "OUTB" "m1_3640_3828#" 2.952
-cap "li_3260_3335#" "OUTA" 11.4751
-cap "li_3915_3945#" "li_3920_3335#" 5.84348
-cap "GND" "li_3920_1035#" 12.7681
-cap "OUTB" "li_4580_3650#" 18.0978
-cap "OUTB" "GND" 251.81
-cap "INB" "INA" 187.588
-cap "m1_3640_3828#" "OUTA" 223.22
-cap "li_3920_1035#" "li_3265_1035#" 1.84906
-cap "INB" "m1_3550_1144#" 551.763
-cap "INA" "m1_3550_1144#" 597.238
-cap "VDD" "m1_3550_1144#" 195.789
-cap "GND" "OUTA" 452.084
-cap "GND" "BIAS" 6699.22
-cap "INB" "OUTB" 805.878
-cap "OUTB" "INA" 37.8519
-cap "XR17/a_n415_n1322#" "XM4/a_n897_n100#" -18.6057
-cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" -453.699
-cap "XM4/a_n945_n188#" "XR17/a_n285_n1192#" 83.827
-cap "XM4/a_n989_n100#" "XR17/a_n285_n1192#" -0.477436
-cap "XR16/a_n285_760#" "XR17/a_n285_n1192#" -6.2925
-cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 106.276
-cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 24.5411
-cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 239.717
-cap "XR17/a_n285_n1192#" "XR17/a_n415_n1322#" 231.458
-cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -2553.11
-cap "XR17/a_n285_n1192#" "XM4/a_n897_n100#" 0.151916
-cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" -253.315
-cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" -509.581
-cap "XR17/a_n285_n1192#" "XR17/a_n285_760#" -4.16793
-cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -1881.11
-cap "XM4/a_n945_n188#" "XR17/a_n285_n1192#" 99.4133
-cap "XR17/a_n285_n1192#" "XR17/a_n415_n1322#" 131.279
-cap "XM4/a_n989_n100#" "XR17/a_n285_n1192#" -0.505947
-cap "XM4/a_n897_n100#" "XR17/a_n285_n1192#" 0.129373
-cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 158.733
-cap "XM4/a_n989_n100#" "XM4/a_n945_n188#" 129.814
-cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 155.654
-cap "XR17/a_n285_n1192#" "XM4/a_n989_n100#" 0.038471
-cap "XR17/a_n285_760#" "XR17/a_n285_n1192#" -2.12457
-cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 0.883117
-cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 4.11683
-cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 1.32468
-cap "XM4/a_n945_n188#" "XR17/a_n285_n1192#" 1.77093
-cap "XR17/a_n285_n1192#" "XR17/a_n415_n1322#" -36.4084
-cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 528.331
-cap "XM4/a_n897_n100#" "XR16/a_n285_760#" -34.8651
-cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 89.0013
-cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 215.633
-cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 244.579
-cap "XM4/a_n989_n100#" "XM4/a_n945_n188#" -1460.14
-cap "XM4/a_n989_n100#" "XR16/a_n285_760#" -18.7921
-cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -8236.84
-cap "XM2/a_n177_n188#" "XM4/a_n945_n188#" 27.3588
-cap "XM4/a_n945_n188#" "XM3/a_n177_n188#" 28.8752
-cap "XM4/a_n945_n188#" "XM2/a_n177_n188#" 1.51637
-cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -5843.61
-cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 369.764
-cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 28.0744
-cap "XM4/a_n989_n100#" "XR17/a_n285_760#" 55.7543
-cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 403.497
-cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 302.243
-cap "XM4/a_n897_n100#" "XR17/a_n285_760#" 93.695
-cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 258.042
-cap "XR17/a_n415_n1322#" "XM4/a_n945_n188#" 11.5862
-cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 3.53247
-cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 3.09091
-cap "XM4/a_n1091_n274#" "XM1/a_n897_n100#" 50.5008
-cap "XM2/a_n177_n188#" "XM3/a_n177_n188#" 28.39
-cap "XM2/a_n177_n188#" "XR17/a_n285_760#" 4.65154
-cap "XR16/a_n285_760#" "XM4/a_n989_n100#" -1164.16
-cap "XM4/a_n1091_n274#" "XR16/a_n285_760#" 259.099
-cap "XM1/a_n945_n188#" "XM4/a_n989_n100#" 33.3149
-cap "XM1/a_n945_n188#" "XM4/a_n1091_n274#" 5.98745
-cap "XM4/a_n1091_n274#" "XM1/a_n945_n188#" 9.23431
-cap "XM4/a_n945_n188#" "XM1/a_n945_n188#" 2.13648
-cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" -105.963
-cap "XM4/a_n1091_n274#" "XM4/a_n989_n100#" -289.911
-cap "XR16/a_n285_760#" "XM3/a_n177_n188#" 3.00767
-cap "XM4/a_n945_n188#" "XM4/a_n1091_n274#" 1.482
-cap "XR16/a_n285_760#" "XR17/a_n285_760#" 1.70312
-cap "XM2/a_n177_n188#" "XR16/a_n285_760#" 144.513
-cap "XR16/a_n285_760#" "XM1/a_n897_n100#" -34.8651
-cap "XM2/a_n177_n188#" "XM1/a_n945_n188#" 15.0065
-cap "XM2/a_n177_n188#" "XM1/a_n945_n188#" 17.4397
-cap "XM4/a_n989_n100#" "XM3/a_n177_n188#" -556.552
-cap "XM4/a_n989_n100#" "XR17/a_n285_760#" -1608.6
-cap "XM4/a_n1091_n274#" "XM3/a_n177_n188#" 6.16017
-cap "XM2/a_n177_n188#" "XM4/a_n989_n100#" 91.3371
-cap "XM4/a_n1091_n274#" "XR17/a_n285_760#" 8.0551
-cap "XM2/a_n177_n188#" "XM4/a_n1091_n274#" 123.265
-cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" 22.3751
-cap "XM1/a_n897_n100#" "XM4/a_n989_n100#" -260.897
-cap "XR16/a_n285_760#" "XR16/a_n285_n1192#" -6.2925
-cap "XR16/a_n285_760#" "XR17/a_n285_760#" 1.70312
-cap "XM2/a_n177_n188#" "XR17/a_n285_760#" 7.82703
-cap "XM4/a_n1091_n274#" "XR17/a_n285_760#" 248.868
-cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 38.3784
-cap "XM4/a_n945_n188#" "XM3/a_n177_n188#" 22.3096
-cap "XM4/a_n1091_n274#" "XM4/a_n897_n100#" 50.8347
-cap "XM4/a_n1091_n274#" "XM1/a_n945_n188#" 17.1786
-cap "XM1/a_n945_n188#" "XM2/a_n177_n188#" 4.86988
-cap "XM4/a_n945_n188#" "XM3/a_n177_n188#" 15.0065
-cap "XM4/a_n1091_n274#" "XR16/a_n285_760#" 21.6607
-cap "XR16/a_n285_760#" "XM2/a_n177_n188#" -11.4596
-cap "XM4/a_n1091_n274#" "XM2/a_n177_n188#" -103.206
-cap "XM3/a_n177_n188#" "XM4/a_n989_n100#" 150.121
-cap "XM4/a_n989_n100#" "XR17/a_n285_760#" 561.143
-cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 15.5215
-cap "XM4/a_n945_n188#" "XM1/a_n945_n188#" 6.2356
-cap "XM3/a_n177_n188#" "XR17/a_n285_760#" 149.124
-cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" -0.572981
-cap "XM4/a_n1091_n274#" "XM4/a_n945_n188#" 19.0426
-cap "XM4/a_n897_n100#" "XM4/a_n989_n100#" -195.641
-cap "XM1/a_n945_n188#" "XM4/a_n989_n100#" -30.6469
-cap "XM4/a_n1091_n274#" "XM4/a_n945_n188#" 5.98745
-cap "XR16/a_n285_760#" "XM4/a_n989_n100#" 0.294604
-cap "XM4/a_n1091_n274#" "XM4/a_n989_n100#" 279.439
-cap "XM2/a_n177_n188#" "XM4/a_n989_n100#" -75.7322
-cap "XR17/a_n285_760#" "XR17/a_n285_n1192#" -4.16793
-cap "XM4/a_n897_n100#" "XR17/a_n285_760#" 93.695
-cap "XM3/a_n177_n188#" "XM2/a_n177_n188#" 56.0087
-cap "XR16/a_n285_760#" "XM3/a_n177_n188#" 3.00767
-cap "XM4/a_n1091_n274#" "XM3/a_n177_n188#" 172.353
-cap "XM4/a_n1091_n274#" "XM4/a_n989_n100#" 0.883117
-cap "XM4/a_n1091_n274#" "XM4/a_n945_n188#" 0.445455
-cap "XM4/a_n1091_n274#" "XM3/a_n177_n188#" 1.61485
-cap "XR17/a_n285_760#" "XR17/a_n285_n1192#" -2.12457
-cap "XR17/a_n285_760#" "XM2/a_n221_n100#" -1.50884
-cap "XR17/a_n285_760#" "XM4/a_n989_n100#" 0.176919
-cap "XR17/a_n285_760#" "XM4/a_n1091_n274#" 7.76504
-cap "XR17/a_n285_760#" "XM3/a_n177_n188#" -1.05397
-merge "XM2/a_63_n100#" "XM2/a_n129_n100#" -18599.6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -38273723 -18860 0 0 0 0 0 0 0 0 0 0
+cap "li_4580_1035#" "li_3920_1035#" 1.58526
+cap "OUTA" "li_4580_3650#" 1.61073
+cap "INB" "li_3915_3945#" 1.81115
+cap "m1_3550_1144#" "OUTB" 390.257
+cap "m1_3550_1144#" "li_4550_3185#" 1.18673
+cap "GND" "OUTB" 142.956
+cap "OUTA" "m1_3640_3828#" 19.8558
+cap "INA" "li_4580_3650#" 0.656076
+cap "BIAS" "INB" 57.3389
+cap "li_4550_3185#" "GND" 6.59908
+cap "VDD" "OUTB" 43.2753
+cap "INA" "m1_3640_3828#" 11.6033
+cap "m1_3550_1144#" "li_3915_3945#" 6.54976
+cap "li_4580_3335#" "li_3920_3335#" 1.58526
+cap "li_4580_3650#" "OUTB" 6.48345
+cap "BIAS" "m1_3550_1144#" 2631.81
+cap "m1_3640_3828#" "OUTB" 2.81932
+cap "BIAS" "SUB" 3.81032
+cap "BIAS" "GND" 2941.91
+cap "BIAS" "VDD" 1364.52
+cap "li_3265_1035#" "li_3920_1035#" 1.59946
+cap "INB" "li_3265_3645#" 0.65612
+cap "INA" "OUTA" 405.483
+cap "OUTA" "li_3890_3185#" 4.06344
+cap "BIAS" "li_4580_1035#" 1.24293
+cap "m1_3550_1144#" "li_4580_3335#" 0.694164
+cap "li_3260_3335#" "li_3265_3645#" 14.5173
+cap "li_4580_3335#" "GND" 0.486451
+cap "OUTA" "OUTB" 68.0674
+cap "OUTA" "li_4550_3185#" 0.412473
+cap "li_3260_3335#" "li_3920_3335#" 1.58526
+cap "m1_3550_1144#" "li_3265_3645#" 1.11186
+cap "INA" "OUTB" 15.7065
+cap "li_3890_3185#" "OUTB" 4.00067
+cap "GND" "li_3265_3645#" 0.698314
+cap "m1_3550_1144#" "li_3920_3335#" 6.2148
+cap "li_4550_3185#" "li_3890_3185#" 1.75689
+cap "li_4580_3335#" "li_4580_3650#" 10.349
+cap "INB" "m1_3550_1144#" 273.134
+cap "li_4580_3650#" "li_3265_3645#" 0.622964
+cap "li_4550_3185#" "OUTB" 9.29216
+cap "li_3260_3335#" "li_3260_3185#" 22.2902
+cap "INA" "li_3915_3945#" 1.81337
+cap "li_3915_3945#" "li_3890_3185#" 0.169323
+cap "OUTA" "BIAS" 12.0556
+cap "INB" "GND" 13.2254
+cap "BIAS" "li_3265_1035#" 1.99872
+cap "BIAS" "li_3920_1035#" 2.91704
+cap "li_3260_3335#" "m1_3550_1144#" 0.696352
+cap "m1_3550_1144#" "li_3260_3185#" 1.08814
+cap "INA" "BIAS" 57.4772
+cap "GND" "li_3260_3185#" 4.766
+cap "li_3260_3335#" "GND" 0.526988
+cap "INB" "li_4580_3650#" 3.01773
+cap "m1_3550_1144#" "SUB" 2.2316
+cap "m1_3550_1144#" "GND" 6731.93
+cap "OUTA" "li_4580_3335#" 0.508676
+cap "SUB" "GND" 5.34976
+cap "BIAS" "OUTB" 12.0632
+cap "INB" "m1_3640_3828#" 0.597098
+cap "m1_3550_1144#" "VDD" 143.932
+cap "GND" "VDD" 1952.86
+cap "OUTA" "li_3265_3645#" 5.94091
+cap "m1_3550_1144#" "li_4580_3650#" 1.12966
+cap "li_4580_1035#" "GND" 8.26048
+cap "OUTA" "li_3920_3335#" 3.48819
+cap "INA" "li_3265_3645#" 2.33336
+cap "li_4580_3650#" "GND" 0.70405
+cap "m1_3550_1144#" "m1_3640_3828#" 61.2236
+cap "li_4580_1035#" "VDD" 4.73084
+cap "li_4580_3335#" "OUTB" 11.2135
+cap "li_4580_3335#" "li_4550_3185#" 22.2902
+cap "li_3890_3185#" "li_3920_3335#" 33.4353
+cap "OUTA" "INB" 15.6475
+cap "OUTB" "li_3265_3645#" 1.20852
+cap "INA" "INB" 119.468
+cap "OUTA" "li_3260_3185#" 8.46573
+cap "li_3260_3335#" "OUTA" 11.2147
+cap "OUTB" "li_3920_3335#" 3.51064
+cap "OUTA" "m1_3550_1144#" 756.64
+cap "li_3890_3185#" "li_3260_3185#" 1.67448
+cap "INB" "OUTB" 362.857
+cap "m1_3550_1144#" "li_3920_1035#" 9.16019
+cap "OUTA" "GND" 141.734
+cap "INA" "m1_3550_1144#" 270.331
+cap "li_3265_1035#" "GND" 11.3433
+cap "m1_3550_1144#" "li_3890_3185#" 18.2293
+cap "GND" "li_3920_1035#" 5.08293
+cap "li_3915_3945#" "li_3920_3335#" 5.07463
+cap "OUTA" "VDD" 43.2723
+cap "li_3265_1035#" "VDD" 4.7277
+cap "INA" "GND" 14.3804
+cap "VDD" "li_3920_1035#" 3.89614
+cap "li_3260_3185#" "OUTB" 0.303262
+cap "li_3260_3335#" "OUTB" 0.526999
+cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -430.488
+cap "XR16/a_n285_760#" "XR17/a_n285_n1192#" -5.26855
+cap "XR17/a_n415_n1322#" "XM4/a_n897_n100#" 110.329
+cap "XM4/a_n989_n100#" "XR17/a_n285_n1192#" 0.477786
+cap "XR17/a_n415_n1322#" "XR17/a_n285_n1192#" 129.678
+cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 62.8574
+cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 21.3303
+cap "XM4/a_n945_n188#" "XR17/a_n285_n1192#" 186.643
+cap "XM4/a_n989_n100#" "XM4/a_n945_n188#" 61.3766
+cap "XR17/a_n415_n1322#" "XM4/a_n945_n188#" 76.9303
+cap "XM4/a_n897_n100#" "XR17/a_n285_n1192#" 142.669
+cap "XM4/a_n989_n100#" "XR17/a_n285_n1192#" 3.49594
+cap "XM4/a_n897_n100#" "XM4/a_n989_n100#" -430.488
+cap "XR17/a_n285_n1192#" "XM4/a_n945_n188#" 241.706
+cap "XM4/a_n897_n100#" "XM4/a_n945_n188#" 116.991
+cap "XR17/a_n285_760#" "XR17/a_n285_n1192#" -3.84094
+cap "XR17/a_n415_n1322#" "XR17/a_n285_n1192#" 129.64
+cap "XM4/a_n989_n100#" "XM4/a_n945_n188#" 88.4552
+cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 83.3973
+cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 57.2882
+cap "XR17/a_n415_n1322#" "XM4/a_n945_n188#" 155.256
+cap "XM4/a_n897_n100#" "XR17/a_n285_n1192#" 65.8961
+cap "XR17/a_n415_n1322#" "XM4/a_n989_n100#" 1.79408
+cap "XR17/a_n415_n1322#" "XM4/a_n945_n188#" 2.62815
+cap "XR17/a_n415_n1322#" "XM4/a_n897_n100#" 2.32816
+cap "XR17/a_n415_n1322#" "XR17/a_n285_n1192#" 42.3588
+cap "XR17/a_n285_760#" "XR17/a_n285_n1192#" -1.92433
+cap "XM4/a_n945_n188#" "XR16/a_n285_760#" 0.802123
+cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 140.706
+cap "XM4/a_n945_n188#" "XM2/a_n177_n188#" 5.22495
+cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 121.378
+cap "XM4/a_n989_n100#" "XR17/a_n285_n1192#" 0.0897655
+cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 168.759
+cap "XM4/a_n989_n100#" "XR16/a_n285_760#" 5.23976
+cap "XM4/a_n989_n100#" "XM2/a_n177_n188#" 1.98386
+cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 51.6675
+cap "XM4/a_n897_n100#" "XM4/a_n945_n188#" 82.1934
+cap "XM4/a_n897_n100#" "XR17/a_n285_n1192#" 0.00541085
+cap "XM4/a_n897_n100#" "XM4/a_n989_n100#" -1227.96
+cap "XM4/a_n897_n100#" "XM2/a_n177_n188#" 2.05354
+cap "XM4/a_n897_n100#" "XR16/a_n285_760#" 5.59503
+cap "XM4/a_n945_n188#" "XR17/a_n285_n1192#" 0.0901854
+cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 222.966
+cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -1227.77
+cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 176.304
+cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 224.52
+cap "XM4/a_n989_n100#" "XR17/a_n285_n1192#" 0.0897655
+cap "XM4/a_n897_n100#" "XR17/a_n285_n1192#" 0.00541085
+cap "XM4/a_n945_n188#" "XR17/a_n285_n1192#" 0.103613
+cap "XM4/a_n989_n100#" "XR17/a_n285_760#" 5.58595
+cap "XM4/a_n897_n100#" "XR17/a_n285_760#" 5.59576
+cap "XM4/a_n945_n188#" "XR17/a_n285_760#" 0.803399
+cap "XM3/a_n177_n188#" "XM4/a_n897_n100#" 2.05354
+cap "XM3/a_n177_n188#" "XM4/a_n989_n100#" 1.98386
+cap "XM4/a_n945_n188#" "XM2/a_n177_n188#" 0.736404
+cap "XR17/a_n415_n1322#" "XM4/a_n897_n100#" 130.811
+cap "XR17/a_n415_n1322#" "XM4/a_n989_n100#" 114.969
+cap "XM3/a_n177_n188#" "XM4/a_n945_n188#" 5.96135
+cap "XM4/a_n989_n100#" "XR17/a_n415_n1322#" 15.6074
+cap "XM4/a_n945_n188#" "XR17/a_n415_n1322#" 24.7255
+cap "XM4/a_n897_n100#" "XR17/a_n415_n1322#" 23.6246
+cap "XR16/a_n285_760#" "XR16/a_n285_n1192#" -5.44895
+cap "XR16/a_n285_760#" "XM3/a_n177_n188#" 2.71456
+cap "XR17/a_n285_760#" "XM4/a_n1091_n274#" 4.45164
+cap "XR17/a_n285_760#" "XM1/a_n897_n100#" 0.0430314
+cap "XM4/a_n897_n100#" "XM4/a_n1091_n274#" 0.501875
+cap "XM4/a_n945_n188#" "XM4/a_n1091_n274#" 1.03868
+cap "XM4/a_n945_n188#" "XM1/a_n897_n100#" 0.239931
+cap "XM4/a_n989_n100#" "XM4/a_n1091_n274#" 94.8929
+cap "XM2/a_n177_n188#" "XM4/a_n1091_n274#" 67.8554
+cap "XM2/a_n177_n188#" "XM1/a_n897_n100#" 6.21934
+cap "XM1/a_n897_n100#" "XM4/a_n989_n100#" -30.6942
+cap "XM4/a_n897_n100#" "XM1/a_n945_n188#" 0.230889
+cap "XM1/a_n945_n188#" "XM4/a_n945_n188#" 0.321076
+cap "XM2/a_n177_n188#" "XM1/a_n945_n188#" 11.1753
+cap "XM1/a_n945_n188#" "XM4/a_n989_n100#" 1.86612
+cap "XM2/a_n177_n188#" "XM1/a_n945_n188#" 4.82737
+cap "XR16/a_n285_760#" "XM4/a_n1091_n274#" 176.48
+cap "XR16/a_n285_760#" "XM1/a_n897_n100#" 17.5559
+cap "XM1/a_n945_n188#" "XM4/a_n989_n100#" 2.72241
+cap "XR16/a_n285_760#" "XM1/a_n945_n188#" 5.55496
+cap "XM3/a_n177_n188#" "XM4/a_n1091_n274#" 3.6814
+cap "XR16/a_n285_760#" "XM1/a_n945_n188#" 5.66296
+cap "XR17/a_n285_760#" "XM4/a_n989_n100#" 1.733
+cap "XM2/a_n177_n188#" "XR17/a_n285_760#" 7.99516
+cap "XM4/a_n897_n100#" "XM4/a_n989_n100#" -0.0112615
+cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 0.0486125
+cap "XR16/a_n285_760#" "XR17/a_n285_760#" 13.7364
+cap "XM1/a_n897_n100#" "XM4/a_n1091_n274#" 60.3144
+cap "XM2/a_n177_n188#" "XM4/a_n989_n100#" 19.0911
+cap "XM4/a_n897_n100#" "XR16/a_n285_760#" 0.0846533
+cap "XM1/a_n945_n188#" "XM4/a_n1091_n274#" 34.5968
+cap "XM1/a_n945_n188#" "XM4/a_n1091_n274#" 15.872
+cap "XM2/a_n177_n188#" "XR16/a_n285_760#" 51.533
+cap "XR16/a_n285_760#" "XM4/a_n989_n100#" -296.277
+cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" 2.99686
+cap "XM2/a_n177_n188#" "XM3/a_n177_n188#" 10.3072
+cap "XM3/a_n177_n188#" "XM4/a_n989_n100#" 0.467847
+cap "XR16/a_n285_760#" "XM4/a_n897_n100#" 0.0434066
+cap "XR17/a_n285_760#" "XM2/a_n177_n188#" 10.4979
+cap "XM4/a_n1091_n274#" "XR16/a_n285_760#" 26.6906
+cap "XM4/a_n945_n188#" "XM1/a_n945_n188#" 6.06314
+cap "XM4/a_n945_n188#" "XM3/a_n177_n188#" 10.9094
+cap "XR17/a_n285_760#" "XM4/a_n897_n100#" 16.3332
+cap "XR16/a_n285_760#" "XM4/a_n989_n100#" 2.25396
+cap "XR17/a_n285_760#" "XM4/a_n1091_n274#" 172.123
+cap "XM4/a_n945_n188#" "XM4/a_n897_n100#" 2.99686
+cap "XM1/a_n897_n100#" "XM4/a_n1091_n274#" 1.21282
+cap "XM4/a_n945_n188#" "XM4/a_n1091_n274#" 46.3123
+cap "XM4/a_n945_n188#" "XM4/a_n1091_n274#" 34.8404
+cap "XR17/a_n285_760#" "XM4/a_n989_n100#" 159.257
+cap "XM1/a_n897_n100#" "XM4/a_n989_n100#" -0.0112615
+cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 4.46864
+cap "XM2/a_n177_n188#" "XM3/a_n177_n188#" 48.6931
+cap "XM4/a_n945_n188#" "XM4/a_n989_n100#" 1.86612
+cap "XM1/a_n945_n188#" "XM2/a_n177_n188#" 14.1836
+cap "XM4/a_n897_n100#" "XM3/a_n177_n188#" 5.53406
+cap "XR17/a_n285_760#" "XR17/a_n285_n1192#" -3.63374
+cap "XR17/a_n285_760#" "XR16/a_n285_760#" 16.025
+cap "XM4/a_n1091_n274#" "XM3/a_n177_n188#" 87.651
+cap "XM1/a_n945_n188#" "XM4/a_n897_n100#" 0.784894
+cap "XM1/a_n945_n188#" "XM4/a_n1091_n274#" 31.9299
+cap "XM4/a_n1091_n274#" "XM2/a_n177_n188#" 26.6227
+cap "XR17/a_n285_760#" "XM1/a_n897_n100#" 0.0840615
+cap "XM4/a_n989_n100#" "XM3/a_n177_n188#" 46.2629
+cap "XM4/a_n1091_n274#" "XM4/a_n897_n100#" 60.3348
+cap "XR17/a_n285_760#" "XM4/a_n945_n188#" 5.66304
+cap "XM1/a_n897_n100#" "XM4/a_n945_n188#" 0.775852
+cap "XM1/a_n945_n188#" "XM4/a_n989_n100#" 3.82104
+cap "XM2/a_n177_n188#" "XM4/a_n989_n100#" 12.0567
+cap "XM4/a_n945_n188#" "XR17/a_n285_760#" 5.55496
+cap "XM4/a_n989_n100#" "XM4/a_n897_n100#" -28.9137
+cap "XR16/a_n285_760#" "XM3/a_n177_n188#" 15.2675
+cap "XM4/a_n1091_n274#" "XM4/a_n989_n100#" 173.022
+cap "XM2/a_n177_n188#" "XR16/a_n285_760#" 1.55611
+cap "XR17/a_n285_760#" "XM3/a_n177_n188#" 54.9507
+cap "XM4/a_n945_n188#" "XM3/a_n177_n188#" 15.2645
+cap "XM4/a_n1091_n274#" "XR17/a_n285_760#" 26.1242
+cap "XM4/a_n945_n188#" "XM4/a_n1091_n274#" 0.722419
+cap "XM4/a_n1091_n274#" "XM3/a_n177_n188#" 1.72865
+cap "XM4/a_n1091_n274#" "XM4/a_n897_n100#" 0.777656
+cap "XM4/a_n1091_n274#" "XM4/a_n989_n100#" 3.4201
+cap "XR17/a_n285_n1192#" "XR17/a_n285_760#" -1.81392
+merge "XM2/a_63_n100#" "XM2/a_n129_n100#" -1314.27 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -147044 -18860 0 0 0 0 0 0 0 0 0 0
 merge "XM2/a_n129_n100#" "XM3/a_63_n100#"
 merge "XM3/a_63_n100#" "XM3/a_n129_n100#"
 merge "XM3/a_n129_n100#" "XM1/a_927_n100#"
@@ -233,7 +297,7 @@
 merge "XM4/a_n609_n100#" "XM4/a_n801_n100#"
 merge "XM4/a_n801_n100#" "XM4/a_n989_n100#"
 merge "XM4/a_n989_n100#" "m1_3550_1144#"
-merge "XM2/a_n323_n274#" "XM3/a_n323_n274#" 1060.32 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2702286 -2630 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XM2/a_n323_n274#" "XM3/a_n323_n274#" -3757.69 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -15581 -2630 0 0 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_n323_n274#" "li_3920_3335#"
 merge "li_3920_3335#" "li_3915_3945#"
 merge "li_3915_3945#" "XM1/a_n1091_n274#"
@@ -252,16 +316,16 @@
 merge "XR17/a_n415_n1322#" "VSUBS"
 merge "VSUBS" "li_4580_1035#"
 merge "li_4580_1035#" "li_4550_3185#"
-merge "XM3/a_159_n100#" "XM3/a_n33_n100#" 8832.13 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12823730 -2626 0 0 0 0 0 0 0 0 0 0
+merge "XM3/a_159_n100#" "XM3/a_n33_n100#" -1026.85 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -218430 -2626 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_n33_n100#" "XM3/a_n221_n100#"
 merge "XM3/a_n221_n100#" "XR17/a_n285_760#"
 merge "XR17/a_n285_760#" "OUTB"
-merge "XM2/a_159_n100#" "XM2/a_n33_n100#" -337.354 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1369430 -4142 0 0 0 0 0 0 0 0 0 0
+merge "XM2/a_159_n100#" "XM2/a_n33_n100#" -1066.44 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -223734 -4142 0 0 0 0 0 0 0 0 0 0
 merge "XM2/a_n33_n100#" "XM2/a_n221_n100#"
 merge "XM2/a_n221_n100#" "m1_3640_3828#"
 merge "m1_3640_3828#" "XR16/a_n285_760#"
 merge "XR16/a_n285_760#" "OUTA"
-merge "XM1/a_831_n100#" "XM1/a_639_n100#" -36250.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -54533340 -15272 0 0 0 0 0 0 0 0 0 0
+merge "XM1/a_831_n100#" "XM1/a_639_n100#" -1183.63 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -116432 -15272 0 0 0 0 0 0 0 0 0 0
 merge "XM1/a_639_n100#" "XM1/a_447_n100#"
 merge "XM1/a_447_n100#" "XM1/a_255_n100#"
 merge "XM1/a_255_n100#" "XM1/a_63_n100#"
@@ -281,11 +345,11 @@
 merge "XM4/a_n513_n100#" "XM4/a_n705_n100#"
 merge "XM4/a_n705_n100#" "XM4/a_n897_n100#"
 merge "XM4/a_n897_n100#" "GND"
-merge "XR16/a_n285_n1192#" "XR17/a_n285_n1192#" -9176.73 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8660776 -3884 -8906500 0 0 0 0 0 0 0 0 0
+merge "XR16/a_n285_n1192#" "XR17/a_n285_n1192#" -1502.75 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -459716 -3884 0 0 0 0 0 0 0 0 0 0
 merge "XR17/a_n285_n1192#" "VDD"
-merge "XM3/a_n81_122#" "XM3/a_n177_n188#" 949.075 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1534280 -950 0 0 0 0 0 0 0 0 0 0
+merge "XM3/a_n81_122#" "XM3/a_n177_n188#" -242.951 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -12737 -950 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_n177_n188#" "INB"
-merge "XM1/a_n945_n188#" "XM4/a_n945_n188#" 31905.6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 52393450 -10036 0 0 0 0 0 0 0 0 0 0
+merge "XM1/a_n945_n188#" "XM4/a_n945_n188#" -2285.88 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -136750 -10036 0 0 0 0 0 0 0 0 0 0
 merge "XM4/a_n945_n188#" "BIAS"
-merge "XM2/a_n81_122#" "XM2/a_n177_n188#" 418.146 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 552415 -950 0 0 0 0 0 0 0 0 0 0
+merge "XM2/a_n81_122#" "XM2/a_n177_n188#" -247.625 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -12737 -950 0 0 0 0 0 0 0 0 0 0
 merge "XM2/a_n177_n188#" "INA"
diff --git a/mag/output_buffer.ext b/mag/output_buffer.ext
index e546145..909dc04 100644
--- a/mag/output_buffer.ext
+++ b/mag/output_buffer.ext
@@ -16,539 +16,668 @@
 use sky130_fd_pr__res_high_po_5p73_W59YBA XR29 0 1 15798 -1 0 1739
 use sky130_fd_pr__nfet_01v8_lvt_LELFGX XM3 1 0 18902 0 1 650
 use sky130_fd_pr__nfet_01v8_lvt_LELFGX XM4 1 0 18902 0 1 -10
-node "OUTB" 6 3375.4 13750 -1655 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 537075 8460 583200 6690 1428450 7620 0 0 0 0 0 0
-node "m1_12140_n1090#" 11 2170.68 12140 -1090 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 496315 12330 537000 5460 0 0 0 0 0 0 0 0
-node "INB" 6 739.208 12190 -190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 156675 5810 0 0 0 0 0 0 0 0 0 0
-node "GND" 82 11166.5 16085 -110 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 681750 33000 450000 21000 7286725 31730 0 0 0 0 0 0
-node "m1_13690_15#" 113 8586.28 13690 15 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 950463 46244 630000 29400 4805550 17470 0 0 0 0 0 0
-node "BIAS" 0 6656.92 15855 -1840 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1312720 52580 0 0 0 0 0 0 0 0 0 0
-node "GND" 18 3885.89 9945 -110 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 238500 11000 2792750 15320 0 0 0 0 0 0 0 0
-node "m1_9850_15#" 23 2496.17 9850 15 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 334250 15400 1658250 6780 0 0 0 0 0 0 0 0
-node "INA" 9 1009.68 12190 780 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 184300 7410 0 0 0 0 0 0 0 0 0 0
-node "BIAS" 25 2648.88 9900 -190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 500500 20120 0 0 0 0 0 0 0 0 0 0
-node "OUTA" 6 3731.37 13740 1190 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 527950 8440 586750 6690 1409050 7590 0 0 0 0 0 0
-node "VDD" 2 2611.2 10205 -1080 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 418700 3700 484500 3980 1354500 6920 0 0 0 0 0 0
-node "m1_12140_1165#" 11 2668.09 12140 1165 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 508125 12320 546175 5420 0 0 0 0 0 0 0 0
-node "VDD" 3 5442.57 17435 -1675 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 996150 6320 996150 6320 996150 6320 2354075 9160 0 0 0 0
-node "li_12675_n1220#" 299 367.548 12675 -1220 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37400 1950 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_17965_n435#" 59 97.8065 17965 -435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7400 450 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_15740_n425#" 176 164.593 15740 -425 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16800 1030 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_12660_n430#" 675 555.784 12660 -430 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 85600 4330 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_10055_n430#" 58 95.4538 10055 -430 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7200 440 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_11905_n430#" 154 171.571 11905 -430 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19175 1040 0 0 0 0 0 0 0 0 0 0 0 0
+node "OUTB" 6 2800.55 13750 -1655 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 537075 8460 583200 6690 1428450 7620 0 0 0 0 0 0
+node "m1_12140_n1090#" 11 1881.6 12140 -1090 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 496315 12330 537000 5460 0 0 0 0 0 0 0 0
+node "INB" 6 515.791 12190 -190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 156675 5810 0 0 0 0 0 0 0 0 0 0
+node "GND" 82 5846.97 16085 -110 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 681750 33000 450000 21000 7286725 31730 0 0 0 0 0 0
+node "m1_13690_15#" 113 4127.5 13690 15 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 950463 46244 630000 29400 4805550 17470 0 0 0 0 0 0
+node "BIAS" 0 4429.09 15855 -1840 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1312720 52580 0 0 0 0 0 0 0 0 0 0
+node "GND" 18 2838.59 9945 -110 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 238500 11000 2792750 15320 0 0 0 0 0 0 0 0
+node "m1_9850_15#" 23 1150.49 9850 15 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 334250 15400 1658250 6780 0 0 0 0 0 0 0 0
+node "INA" 9 777.406 12190 780 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 184300 7410 0 0 0 0 0 0 0 0 0 0
+node "BIAS" 25 1769.04 9900 -190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 500500 20120 0 0 0 0 0 0 0 0 0 0
+node "OUTA" 6 2881.84 13740 1190 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 527950 8440 586750 6690 1409050 7590 0 0 0 0 0 0
+node "VDD" 2 2434.02 10205 -1080 v 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 418700 3700 484500 3980 1354500 6920 0 0 0 0 0 0
+node "m1_12140_1165#" 11 2094.85 12140 1165 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 508125 12320 546175 5420 0 0 0 0 0 0 0 0
+node "VDD" 3 5137.19 17435 -1675 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 996150 6320 996150 6320 996150 6320 2354075 9160 0 0 0 0
+node "li_12675_n1220#" 299 368.931 12675 -1220 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37400 1950 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_17965_n435#" 59 97.6026 17965 -435 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7400 450 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_15740_n425#" 176 169.128 15740 -425 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16800 1030 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_12660_n430#" 675 432.825 12660 -430 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 85600 4330 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_10055_n430#" 58 95.3474 10055 -430 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7200 440 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_11905_n430#" 154 155.448 11905 -430 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19175 1040 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_21885_225#" 69 97.7246 21885 225 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6650 450 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_15910_230#" 66 54.5673 15910 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6300 430 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_15735_230#" 66 54.2485 15735 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6300 430 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_12665_225#" 679 658.61 12665 225 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 85950 4350 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_12050_230#" 61 50.5407 12050 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7600 460 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_11905_235#" 61 73.5059 11905 235 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7600 460 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_15910_230#" 66 53.912 15910 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6300 430 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_15735_230#" 66 53.8808 15735 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6300 430 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_12665_225#" 679 396.383 12665 225 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 85950 4350 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_12050_230#" 61 39.8781 12050 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7600 460 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_11905_235#" 61 57.8338 11905 235 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7600 460 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_9755_230#" 61 100.638 9755 230 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7600 460 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_17960_890#" 91 123.475 17960 890 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8750 570 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_15745_885#" 180 171.747 15745 885 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17125 1050 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_11900_885#" 168 178.095 11900 885 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17750 1040 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_12665_885#" 669 693.496 12665 885 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 85200 4300 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_17960_890#" 91 123.373 17960 890 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8750 570 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_15745_885#" 180 171.115 15745 885 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17125 1050 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_11900_885#" 168 154.432 11900 885 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17750 1040 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_12665_885#" 669 432.176 12665 885 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 85200 4300 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_10060_880#" 84 114.555 10060 880 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8050 530 0 0 0 0 0 0 0 0 0 0 0 0
-node "SUB" 299 431.3 12675 1830 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37400 1950 0 0 0 0 0 0 0 0 0 0 0 0
+node "SUB" 299 369.621 12675 1830 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37400 1950 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_14375_550#" 522 0 14375 550 ndif 0 0 0 0 0 0 0 0 9200 492 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m1_9850_15#" "m1_12140_n1090#" 1597.86
-cap "m1_12140_1165#" "VDD" 27.2599
-cap "li_11905_235#" "li_12665_225#" 7.18792
-cap "VDD" "m1_13690_15#" 1431.69
-cap "li_12665_885#" "m1_12140_1165#" 390.656
-cap "m1_9850_15#" "INB" 779.611
-cap "li_15745_885#" "li_15910_230#" 1.17588
-cap "GND" "m1_12140_1165#" 123.179
-cap "VDD" "li_17960_890#" 6.23895
-cap "BIAS" "m1_12140_1165#" 50.9793
-cap "GND" "BIAS" 12349.9
-cap "li_15735_230#" "li_15740_n425#" 1.76842
-cap "li_12665_225#" "INA" 80.4219
-cap "GND" "VDD" 1580.46
-cap "m1_12140_n1090#" "INA" 270.639
-cap "m1_9850_15#" "li_12050_230#" 30.3788
-cap "li_12675_n1220#" "li_12660_n430#" 63.5332
-cap "li_12665_885#" "SUB" 62.3644
-cap "INB" "INA" 297.391
-cap "li_11900_885#" "li_12050_230#" 2.4086
-cap "li_11905_235#" "li_12050_230#" 49.3333
-cap "GND" "li_17965_n435#" 37.5495
-cap "BIAS" "VDD" 189.741
-cap "BIAS" "li_11905_n430#" 109.405
-cap "li_15735_230#" "m1_13690_15#" 21.2158
-cap "m1_12140_n1090#" "BIAS" 20.6336
-cap "OUTA" "m1_9850_15#" 30.875
-cap "INB" "m1_12140_n1090#" 763.315
-cap "m1_9850_15#" "OUTB" 30.8811
-cap "li_12660_n430#" "li_11905_n430#" 16.652
-cap "BIAS" "GND" 5473.15
-cap "li_12665_885#" "li_12660_n430#" 79.8906
-cap "m1_9850_15#" "m1_12140_1165#" 1622.79
-cap "li_10055_n430#" "li_10060_880#" 0.867257
-cap "m1_9850_15#" "m1_13690_15#" 84.5942
-cap "li_15740_n425#" "li_15745_885#" 4.55744
-cap "a_14375_550#" "OUTA" 7.728
-cap "OUTA" "GND" 308.36
-cap "li_12665_225#" "li_12050_230#" 11.3745
-cap "li_15740_n425#" "li_15910_230#" 1.17895
-cap "GND" "OUTB" 309.59
-cap "m1_9850_15#" "VDD" 1239.56
-cap "GND" "m1_13690_15#" 45156.3
-cap "OUTA" "INA" 18.058
-cap "INA" "OUTB" 143.71
-cap "li_11900_885#" "li_11905_n430#" 4.58407
-cap "li_15740_n425#" "BIAS" 92.0874
-cap "li_11905_235#" "li_11905_n430#" 2.33333
-cap "m1_9850_15#" "GND" 15522.7
-cap "li_12665_885#" "li_11900_885#" 16.5238
-cap "m1_12140_1165#" "INA" 631.625
-cap "m1_13690_15#" "li_15910_230#" 21.3339
-cap "INA" "m1_13690_15#" 108.353
-cap "OUTA" "m1_12140_n1090#" 127.619
-cap "GND" "li_17960_890#" 45.0405
-cap "m1_12140_n1090#" "OUTB" 2024.54
-cap "li_12675_n1220#" "INA" 28.8436
-cap "OUTA" "BIAS" 80.2817
-cap "BIAS" "m1_9850_15#" 5577.5
-cap "BIAS" "OUTB" 80.4994
-cap "GND" "VDD" 2906.52
-cap "li_12675_n1220#" "li_12665_225#" 34.6976
-cap "INB" "OUTB" 79.2653
-cap "m1_12140_n1090#" "m1_12140_1165#" 659.532
-cap "m1_12140_n1090#" "m1_13690_15#" 2145.68
-cap "m1_12140_1165#" "BIAS" 17.2467
-cap "INB" "m1_12140_1165#" 39.5174
-cap "m1_13690_15#" "BIAS" 11616.9
-cap "li_11905_235#" "BIAS" 87.8764
-cap "INB" "m1_13690_15#" 64.9631
-cap "li_12675_n1220#" "INB" 40.9045
-cap "li_12665_885#" "li_12665_225#" 170.47
-cap "GND" "INA" 24.7213
-cap "m1_12140_n1090#" "VDD" 27.3138
-cap "SUB" "li_12665_225#" 34.5791
-cap "VDD" "BIAS" 228.873
-cap "BIAS" "INA" 73.7856
-cap "GND" "m1_12140_n1090#" 125.622
-cap "GND" "li_10060_880#" 31.7798
-cap "GND" "INB" 41.3441
-cap "li_12660_n430#" "INA" 62.2403
-cap "li_15735_230#" "li_15745_885#" 1.47368
-cap "li_17965_n435#" "li_17960_890#" 0.736842
-cap "BIAS" "m1_12140_n1090#" 178.053
-cap "li_12660_n430#" "li_12665_225#" 168.701
-cap "OUTA" "OUTB" 265.324
-cap "li_11905_235#" "m1_9850_15#" 26.2325
-cap "GND" "li_10055_n430#" 33.4322
-cap "BIAS" "INB" 84.1991
-cap "OUTA" "m1_12140_1165#" 2194.11
-cap "li_15735_230#" "li_15910_230#" 36
-cap "li_12660_n430#" "m1_12140_n1090#" 96.451
-cap "li_11905_235#" "li_11900_885#" 2.43478
-cap "OUTA" "m1_13690_15#" 7248.28
-cap "m1_12140_1165#" "OUTB" 128.137
-cap "m1_13690_15#" "OUTB" 7438.01
-cap "INB" "li_12660_n430#" 69.0945
-cap "li_11905_n430#" "li_12050_230#" 2.35789
-cap "m1_12140_1165#" "m1_13690_15#" 2064.22
-cap "m1_9850_15#" "INA" 704.917
-cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 0.880055
-cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" 0.443203
-cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 0.0521415
-cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 0.515456
-cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 0.0273414
-cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" -149.108
-cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 0.0808325
-cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 0.918489
-cap "XM2/a_n945_n188#" "XR2/a_n285_n1192#" 0.420982
-cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 0.585106
-cap "XM2/a_n945_n188#" "XR2/a_n285_n1192#" 1.36834
-cap "XR2/a_n285_n1192#" "XR2/a_n285_760#" -6.30136
-cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 3.54562
-cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 3.56978
-cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 11.6701
-cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" 3.10242
-cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 14.6694
-cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 3.64362
-cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" 115.851
-cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 37.1986
-cap "XM2/a_n705_n100#" "XR2/a_n415_n1322#" 0.443203
-cap "XR2/a_n415_n1322#" "XM1/a_n801_n100#" 1.77281
-cap "XM43/a_n81_122#" "XR3/a_n573_n2072#" 0.906962
-cap "XR2/a_n415_n1322#" "XM43/a_n81_122#" 6.24054
-cap "XR2/a_n285_760#" "XR2/a_n285_n1192#" -6.30136
-cap "XR2/a_n415_n1322#" "XR3/a_n573_n2072#" 8.94141
-cap "XR2/a_n285_760#" "XM1/a_n945_n188#" 1.42109e-14
-cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 0.499103
-cap "XR2/a_n285_760#" "XM32/a_n945_n188#" 0.891386
-cap "XR2/a_n285_760#" "XM1/a_n801_n100#" 4.07832
-cap "XR2/a_n285_760#" "XM43/a_n81_122#" 8.30654
-cap "XR2/a_n285_760#" "XR3/a_n573_n2072#" 14.212
-cap "XR2/a_n285_760#" "XR2/a_n415_n1322#" 111.946
-cap "XR2/a_n285_760#" "XM42/a_n177_n188#" 3.36939
-cap "XR3/a_n703_n2202#" "XM42/a_n177_n188#" 3.93833
-cap "XR3/a_n573_n2072#" "XR2/a_n285_760#" 27.1117
-cap "XR3/a_n573_n2072#" "XM43/a_n81_122#" 0.906962
-cap "XM42/a_n177_n188#" "XR3/a_n573_n2072#" 6.06332
-cap "XR3/a_n573_n2072#" "XM32/a_n945_n188#" 4.7734
-cap "XR3/a_n573_n2072#" "XM32/a_n321_n100#" 0.949611
-cap "XM42/a_n177_n188#" "XR2/a_n285_760#" -1.77636e-15
-cap "XR3/a_n703_n2202#" "XR3/a_n573_n2072#" 177.178
-cap "XR3/a_n573_n2072#" "XM32/a_n989_n100#" 5.78227
-cap "XR3/a_n703_n2202#" "XR2/a_n285_760#" 9.81186
-cap "XR3/a_n703_n2202#" "XM33/a_n945_n188#" 3.79975
-cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 46.1334
-cap "XM3/a_n2817_n100#" "XR3/a_n573_1640#" 1.35974
-cap "XM32/a_n1091_n274#" "XR3/a_n573_1640#" 184.592
-cap "XM3/a_n2909_n100#" "XR3/a_n573_1640#" 3.28668
-cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 1.9131
-cap "XM4/a_n2817_n100#" "XR3/a_n573_1640#" 3.44928
-cap "XM3/a_n2769_122#" "XR3/a_n573_1640#" 12.1923
-cap "XM2/a_n945_n188#" "XR2/a_n285_n1192#" -216.46
-cap "XM1/a_n989_n100#" "XM2/a_n945_n188#" 1.41529
-cap "XM2/a_n945_n188#" "XR2/a_n285_n1192#" -215.973
-cap "XM1/a_n897_n100#" "XR2/a_n285_n1192#" 1.44481
-cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" -216.458
-cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" -854.458
-cap "XM1/a_n989_n100#" "XM1/a_n897_n100#" -2664.14
-cap "XM1/a_n989_n100#" "XM2/a_n945_n188#" -12.187
-cap "XM1/a_n989_n100#" "XM1/a_n945_n188#" -6.87603
-cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" -215.933
-cap "XM1/a_n989_n100#" "XR2/a_n415_n1322#" 140.352
-cap "XM1/a_n945_n188#" "XM1/a_n989_n100#" -12.3358
-cap "XM1/a_n897_n100#" "XM2/a_n945_n188#" -1.0688
-cap "XM1/a_n945_n188#" "XM2/a_n945_n188#" 17.9645
-cap "XM2/a_n945_n188#" "XM2/a_n945_n188#" -33.3302
-cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 24.9154
-cap "XM1/a_n897_n100#" "XM2/a_n945_n188#" -1135.82
-cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" 6.40863
-cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 20.9681
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 24.3166
-cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" -1076.77
-cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" -1127.73
-cap "XM1/a_n989_n100#" "XR2/a_n285_n1192#" -1253.68
-cap "XM1/a_n945_n188#" "XM1/a_n945_n188#" -33.3302
-cap "XM1/a_n945_n188#" "XM2/a_n945_n188#" 7.8027
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 17.3808
-cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" -89.9183
-cap "XR2/a_n285_n1192#" "XR2/a_n415_n1322#" -3.51162
-cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 8.18366
-cap "XM1/a_n989_n100#" "XM43/a_n81_122#" -970.549
-cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" -653.91
-cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" -347.664
-cap "XM1/a_n897_n100#" "XM1/a_n989_n100#" -7306.61
-cap "XM1/a_n897_n100#" "XM43/a_n81_122#" -1.77636e-15
-cap "XM1/a_n945_n188#" "XM1/a_n989_n100#" -1896.77
-cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" -705.321
-cap "XM1/a_n989_n100#" "XM42/a_n177_n188#" -970.549
-cap "XM1/a_n945_n188#" "XM43/a_n81_122#" 30.3972
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 1049.74
-cap "XM42/a_n129_n100#" "XM1/a_n989_n100#" 29.9916
-cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" -2997.73
-cap "XM1/a_n897_n100#" "XM42/a_n177_n188#" 0.0035244
-cap "XM1/a_n989_n100#" "XR2/a_n285_760#" 29.9859
-cap "XM42/a_n129_n100#" "XM1/a_n897_n100#" 23.8956
-cap "XM1/a_n945_n188#" "XM42/a_n177_n188#" 30.9052
-cap "XM1/a_n897_n100#" "XR2/a_n285_760#" 23.881
-cap "XM1/a_n801_n100#" "XR2/a_n415_n1322#" 1822.96
-cap "XM43/a_n81_122#" "XM1/a_n945_n188#" 57.3139
-cap "XM43/a_n81_122#" "XR2/a_n415_n1322#" 200.291
-cap "XM32/a_n321_n100#" "XM32/a_n945_n188#" 6.08333
-cap "XM1/a_n801_n100#" "XR2/a_n285_760#" -576.54
-cap "XM43/a_n81_122#" "XR2/a_n285_760#" -9.00066
-cap "XR2/a_n415_n1322#" "XM32/a_n989_n100#" 18.4918
-cap "XM43/a_n81_122#" "XM1/a_n801_n100#" -2.21354
-cap "XM32/a_n989_n100#" "XR2/a_n285_760#" 4.62474
-cap "XM1/a_n801_n100#" "XM32/a_n989_n100#" 26.2687
-cap "XM42/a_n177_n188#" "XR2/a_n415_n1322#" 188.969
-cap "XM1/a_n945_n188#" "XM42/a_n177_n188#" 57.378
-cap "XM43/a_n81_122#" "XM32/a_n989_n100#" -2.83972
-cap "XR3/a_n573_n2072#" "XR2/a_n415_n1322#" 6.84158
-cap "XM42/a_n177_n188#" "XR2/a_n285_760#" 4.3975
-cap "XR3/a_n573_n2072#" "XR2/a_n285_760#" 6.08333
-cap "XM2/a_n705_n100#" "XM1/a_n945_n188#" 449.792
-cap "XM2/a_n705_n100#" "XR2/a_n415_n1322#" 249.134
-cap "XM1/a_n801_n100#" "XM42/a_n177_n188#" -12.7895
-cap "XM1/a_n705_n100#" "XM1/a_n945_n188#" 458.578
-cap "XR3/a_n573_n2072#" "XM1/a_n801_n100#" 6.5213
-cap "XM2/a_n705_n100#" "XR2/a_n285_760#" 42.6844
-cap "XM1/a_n705_n100#" "XR2/a_n415_n1322#" 249.45
-cap "XM43/a_n81_122#" "XM42/a_n177_n188#" 28.5543
-cap "XR3/a_n573_n2072#" "XM43/a_n81_122#" -3.55271e-15
-cap "XM1/a_n945_n188#" "XM32/a_n945_n188#" 7.10543e-15
-cap "XR2/a_n415_n1322#" "XM32/a_n945_n188#" 173.984
-cap "XM2/a_n705_n100#" "XM1/a_n801_n100#" -116.368
-cap "XM2/a_n705_n100#" "XM43/a_n81_122#" -3.55271e-15
-cap "XM42/a_n177_n188#" "XM32/a_n989_n100#" 1.53201
-cap "XM32/a_n945_n188#" "XR2/a_n285_760#" 5.99183
-cap "XM32/a_n321_n100#" "XR2/a_n415_n1322#" 6.84158
-cap "XM1/a_n705_n100#" "XM1/a_n801_n100#" -108.257
-cap "XM1/a_n801_n100#" "XM32/a_n945_n188#" -572.477
-cap "XM43/a_n81_122#" "XM32/a_n945_n188#" 3.81437
-cap "XM1/a_n801_n100#" "XM32/a_n321_n100#" 6.52434
-cap "XM32/a_n945_n188#" "XM32/a_n989_n100#" 8.82371
-cap "XM1/a_n705_n100#" "XM42/a_n177_n188#" 0.0035244
-cap "XM42/a_n177_n188#" "XM32/a_n945_n188#" 12.3752
-cap "XM2/a_n705_n100#" "XM1/a_n705_n100#" 2.14048
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 40.4699
-cap "XM32/a_n321_n100#" "XM42/a_n177_n188#" 0.00110528
-cap "XM1/a_n705_n100#" "XM32/a_n945_n188#" 42.699
-cap "XR2/a_n415_n1322#" "XR2/a_n285_760#" -10.1835
-cap "XM1/a_n801_n100#" "XM1/a_n945_n188#" 1677.18
-cap "XM32/a_n989_n100#" "XR2/a_n285_760#" -348.105
-cap "XM32/a_n989_n100#" "XM3/a_n2865_n188#" -8.88178e-16
-cap "XR2/a_n285_760#" "XM32/a_n321_n100#" 5.88744
-cap "XM32/a_n321_n100#" "XM3/a_n2865_n188#" 0.00112231
-cap "XM42/a_n177_n188#" "XR3/a_n703_n2202#" 1.77688
-cap "XM42/a_n177_n188#" "XM32/a_n945_n188#" 8.94896
-cap "XM32/a_n321_n100#" "XR3/a_n573_n2072#" 10.3742
-cap "XM32/a_n989_n100#" "XR3/a_n573_n2072#" -1566.38
-cap "XM42/a_n177_n188#" "XM1/a_735_n100#" -3.55271e-15
-cap "XR3/a_n703_n2202#" "XR2/a_n285_760#" -254.302
-cap "XR2/a_n285_760#" "XM32/a_n945_n188#" 52.7919
-cap "XM32/a_n945_n188#" "XM3/a_n2865_n188#" -0.780619
-cap "XM32/a_n989_n100#" "XM32/a_n321_n100#" -1378.49
-cap "XR2/a_n285_760#" "XM1/a_735_n100#" -3.55271e-15
-cap "XM43/a_n81_122#" "XR2/a_n285_760#" 8.81871
-cap "XM32/a_n945_n188#" "XR3/a_n573_n2072#" 5.69217
-cap "XR3/a_n703_n2202#" "XR3/a_n573_n2072#" -1165.99
-cap "XM32/a_n945_n188#" "XM3/a_n2865_n188#" 0.0213568
-cap "XM1/a_735_n100#" "XR3/a_n573_n2072#" 6.5213
-cap "XR3/a_n703_n2202#" "XM32/a_n989_n100#" 228.978
-cap "XR3/a_n703_n2202#" "XM32/a_n321_n100#" -689.847
-cap "XM32/a_n989_n100#" "XM32/a_n945_n188#" -273.795
-cap "XM32/a_n945_n188#" "XM32/a_n321_n100#" -645.843
-cap "XM43/a_n81_122#" "XM32/a_n989_n100#" -2.83972
-cap "XM32/a_n989_n100#" "XM1/a_735_n100#" 26.2687
-cap "XM42/a_n177_n188#" "XM1/a_n945_n188#" -0.586262
-cap "XM1/a_735_n100#" "XM32/a_n321_n100#" 6.52434
-cap "XR2/a_n285_760#" "XM4/a_n2865_n188#" 0.170854
-cap "XR3/a_n703_n2202#" "XM32/a_n945_n188#" 195.617
-cap "XR2/a_n285_760#" "XM1/a_n945_n188#" 8.88178e-16
-cap "XM43/a_n81_122#" "XR3/a_n703_n2202#" 1.6215
-cap "XM1/a_735_n100#" "XM32/a_n945_n188#" 0.001795
-cap "XR3/a_n703_n2202#" "XM1/a_735_n100#" 14.815
-cap "XM42/a_n177_n188#" "XR2/a_n285_760#" 0.00374683
-cap "XR2/a_n285_760#" "XM3/a_n2865_n188#" -0.889787
-cap "XM42/a_n177_n188#" "XM32/a_n321_n100#" 0.00110528
-cap "XM42/a_n177_n188#" "XM32/a_n989_n100#" -6.09473
-cap "XR3/a_n573_n2072#" "XM3/a_n2865_n188#" -8.88178e-16
-cap "XR2/a_n285_760#" "XR3/a_n573_n2072#" -693.305
-cap "XM32/a_n321_n100#" "XM3/a_n2769_122#" 0.10773
-cap "XM33/a_n945_n188#" "XM32/a_n321_n100#" 1.68103
-cap "XM32/a_n989_n100#" "XM32/a_n321_n100#" -2460.5
-cap "XM3/a_n2817_n100#" "XR3/a_n703_n2202#" 28.9408
-cap "XM33/a_n945_n188#" "XM32/a_n945_n188#" 119.829
-cap "XR3/a_n573_n2072#" "XM32/a_n321_n100#" 12.8086
-cap "XM32/a_n989_n100#" "XM32/a_n945_n188#" -1367.81
-cap "XM3/a_n2769_122#" "XM32/a_n945_n188#" 154.697
-cap "XR3/a_n573_n2072#" "XM32/a_n945_n188#" 1.3773
-cap "XM33/a_n945_n188#" "XM3/a_n2769_122#" 159.434
-cap "XM32/a_n989_n100#" "XM3/a_n2769_122#" 0.0373948
-cap "XM32/a_n989_n100#" "XM33/a_n945_n188#" -1388.17
-cap "XM33/a_n945_n188#" "XM4/a_n2817_n100#" 37.2967
-cap "XM32/a_n989_n100#" "XM4/a_n2817_n100#" 75.7783
-cap "XR3/a_n573_n2072#" "XM3/a_n2769_122#" 7.10543e-15
-cap "XM32/a_n989_n100#" "XR3/a_n573_n2072#" -2558.54
-cap "XM33/a_n945_n188#" "XR3/a_n573_n2072#" -1614.15
-cap "XR3/a_n573_n2072#" "XM4/a_n2817_n100#" 56.6262
-cap "XM32/a_n321_n100#" "XM3/a_n2817_n100#" 56.721
-cap "INA" "XM32/a_n945_n188#" -0.609029
-cap "XM32/a_n321_n100#" "XR3/a_n703_n2202#" -128.279
-cap "XM33/a_n945_n188#" "INB" -0.507685
-cap "XM3/a_n2817_n100#" "XM32/a_n945_n188#" 37.2967
-cap "XR3/a_n703_n2202#" "XM32/a_n945_n188#" 165.732
-cap "INA" "XM33/a_n945_n188#" -0.734417
-cap "XM32/a_n989_n100#" "XM3/a_n2817_n100#" 75.8326
-cap "XM3/a_n2769_122#" "XR3/a_n703_n2202#" 19.7261
-cap "XM33/a_n945_n188#" "XR3/a_n703_n2202#" 189.285
-cap "XM32/a_n989_n100#" "XR3/a_n703_n2202#" -190.374
-cap "XM4/a_n2817_n100#" "XR3/a_n703_n2202#" 28.9408
-cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" -294.451
-cap "XM32/a_n321_n100#" "XM32/a_n945_n188#" -1678.55
-cap "XM3/a_n2817_n100#" "XM32/a_n945_n188#" 35.7278
-cap "XM3/a_n2769_122#" "XM32/a_n945_n188#" 154.732
-cap "XM32/a_n321_n100#" "XM32/a_n1091_n274#" 28.9408
-cap "XM32/a_n1091_n274#" "XM4/a_n2817_n100#" 345.491
-cap "XM32/a_n1091_n274#" "XM32/a_n417_n100#" 479.952
-cap "XM4/a_n2817_n100#" "XM32/a_n417_n100#" -2453.54
-cap "XM32/a_n321_n100#" "XM32/a_n417_n100#" 75.9163
-cap "XM33/a_n945_n188#" "XM3/a_n2769_122#" 159.397
-cap "XM33/a_n321_n100#" "XM32/a_n1091_n274#" 28.9408
-cap "XM33/a_n321_n100#" "XM4/a_n2817_n100#" 56.6262
-cap "XM33/a_n321_n100#" "XM32/a_n417_n100#" 75.7634
-cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 773.836
-cap "XM32/a_n321_n100#" "XM3/a_n2769_122#" 0.108853
-cap "XM3/a_n2817_n100#" "XM4/a_n2817_n100#" 13.4512
-cap "XM3/a_n2817_n100#" "XM32/a_n1091_n274#" 196.425
-cap "XM4/a_n2817_n100#" "XM3/a_n2769_122#" 331.419
-cap "XM3/a_n2769_122#" "XM32/a_n417_n100#" 806.825
-cap "XM32/a_n321_n100#" "XM3/a_n2817_n100#" 56.721
-cap "XM3/a_n2817_n100#" "XM32/a_n417_n100#" -2415.36
-cap "XM33/a_n321_n100#" "XM3/a_n2769_122#" -7.10543e-15
-cap "XM3/a_n2817_n100#" "XM3/a_n2769_122#" 417.132
-cap "XM32/a_n1091_n274#" "XM32/a_n945_n188#" 9.86307
-cap "XM32/a_n945_n188#" "XM32/a_n417_n100#" 46.4428
-cap "XM33/a_n945_n188#" "XM4/a_n2817_n100#" 35.7278
-cap "XM32/a_n1091_n274#" "XM33/a_n945_n188#" 9.86307
-cap "XM33/a_n945_n188#" "XM32/a_n417_n100#" 46.4428
-cap "XM3/a_n2769_122#" "XM3/a_n2817_n100#" -365.055
-cap "XM4/a_n2817_n100#" "m1_12140_n1090#" 2.51149
-cap "XM3/a_n2769_122#" "XM32/a_n945_n188#" -0.183447
-cap "XM3/a_n2817_n100#" "XM32/a_n945_n188#" 2.51149
-cap "XM4/a_n2817_n100#" "XM32/a_n1091_n274#" -779.823
-cap "m1_12140_n1090#" "XM3/a_n2909_n100#" 2.36086
-cap "XM3/a_n2769_122#" "XM4/a_n2817_n100#" -1561.54
-cap "XM32/a_n1091_n274#" "XR3/a_n573_1640#" 90.8949
-cap "XM4/a_n2817_n100#" "XM3/a_n2817_n100#" 17.8785
-cap "XM3/a_n2769_122#" "XR3/a_n573_1640#" 30.4497
-cap "XM32/a_n1091_n274#" "XM3/a_n2909_n100#" 593.314
-cap "XM3/a_n2769_122#" "XM3/a_n2909_n100#" 824.744
-cap "XM3/a_n2817_n100#" "XR3/a_n573_1640#" 15.6642
-cap "XM3/a_n2817_n100#" "XM3/a_n2909_n100#" -3176.25
-cap "XM3/a_n2769_122#" "m1_12140_n1090#" -0.146839
-cap "XM3/a_n2909_n100#" "XM32/a_n945_n188#" 2.36086
-cap "XM4/a_n2817_n100#" "XR3/a_n573_1640#" 16.8653
-cap "XM4/a_n2817_n100#" "XM3/a_n2909_n100#" -3236.64
-cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 327.117
-cap "XR3/a_n573_1640#" "XM3/a_n2909_n100#" 7.79751
-cap "XM32/a_n1091_n274#" "XM3/a_n2817_n100#" -339.358
-cap "XM3/a_n1857_n100#" "XM4/a_n1713_n188#" 0.868322
-cap "XM3/a_n1857_n100#" "XM3/a_n1761_n100#" -3160.65
-cap "XM3/a_n1713_n188#" "XR3/a_n703_n2202#" 78.2178
-cap "XR3/a_n703_n2202#" "XM4/a_n1857_n100#" 346.677
-cap "XM3/a_n1809_122#" "XR3/a_n703_n2202#" 41.17
-cap "XM3/a_n1713_n188#" "XM4/a_n1713_n188#" 38.1946
-cap "XM4/a_n1809_122#" "XM3/a_n1809_122#" 42.4774
-cap "XM4/a_n1809_122#" "XM4/a_n1857_n100#" 387.311
-cap "XM3/a_n1713_n188#" "XM3/a_n1761_n100#" 530.661
-cap "XM3/a_n1713_n188#" "XM3/a_n1857_n100#" 118.721
-cap "XM4/a_n1857_n100#" "XM3/a_n1761_n100#" -3218.41
-cap "XM4/a_n1713_n188#" "XM4/a_n1857_n100#" 293.047
-cap "XM3/a_n1809_122#" "XM3/a_n1761_n100#" 63.5007
-cap "XM3/a_n1857_n100#" "XM4/a_n1857_n100#" 15.7329
-cap "XM3/a_n1809_122#" "XM3/a_n1857_n100#" 951.454
-cap "XM4/a_n1809_122#" "XR3/a_n703_n2202#" 86.683
-cap "XM3/a_n1713_n188#" "XM3/a_n1809_122#" 0.647331
-cap "XM3/a_n1713_n188#" "XM4/a_n1857_n100#" 1.80803
-cap "XM4/a_n1713_n188#" "XR3/a_n703_n2202#" 37.1495
-cap "XR3/a_n703_n2202#" "XM3/a_n1761_n100#" 581.764
-cap "XM4/a_n1809_122#" "XM4/a_n1713_n188#" 0.720674
-cap "XM4/a_n1809_122#" "XM3/a_n1761_n100#" 663.827
-cap "XM3/a_n1809_122#" "XM4/a_n1857_n100#" 0.827311
-cap "XM3/a_n1857_n100#" "XR3/a_n703_n2202#" 418.652
-cap "XM4/a_n1809_122#" "XM3/a_n1857_n100#" 1.93103
-cap "XM4/a_n1713_n188#" "XM3/a_n1761_n100#" 301.819
-cap "XM4/a_n465_122#" "XM4/a_n369_n188#" 0.647331
-cap "XM3/a_n465_122#" "XM4/a_n465_122#" 38.1946
-cap "XM3/a_n3011_n274#" "XM3/a_n417_n100#" 1721.07
-cap "XM3/a_n417_n100#" "XM3/a_n369_n188#" 1371.93
-cap "XM3/a_n3011_n274#" "XM4/a_n369_n188#" 39.7226
-cap "XM3/a_n3011_n274#" "XM3/a_n465_122#" 37.1495
-cap "XM3/a_n369_n188#" "XM4/a_n369_n188#" 40.8289
-cap "XM3/a_n465_122#" "XM3/a_n369_n188#" 0.691975
-cap "XM3/a_n321_n100#" "XM4/a_n465_122#" 276.954
-cap "XM3/a_n417_n100#" "XM4/a_n369_n188#" 303.185
-cap "XM3/a_n3011_n274#" "XM3/a_n321_n100#" 1250.36
-cap "XM3/a_n465_122#" "XM3/a_n417_n100#" 50.0467
-cap "XM3/a_n321_n100#" "XM3/a_n369_n188#" 121.452
-cap "XM3/a_n321_n100#" "XM3/a_n417_n100#" -5206.92
-cap "XM3/a_n3011_n274#" "XM4/a_n465_122#" 78.2178
-cap "XM3/a_n321_n100#" "XM4/a_n369_n188#" 596.134
-cap "XM3/a_n465_122#" "XM3/a_n321_n100#" 864.185
-cap "XM3/a_n3011_n274#" "XM3/a_n369_n188#" 83.6355
-cap "XM3/a_n417_n100#" "XM4/a_n465_122#" 1103.08
-cap "XM3/a_n3011_n274#" "XM3/a_1071_122#" 10.6141
-cap "XM3/a_n3011_n274#" "XM4/a_975_n188#" 8.04101
-cap "XM4/a_1071_122#" "XM3/a_1023_n100#" 48.7272
-cap "XM3/a_975_n188#" "XM3/a_1023_n100#" 616.193
-cap "XM3/a_927_n100#" "XM3/a_1023_n100#" -1309.75
-cap "XM3/a_n3011_n274#" "XM4/a_1071_122#" 22.348
-cap "XM3/a_n3011_n274#" "XM3/a_975_n188#" 16.9303
-cap "XM3/a_n3011_n274#" "XM3/a_927_n100#" 679.298
-cap "XM4/a_1071_122#" "XM3/a_1071_122#" 10.9127
-cap "XM3/a_975_n188#" "XM3/a_1071_122#" 0.140308
-cap "XM4/a_1071_122#" "XM4/a_975_n188#" 0.184952
-cap "XM4/a_975_n188#" "XM3/a_975_n188#" 8.27841
-cap "XM3/a_927_n100#" "XM3/a_1071_122#" 13.6682
-cap "XM3/a_n3011_n274#" "XM3/a_1023_n100#" 1678.98
-cap "XM4/a_975_n188#" "XM3/a_927_n100#" 397.472
-cap "XM3/a_1071_122#" "XM3/a_1023_n100#" 993.274
-cap "XM4/a_975_n188#" "XM3/a_1023_n100#" 3341.62
-cap "XM3/a_927_n100#" "XM3/a_975_n188#" 1464.79
-cap "XM4/a_1071_122#" "XM3/a_927_n100#" 408.641
-cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 1.38431
-cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 0.485368
-cap "XM2/a_n945_n188#" "XR2/a_n415_n1322#" 0.768581
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 2.0528
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 5.15333
-cap "XR2/a_n285_n1192#" "XR2/a_n415_n1322#" -149.382
-cap "XR2/a_n285_n1192#" "XM2/a_n945_n188#" 0.8948
-cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 0.472952
-cap "XM1/a_n989_n100#" "XR2/a_n285_n1192#" 0.0226342
-cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 0.881007
-cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" -0.858497
-cap "XM1/a_n989_n100#" "XR2/a_n415_n1322#" 0.0774944
-cap "XR2/a_n285_n1192#" "XM2/a_n945_n188#" 0.106
-cap "XR2/a_n285_n1192#" "XM42/a_n129_n100#" -6.30875
-cap "XR2/a_n285_n1192#" "XR2/a_n415_n1322#" 118.72
-cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 13.6358
-cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 3.50244
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 68.6884
-cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 21.0785
-cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 3.54193
-cap "XM1/a_n897_n100#" "XR2/a_n415_n1322#" 18.4437
-cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 8.16699
-cap "XM43/a_n81_122#" "XR2/a_n415_n1322#" 3.67523
-cap "XM32/a_n945_n188#" "XR2/a_n285_n1192#" -6.30875
-cap "XR2/a_n285_760#" "XM32/a_n945_n188#" 0.88806
-cap "XM32/a_n945_n188#" "XR2/a_n415_n1322#" 79.475
-cap "XM1/a_n801_n100#" "XM32/a_n945_n188#" 4.20717
-cap "XM32/a_n945_n188#" "XM32/a_n321_n100#" 14.2029
-cap "XR2/a_n285_760#" "XR2/a_n415_n1322#" 4.89252
-cap "XM2/a_n705_n100#" "XR2/a_n415_n1322#" 0.505308
-cap "XM32/a_n945_n188#" "XM42/a_n177_n188#" 8.36679
-cap "XM1/a_n801_n100#" "XR2/a_n415_n1322#" 10.5392
-cap "XM1/a_n705_n100#" "XR2/a_n415_n1322#" 2.1295
-cap "XM32/a_n321_n100#" "XR2/a_n415_n1322#" 9.1048
-cap "XR2/a_n415_n1322#" "XM42/a_n177_n188#" 15.3919
-cap "XM43/a_n81_122#" "XM32/a_n945_n188#" 3.26548
-cap "XR3/a_n703_n2202#" "XM32/a_n989_n100#" 8.773
-cap "XR2/a_n285_760#" "XR3/a_n703_n2202#" 6.9366
-cap "XR3/a_n703_n2202#" "XM32/a_n945_n188#" -176.08
-cap "XR3/a_n573_n2072#" "XM32/a_n321_n100#" 0.931255
-cap "XR2/a_n285_760#" "XM32/a_n321_n100#" 4.85263
-cap "XM32/a_n321_n100#" "XM32/a_n989_n100#" 5.99257
-cap "XM32/a_n321_n100#" "XM32/a_n945_n188#" 27.007
-cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" 5.88824
-cap "XR3/a_n703_n2202#" "XM32/a_n321_n100#" -351.704
-cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" 3.03185
-cap "XR3/a_n703_n2202#" "XM32/a_n989_n100#" 15.3528
-cap "XR3/a_n703_n2202#" "XM32/a_n945_n188#" 38.7764
-cap "XR3/a_n703_n2202#" "XM32/a_n321_n100#" -103.774
-cap "XR3/a_n703_n2202#" "XM33/a_n945_n188#" 11.4395
-cap "XM4/a_n2817_n100#" "XM32/a_n1091_n274#" 3.03185
-cap "XM32/a_n1091_n274#" "XM3/a_n2817_n100#" 220.93
-cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 49.6707
-cap "XM32/a_n1091_n274#" "XM32/a_n417_n100#" 15.3528
-cap "XM4/a_n2817_n100#" "XR3/a_n573_1640#" 1.35712
-cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 29.8249
-cap "XR3/a_n573_1640#" "XM32/a_n1091_n274#" 180.957
-cap "XM4/a_n2817_n100#" "XM32/a_n1091_n274#" 2.02123
-cap "XR3/a_n573_1640#" "XM3/a_n2909_n100#" 3.33252
-cap "XR3/a_n573_1640#" "XM3/a_n2817_n100#" 3.46602
-cap "XM3/a_n2909_n100#" "XM32/a_n1091_n274#" 8.773
-cap "XM3/a_n2817_n100#" "XM32/a_n1091_n274#" -405.17
-cap "XR3/a_n573_1640#" "XM3/a_n2769_122#" 12.2415
-cap "XM1/a_n1091_n274#" "XM32/a_n945_n188#" 2.02144
-cap "XM1/a_n1091_n274#" "XM32/a_n321_n100#" 89.0102
-cap "XM32/a_n1091_n274#" "XM32/a_n945_n188#" 3.80454
-cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 3.49559
-cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 2.33039
-merge "XR29/a_n573_1640#" "XR1/a_n285_n1192#" 9629.43 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10852748 -9888 802950 0 802950 0 4374425 0 0 0 0 0
+cap "li_15740_n425#" "li_15745_885#" 3.06028
+cap "m1_12140_n1090#" "GND" 45.4797
+cap "li_11905_235#" "li_11905_n430#" 2.00787
+cap "GND" "m1_12140_1165#" 3.74553
+cap "VDD" "li_11905_n430#" 1.69259
+cap "m1_12140_1165#" "li_12665_225#" 21.3518
+cap "VDD" "li_9755_230#" 5.30157
+cap "m1_12140_1165#" "INA" 409.988
+cap "li_15735_230#" "li_15745_885#" 1.2674
+cap "li_15910_230#" "VDD" 0.158427
+cap "li_11905_235#" "m1_9850_15#" 26.5162
+cap "li_12050_230#" "li_12665_225#" 8.02641
+cap "li_12050_230#" "INA" 0.892445
+cap "VDD" "m1_9850_15#" 973.513
+cap "GND" "BIAS" 3176.6
+cap "GND" "li_17965_n435#" 33.3321
+cap "li_15740_n425#" "m1_13690_15#" 6.09302
+cap "li_12660_n430#" "GND" 2.21504
+cap "GND" "li_10060_880#" 25.4938
+cap "a_14375_550#" "BIAS" 0.0475508
+cap "VDD" "li_17965_n435#" 5.634
+cap "li_15745_885#" "GND" 15.6083
+cap "a_14375_550#" "OUTB" 0.921093
+cap "li_11905_235#" "INA" 0.370904
+cap "li_15735_230#" "m1_13690_15#" 19.1225
+cap "m1_13690_15#" "m1_9850_15#" 59.4488
+cap "m1_12140_1165#" "GND" 43.2844
+cap "GND" "li_11900_885#" 10.4294
+cap "a_14375_550#" "OUTA" 9.56315
+cap "li_15745_885#" "VDD" 0.145777
+cap "SUB" "INA" 4.31953
+cap "VDD" "INA" 0.0176178
+cap "OUTB" "BIAS" 43.9357
+cap "OUTA" "BIAS" 13.4184
+cap "li_12675_n1220#" "INA" 15.5306
+cap "m1_12140_n1090#" "a_14375_550#" 0.857747
+cap "m1_13690_15#" "GND" 19058.3
+cap "OUTB" "OUTA" 174.905
+cap "m1_13690_15#" "li_12665_225#" 31.1199
+cap "li_12665_885#" "li_12665_225#" 49.413
+cap "m1_13690_15#" "INA" 53.5571
+cap "li_12665_885#" "INA" 22.9436
+cap "INB" "OUTB" 59.8466
+cap "m1_13690_15#" "VDD" 1500.42
+cap "m1_12140_n1090#" "BIAS" 16.9424
+cap "VDD" "GND" 1845.47
+cap "li_10055_n430#" "BIAS" 2.83286
+cap "li_17960_890#" "li_17965_n435#" 0.654966
+cap "li_15735_230#" "li_15740_n425#" 1.52087
+cap "li_10055_n430#" "li_10060_880#" 0.770725
+cap "m1_12140_n1090#" "OUTB" 1341.5
+cap "li_11905_n430#" "m1_9850_15#" 8.75001
+cap "m1_9850_15#" "li_9755_230#" 20.2051
+cap "m1_12140_n1090#" "OUTA" 71.1592
+cap "INB" "m1_12140_n1090#" 495.729
+cap "li_12665_885#" "GND" 2.2992
+cap "m1_12140_1165#" "a_14375_550#" 0.992136
+cap "li_15740_n425#" "GND" 14.9168
+cap "li_12660_n430#" "OUTB" 24.7995
+cap "li_15740_n425#" "VDD" 0.141279
+cap "li_11905_n430#" "INA" 0.771054
+cap "li_15910_230#" "BIAS" 4.44009
+cap "INB" "BIAS" 41.2347
+cap "m1_12140_1165#" "BIAS" 13.118
+cap "li_17960_890#" "m1_13690_15#" 4.39035
+cap "li_12660_n430#" "INB" 40.39
+cap "m1_9850_15#" "li_12665_225#" 27.9862
+cap "m1_9850_15#" "INA" 422.195
+cap "m1_12140_1165#" "OUTB" 64.0474
+cap "m1_12140_n1090#" "BIAS" 176.174
+cap "li_12660_n430#" "m1_12140_n1090#" 74.0399
+cap "m1_12140_1165#" "OUTA" 1595.74
+cap "li_10055_n430#" "VDD" 6.48056
+cap "li_17965_n435#" "BIAS" 2.85964
+cap "INB" "m1_12140_1165#" 35.4644
+cap "GND" "li_11905_n430#" 10.8968
+cap "li_15745_885#" "BIAS" 9.40075
+cap "li_12665_225#" "INA" 50.2952
+cap "GND" "li_9755_230#" 14.9818
+cap "m1_12140_n1090#" "m1_12140_1165#" 330.036
+cap "GND" "VDD" 2734.05
+cap "li_12660_n430#" "BIAS" 8.50279
+cap "li_10060_880#" "BIAS" 2.91453
+cap "GND" "m1_9850_15#" 5863.01
+cap "m1_13690_15#" "a_14375_550#" 1.4991
+cap "li_15745_885#" "OUTA" 15.8966
+cap "SUB" "OUTA" 27.5562
+cap "li_11900_885#" "BIAS" 9.50184
+cap "m1_12140_1165#" "BIAS" 8.99553
+cap "li_12660_n430#" "m1_12140_1165#" 8.26467
+cap "INB" "VDD" 0.0187575
+cap "li_12675_n1220#" "OUTB" 20.577
+cap "m1_13690_15#" "BIAS" 7160.46
+cap "li_12050_230#" "BIAS" 2.36695
+cap "m1_13690_15#" "OUTB" 3464.01
+cap "GND" "INA" 5.99284
+cap "m1_12140_n1090#" "VDD" 22.2858
+cap "li_12675_n1220#" "INB" 19.599
+cap "m1_13690_15#" "OUTA" 3418.78
+cap "m1_12140_1165#" "li_11900_885#" 10.0901
+cap "li_12665_885#" "OUTA" 25.6973
+cap "m1_13690_15#" "INB" 13.0132
+cap "li_17960_890#" "GND" 37.099
+cap "li_12665_885#" "INB" 5.78626
+cap "li_11905_235#" "BIAS" 15.6672
+cap "li_12050_230#" "li_11900_885#" 2.06897
+cap "li_12675_n1220#" "m1_12140_n1090#" 11.8556
+cap "VDD" "BIAS" 336.578
+cap "li_17960_890#" "VDD" 7.27845
+cap "m1_13690_15#" "m1_12140_n1090#" 1241.57
+cap "li_12665_885#" "m1_12140_n1090#" 8.25646
+cap "li_21885_225#" "m1_13690_15#" 13.7792
+cap "VDD" "li_10060_880#" 8.20565
+cap "li_10055_n430#" "m1_9850_15#" 3.93595
+cap "li_15740_n425#" "BIAS" 30.5653
+cap "li_15745_885#" "li_15910_230#" 1.01142
+cap "li_11905_235#" "li_11900_885#" 3.13525
+cap "li_12675_n1220#" "BIAS" 3.68811
+cap "li_15740_n425#" "OUTB" 15.2105
+cap "li_12660_n430#" "li_12675_n1220#" 31.0428
+cap "m1_12140_1165#" "SUB" 11.6092
+cap "VDD" "li_11900_885#" 1.67785
+cap "m1_12140_1165#" "VDD" 28.6882
+cap "li_11905_235#" "li_12050_230#" 35.4699
+cap "li_15735_230#" "BIAS" 2.03947
+cap "VDD" "li_12050_230#" 0.309931
+cap "GND" "a_14375_550#" 0.00283896
+cap "m1_9850_15#" "OUTB" 2.13255
+cap "INB" "li_11905_n430#" 3.51741
+cap "m1_9850_15#" "OUTA" 1.9516
+cap "li_15910_230#" "m1_13690_15#" 19.1157
+cap "m1_13690_15#" "m1_12140_1165#" 1302
+cap "li_12665_885#" "li_11900_885#" 7.71766
+cap "li_12665_885#" "m1_12140_1165#" 76.553
+cap "INB" "m1_9850_15#" 397.706
+cap "m1_12140_n1090#" "li_11905_n430#" 9.60959
+cap "GND" "BIAS" 7408.37
+cap "li_11905_235#" "VDD" 1.12411
+cap "m1_13690_15#" "li_12050_230#" 0.002493
+cap "GND" "OUTB" 128.632
+cap "m1_12140_n1090#" "m1_9850_15#" 685.867
+cap "OUTB" "INA" 169.72
+cap "VDD" "BIAS" 361.959
+cap "m1_13690_15#" "li_17965_n435#" 3.33683
+cap "GND" "OUTA" 127.628
+cap "INA" "OUTA" 6.85201
+cap "li_10055_n430#" "GND" 28.4799
+cap "li_11905_n430#" "BIAS" 32.6933
+cap "li_12660_n430#" "li_11905_n430#" 7.20278
+cap "INB" "li_12665_225#" 10.8958
+cap "li_15745_885#" "m1_13690_15#" 6.1788
+cap "INB" "INA" 219.233
+cap "li_12665_885#" "SUB" 45.6753
+cap "m1_9850_15#" "BIAS" 3539.43
+cap "li_15740_n425#" "li_15910_230#" 1.01392
+cap "GND" "m1_12140_n1090#" 3.52622
+cap "li_21885_225#" "GND" 13.6404
+cap "m1_12140_n1090#" "li_12665_225#" 21.5918
+cap "li_10060_880#" "m1_9850_15#" 3.50844
+cap "m1_12140_n1090#" "INA" 216.982
+cap "li_11905_n430#" "li_11900_885#" 2.31218
+cap "li_15735_230#" "li_15910_230#" 27.3214
+cap "li_11905_n430#" "li_12050_230#" 2.02783
+cap "m1_12140_1165#" "m1_9850_15#" 677.486
+cap "li_11900_885#" "m1_9850_15#" 8.69675
+cap "li_12665_225#" "BIAS" 10.3695
+cap "li_17960_890#" "BIAS" 3.01389
+cap "INB" "GND" 11.3907
+cap "BIAS" "INA" 26.8882
+cap "li_12660_n430#" "li_12665_225#" 48.9165
+cap "li_12050_230#" "m1_9850_15#" 15.847
+cap "li_12660_n430#" "INA" 40.4424
+cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" 44.0973
+cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" 31.8271
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 0.775963
+cap "XR2/a_n285_n1192#" "XM2/a_n945_n188#" 0.461334
+cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 0.561165
+cap "XM1/a_n897_n100#" "XR2/a_n285_n1192#" 38.82
+cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 0.912148
+cap "XR2/a_n285_n1192#" "XM2/a_n945_n188#" 1.41758
+cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 1.39538
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 0.0120985
+cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 1.30068
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 18.022
+cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 17.7796
+cap "XR2/a_n285_760#" "XR2/a_n285_n1192#" -5.62003
+cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 13.1238
+cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 7.82236
+cap "XR2/a_n285_n1192#" "XR2/a_n415_n1322#" 49.2224
+cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" 25.8473
+cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 7.95341
+cap "XR2/a_n285_760#" "XR3/a_n573_n2072#" 27.5827
+cap "XM43/a_n81_122#" "XR2/a_n415_n1322#" 26.7865
+cap "XR2/a_n285_n1192#" "XR2/a_n285_760#" -7.06773
+cap "XM43/a_n81_122#" "XR2/a_n285_760#" 38.9715
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 35.5983
+cap "XM2/a_n705_n100#" "XR2/a_n415_n1322#" 3.58182
+cap "XM43/a_n81_122#" "XR3/a_n573_n2072#" 31.7685
+cap "XM1/a_n945_n188#" "XR2/a_n285_760#" 22.1916
+cap "XR2/a_n415_n1322#" "XM1/a_n801_n100#" 10.3432
+cap "XR2/a_n285_760#" "XM1/a_n801_n100#" 10.5944
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 2.75544
+cap "XR2/a_n285_760#" "XM32/a_n945_n188#" 2.62419
+cap "XR2/a_n415_n1322#" "XM42/a_n177_n188#" 3.66601
+cap "XR2/a_n415_n1322#" "XR2/a_n285_760#" 49.6383
+cap "XM42/a_n177_n188#" "XR2/a_n285_760#" 3.70556
+cap "XR2/a_n415_n1322#" "XR3/a_n573_n2072#" 42.2561
+cap "XM42/a_n177_n188#" "XR3/a_n573_n2072#" 42.5726
+cap "XR2/a_n285_760#" "XR3/a_n703_n2202#" 33.3618
+cap "XR2/a_n285_760#" "XR3/a_n573_n2072#" 58.8581
+cap "XR3/a_n573_n2072#" "XM32/a_n945_n188#" 5.40684
+cap "XM32/a_n989_n100#" "XR3/a_n573_n2072#" 14.0766
+cap "XM32/a_n321_n100#" "XR3/a_n573_n2072#" 3.5648
+cap "XM42/a_n177_n188#" "XR2/a_n285_760#" 24.1188
+cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" 118.278
+cap "XM43/a_n81_122#" "XR3/a_n703_n2202#" 4.33853
+cap "XM43/a_n81_122#" "XR3/a_n573_n2072#" 0.453891
+cap "XM42/a_n177_n188#" "XR3/a_n703_n2202#" 15.6115
+cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" 3.53187
+cap "XM33/a_n945_n188#" "XR3/a_n703_n2202#" 0.104815
+cap "XM32/a_n1091_n274#" "XM4/a_n2817_n100#" 3.54246
+cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 33.8661
+cap "XM3/a_n2769_122#" "XR3/a_n573_1640#" 1.2888
+cap "XR3/a_n573_1640#" "XM3/a_n2769_122#" 17.897
+cap "XR3/a_n573_1640#" "XM32/a_n1091_n274#" 131.594
+cap "XR3/a_n573_1640#" "XM4/a_n2817_n100#" 12.1079
+cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 0.0438622
+cap "XR3/a_n573_1640#" "XM3/a_n2817_n100#" 5.27478
+cap "XM32/a_n1091_n274#" "XM4/a_n2817_n100#" 2.01906
+cap "XR3/a_n573_1640#" "XM3/a_n2909_n100#" 12.4092
+cap "XM2/a_n945_n188#" "XM2/a_n945_n188#" -19.6912
+cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 21.4193
+cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" 202.267
+cap "XM1/a_n989_n100#" "XM1/a_n897_n100#" -587.419
+cap "XM1/a_n897_n100#" "XM2/a_n945_n188#" -19.0084
+cap "XM1/a_n945_n188#" "XM2/a_n945_n188#" 13.7864
+cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 13.2533
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 8.10414
+cap "XM1/a_n945_n188#" "XM1/a_n989_n100#" -10.1337
+cap "XM1/a_n945_n188#" "XM2/a_n945_n188#" 11.3398
+cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" -2.50177
+cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" 55.0866
+cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 19.6776
+cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 69.9672
+cap "XR2/a_n285_n1192#" "XM2/a_n945_n188#" 13.2613
+cap "XM1/a_n945_n188#" "XM1/a_n945_n188#" -19.6912
+cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 10.1696
+cap "XM1/a_n897_n100#" "XM2/a_n945_n188#" -2.47065
+cap "XM1/a_n989_n100#" "XM2/a_n945_n188#" -11.3513
+cap "XM1/a_n945_n188#" "XM2/a_n945_n188#" 3.17279
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 26.4491
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 10.3048
+cap "XM1/a_n945_n188#" "XM1/a_n989_n100#" -8.23955
+cap "XM1/a_n945_n188#" "XM2/a_n945_n188#" 3.17279
+cap "XM1/a_n945_n188#" "XM1/a_n897_n100#" -18.9658
+cap "XR2/a_n285_n1192#" "XM2/a_n945_n188#" 27.0379
+cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 10.5082
+cap "XM1/a_n989_n100#" "XM2/a_n945_n188#" -8.23955
+cap "XM43/a_n81_122#" "XM1/a_n897_n100#" 1.16936
+cap "XM1/a_n989_n100#" "XM42/a_n177_n188#" 1.30925
+cap "XR2/a_n285_n1192#" "XM1/a_n989_n100#" 66.4773
+cap "XM1/a_n989_n100#" "XR2/a_n415_n1322#" 228.387
+cap "XM1/a_n989_n100#" "XM1/a_n897_n100#" -2567.82
+cap "XR2/a_n285_760#" "XM1/a_n945_n188#" 0.661024
+cap "XM43/a_n81_122#" "XM1/a_n989_n100#" 1.35722
+cap "XM42/a_n129_n100#" "XM1/a_n897_n100#" 2.08255
+cap "XM42/a_n177_n188#" "XM1/a_n945_n188#" 2.68886
+cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 369.722
+cap "XR2/a_n285_n1192#" "XM42/a_n177_n188#" 0.0338102
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 122.303
+cap "XM42/a_n129_n100#" "XM1/a_n989_n100#" 2.35551
+cap "XM43/a_n81_122#" "XM1/a_n945_n188#" 2.74901
+cap "XM1/a_n897_n100#" "XM1/a_n945_n188#" -423.502
+cap "XR2/a_n285_760#" "XM1/a_n897_n100#" 2.09715
+cap "XM42/a_n177_n188#" "XM1/a_n897_n100#" 1.14322
+cap "XR2/a_n285_n1192#" "XR2/a_n415_n1322#" 157.047
+cap "XR2/a_n285_n1192#" "XM43/a_n81_122#" 0.0338102
+cap "XR2/a_n285_n1192#" "XM1/a_n897_n100#" 66.9649
+cap "XR2/a_n415_n1322#" "XM1/a_n897_n100#" 223.175
+cap "XR2/a_n285_760#" "XM1/a_n989_n100#" 2.36798
+cap "XM42/a_n129_n100#" "XM1/a_n945_n188#" 0.645413
+cap "XM1/a_n989_n100#" "XM1/a_n945_n188#" -507.594
+cap "XR2/a_n415_n1322#" "XM32/a_n945_n188#" 118.13
+cap "XM1/a_n705_n100#" "XR2/a_n285_n1192#" 0.0948519
+cap "XM42/a_n177_n188#" "XM1/a_n801_n100#" -10.9708
+cap "XR2/a_n415_n1322#" "XR3/a_n573_n2072#" 5.41663
+cap "XM2/a_n705_n100#" "XM1/a_n801_n100#" -118.663
+cap "XR2/a_n415_n1322#" "XM32/a_n321_n100#" 5.07215
+cap "XM1/a_n945_n188#" "XR2/a_n285_760#" 8.07927
+cap "XM42/a_n177_n188#" "XM32/a_n989_n100#" 4.58305
+cap "XR2/a_n415_n1322#" "XM1/a_n705_n100#" 31.9746
+cap "XM32/a_n945_n188#" "XR2/a_n285_760#" 12.1612
+cap "XM43/a_n81_122#" "XR2/a_n285_n1192#" 0.00266771
+cap "XM32/a_n945_n188#" "XM1/a_n945_n188#" 8.15863
+cap "XR3/a_n573_n2072#" "XR2/a_n285_760#" 0.918817
+cap "XR2/a_n415_n1322#" "XM43/a_n81_122#" 103.067
+cap "XM1/a_n705_n100#" "XM1/a_n945_n188#" -29.3817
+cap "XM32/a_n945_n188#" "XM32/a_n321_n100#" 0.973848
+cap "XM1/a_n705_n100#" "XM32/a_n945_n188#" 6.38205
+cap "XR2/a_n285_760#" "XM43/a_n81_122#" 58.4562
+cap "XM1/a_n945_n188#" "XM43/a_n81_122#" 31.0005
+cap "XM1/a_n801_n100#" "XR2/a_n285_n1192#" 0.144593
+cap "XR2/a_n415_n1322#" "XM1/a_n801_n100#" 197.738
+cap "XR2/a_n415_n1322#" "XM32/a_n989_n100#" 12.9055
+cap "XM32/a_n945_n188#" "XM43/a_n81_122#" 30.1308
+cap "XR3/a_n573_n2072#" "XM43/a_n81_122#" 4.13679
+cap "XM2/a_n705_n100#" "XR2/a_n285_n1192#" 0.098189
+cap "XM1/a_n801_n100#" "XR2/a_n285_760#" -317.74
+cap "XM42/a_n177_n188#" "XR2/a_n285_n1192#" 0.00266771
+cap "XM1/a_n801_n100#" "XM1/a_n945_n188#" -134.209
+cap "XR2/a_n285_760#" "XM32/a_n989_n100#" 1.64257
+cap "XR2/a_n415_n1322#" "XM42/a_n177_n188#" 78.9633
+cap "XM32/a_n945_n188#" "XM1/a_n801_n100#" -318.758
+cap "XM2/a_n705_n100#" "XR2/a_n415_n1322#" 36.9798
+cap "XR3/a_n573_n2072#" "XM1/a_n801_n100#" 1.34561
+cap "XM32/a_n945_n188#" "XM32/a_n989_n100#" 1.92709
+cap "XM32/a_n321_n100#" "XM1/a_n801_n100#" 1.34095
+cap "XM1/a_n705_n100#" "XM1/a_n801_n100#" -118.777
+cap "XM42/a_n177_n188#" "XR2/a_n285_760#" 33.7468
+cap "XM42/a_n177_n188#" "XM1/a_n945_n188#" 29.7605
+cap "XM2/a_n705_n100#" "XR2/a_n285_760#" 6.32342
+cap "XM2/a_n705_n100#" "XM1/a_n945_n188#" -30.5209
+cap "XM1/a_n801_n100#" "XM43/a_n81_122#" -28.1108
+cap "XM32/a_n945_n188#" "XM42/a_n177_n188#" 58.5841
+cap "XM32/a_n989_n100#" "XM43/a_n81_122#" 5.19853
+cap "XM42/a_n177_n188#" "XM32/a_n321_n100#" 3.48394
+cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" 0.957815
+cap "XM1/a_n705_n100#" "XM42/a_n177_n188#" 4.63201
+cap "XM2/a_n705_n100#" "XM1/a_n705_n100#" 1.49434
+cap "XM42/a_n177_n188#" "XM43/a_n81_122#" 34.2456
+cap "XM2/a_n705_n100#" "XM43/a_n81_122#" 5.09231
+cap "XM1/a_n801_n100#" "XM32/a_n989_n100#" 4.00966
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 0.212718
+cap "XR2/a_n415_n1322#" "XR2/a_n285_760#" 178.008
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 155.298
+cap "XM42/a_n177_n188#" "XM1/a_n945_n188#" 0.00517495
+cap "GND" "XR3/a_n573_n2072#" 0.000195647
+cap "XR3/a_n703_n2202#" "XM32/a_n989_n100#" 123.285
+cap "XM42/a_n177_n188#" "XM1/a_735_n100#" 3.17624
+cap "XM42/a_n177_n188#" "XM43/a_n81_122#" 2.99394
+cap "XR3/a_n573_n2072#" "XM32/a_n989_n100#" -601.414
+cap "XR2/a_n285_760#" "XM32/a_n989_n100#" -74.7532
+cap "XM32/a_n321_n100#" "XM32/a_n945_n188#" -91.8422
+cap "XM3/a_n2865_n188#" "XM32/a_n989_n100#" 0.00846074
+cap "XM1/a_735_n100#" "XM32/a_n989_n100#" 6.69797
+cap "XM43/a_n81_122#" "XM32/a_n989_n100#" 3.89801
+cap "XR3/a_n703_n2202#" "XM32/a_n321_n100#" 123.212
+cap "XR3/a_n703_n2202#" "XM32/a_n945_n188#" 92.0696
+cap "XR3/a_n573_n2072#" "XM32/a_n321_n100#" 30.5705
+cap "XM42/a_n177_n188#" "XM32/a_n989_n100#" 6.93957
+cap "XR3/a_n573_n2072#" "XM32/a_n945_n188#" 54.5062
+cap "GND" "XM32/a_n321_n100#" 0.000195647
+cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" 168.145
+cap "XR2/a_n285_760#" "XM32/a_n321_n100#" 53.3661
+cap "XR2/a_n285_760#" "XM32/a_n945_n188#" 66.2754
+cap "XM1/a_n945_n188#" "XM32/a_n945_n188#" -3.46945e-18
+cap "XM3/a_n2865_n188#" "XM32/a_n321_n100#" 0.0143452
+cap "XM32/a_n321_n100#" "XM1/a_735_n100#" 2.00698
+cap "XM3/a_n2865_n188#" "XM32/a_n945_n188#" 0.0123057
+cap "XM1/a_735_n100#" "XM32/a_n945_n188#" 4.68721
+cap "XR2/a_n285_760#" "XR3/a_n703_n2202#" 94.2476
+cap "XR3/a_n703_n2202#" "XM1/a_735_n100#" 13.6205
+cap "XR3/a_n573_n2072#" "XR2/a_n285_760#" -1.14055
+cap "XR3/a_n573_n2072#" "XM1/a_735_n100#" 2.20685
+cap "XR3/a_n703_n2202#" "XM43/a_n81_122#" 11.2965
+cap "XM42/a_n177_n188#" "XM32/a_n321_n100#" 2.31741
+cap "XM42/a_n177_n188#" "XM32/a_n945_n188#" 11.6469
+cap "XR3/a_n573_n2072#" "XM3/a_n2865_n188#" 0.0143452
+cap "XR3/a_n573_n2072#" "XM43/a_n81_122#" 2.63353
+cap "XR3/a_n703_n2202#" "XM42/a_n177_n188#" 77.127
+cap "XR2/a_n285_760#" "XM1/a_735_n100#" 1.22359
+cap "XR2/a_n285_760#" "XM3/a_n2865_n188#" 0.0123489
+cap "XR3/a_n573_n2072#" "XM42/a_n177_n188#" 2.42445
+cap "XR2/a_n285_760#" "XM43/a_n81_122#" 6.05602
+cap "XM32/a_n321_n100#" "XM32/a_n989_n100#" -547.5
+cap "XM32/a_n945_n188#" "XM32/a_n989_n100#" -69.0431
+cap "XR2/a_n285_760#" "XM42/a_n177_n188#" 6.48015
+cap "XM3/a_n2769_122#" "XR3/a_n573_n2072#" 8.67789
+cap "XM32/a_n321_n100#" "XM32/a_n945_n188#" -300.21
+cap "INB" "XM32/a_n989_n100#" 0.00575779
+cap "XR3/a_n703_n2202#" "XM3/a_n2817_n100#" 3.50893
+cap "XR3/a_n703_n2202#" "XM4/a_n2817_n100#" 3.326
+cap "XR3/a_n703_n2202#" "XM32/a_n945_n188#" 93.993
+cap "XM33/a_n945_n188#" "XR3/a_n573_n2072#" -195.351
+cap "XM32/a_n989_n100#" "XM3/a_n2817_n100#" 8.30842
+cap "INA" "XR3/a_n573_n2072#" 0.0696895
+cap "XM4/a_n2817_n100#" "XM32/a_n989_n100#" 8.32553
+cap "XM32/a_n321_n100#" "XR3/a_n573_n2072#" 33.1057
+cap "XM3/a_n2769_122#" "XM33/a_n945_n188#" 72.575
+cap "XM32/a_n989_n100#" "XM32/a_n945_n188#" -217.715
+cap "XM3/a_n2769_122#" "XM32/a_n321_n100#" 8.4902
+cap "XR3/a_n703_n2202#" "XR3/a_n573_n2072#" 156.077
+cap "XM32/a_n945_n188#" "XM3/a_n2817_n100#" 5.15001
+cap "XM3/a_n2769_122#" "XR3/a_n703_n2202#" 9.58364
+cap "INA" "XM33/a_n945_n188#" 0.110369
+cap "XM32/a_n989_n100#" "XR3/a_n573_n2072#" -1042.58
+cap "XM32/a_n321_n100#" "XM33/a_n945_n188#" 45.3189
+cap "XM3/a_n2769_122#" "XM32/a_n989_n100#" -26.2116
+cap "XR3/a_n703_n2202#" "XM33/a_n945_n188#" 96.6627
+cap "XM4/a_n2817_n100#" "XR3/a_n573_n2072#" 9.08202
+cap "INB" "XM33/a_n945_n188#" 0.00140725
+cap "XM32/a_n945_n188#" "XR3/a_n573_n2072#" 49.5533
+cap "XR3/a_n703_n2202#" "XM32/a_n321_n100#" 122.684
+cap "XM32/a_n989_n100#" "XM33/a_n945_n188#" -165.848
+cap "XM3/a_n2769_122#" "XM32/a_n945_n188#" 72.8548
+cap "INA" "XM32/a_n989_n100#" 0.0987212
+cap "XM32/a_n321_n100#" "XM32/a_n989_n100#" -1054.71
+cap "XM4/a_n2817_n100#" "XM33/a_n945_n188#" 5.0289
+cap "XM32/a_n945_n188#" "XM33/a_n945_n188#" 129.399
+cap "XM32/a_n321_n100#" "XM3/a_n2817_n100#" 9.05437
+cap "XR3/a_n703_n2202#" "XM32/a_n989_n100#" 250.191
+cap "INA" "XM32/a_n945_n188#" 0.219958
+cap "XM32/a_n945_n188#" "XM3/a_n2817_n100#" 9.69206
+cap "XM3/a_n2769_122#" "XM32/a_n945_n188#" 66.0228
+cap "XM4/a_n2817_n100#" "XM3/a_n2817_n100#" 34.0726
+cap "XM32/a_n417_n100#" "XM3/a_n2817_n100#" -1017.75
+cap "XM3/a_n2817_n100#" "XM32/a_n321_n100#" 9.00024
+cap "XM3/a_n2769_122#" "XM33/a_n321_n100#" -2.38542
+cap "XM4/a_n2817_n100#" "XM3/a_n2769_122#" 105.022
+cap "XM32/a_n417_n100#" "XM3/a_n2769_122#" 60.7352
+cap "XR3/a_n573_1640#" "XM3/a_n2817_n100#" 0.627831
+cap "XM3/a_n2769_122#" "XM32/a_n321_n100#" -2.73295
+cap "XM32/a_n1091_n274#" "XM3/a_n2817_n100#" 114.77
+cap "XM3/a_n2769_122#" "XM33/a_n945_n188#" 66.1916
+cap "XR3/a_n573_1640#" "XM3/a_n2769_122#" 2.3189
+cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 427.555
+cap "XM32/a_n417_n100#" "XM32/a_n945_n188#" 6.74286
+cap "XM4/a_n2817_n100#" "XM33/a_n321_n100#" 8.96738
+cap "XM32/a_n417_n100#" "XM33/a_n321_n100#" 9.29416
+cap "XM4/a_n2817_n100#" "XM32/a_n417_n100#" -1031.88
+cap "XM32/a_n945_n188#" "XM32/a_n1091_n274#" 4.49786
+cap "XM32/a_n417_n100#" "XM32/a_n321_n100#" 9.38755
+cap "XM4/a_n2817_n100#" "XR3/a_n573_1640#" 0.630479
+cap "XM4/a_n2817_n100#" "XM33/a_n945_n188#" 9.62424
+cap "XM33/a_n321_n100#" "XM32/a_n1091_n274#" 3.31077
+cap "XM4/a_n2817_n100#" "XM32/a_n1091_n274#" 147.776
+cap "XM32/a_n417_n100#" "XM33/a_n945_n188#" 6.72708
+cap "XM32/a_n417_n100#" "XR3/a_n573_1640#" 1.45811
+cap "XM32/a_n417_n100#" "XM32/a_n1091_n274#" 225.773
+cap "XM32/a_n1091_n274#" "XM32/a_n321_n100#" 3.50992
+cap "XM3/a_n2769_122#" "XM3/a_n2817_n100#" 46.3224
+cap "XM33/a_n945_n188#" "XM32/a_n1091_n274#" 4.49786
+cap "XR3/a_n573_1640#" "XM32/a_n1091_n274#" 0.0460013
+cap "XM3/a_n2909_n100#" "m1_12140_n1090#" 0.00390507
+cap "XR3/a_n573_1640#" "XM4/a_n2817_n100#" 64.0838
+cap "XM3/a_n2817_n100#" "XM3/a_n2909_n100#" -1207.15
+cap "XM32/a_n1091_n274#" "XM4/a_n2817_n100#" 128.532
+cap "XM3/a_n2817_n100#" "XR3/a_n573_1640#" 60.4483
+cap "XM4/a_n2817_n100#" "XM3/a_n2769_122#" 115.547
+cap "XM3/a_n2817_n100#" "XM32/a_n945_n188#" 0.00690609
+cap "m1_12140_n1090#" "XM3/a_n2769_122#" 0.00509946
+cap "XM3/a_n2817_n100#" "OUTA" 0.000490144
+cap "XM3/a_n2817_n100#" "XM32/a_n1091_n274#" 103.642
+cap "XM3/a_n2909_n100#" "XR3/a_n573_1640#" 121.121
+cap "XM3/a_n2909_n100#" "XM32/a_n945_n188#" 0.00390507
+cap "OUTB" "XM3/a_n2769_122#" 1.74657e-06
+cap "XM3/a_n2817_n100#" "XM3/a_n2769_122#" 43.2566
+cap "m1_12140_n1090#" "XM4/a_n2817_n100#" 0.00690609
+cap "XM32/a_n1091_n274#" "XM3/a_n2909_n100#" 200.208
+cap "XM32/a_n1091_n274#" "XR3/a_n573_1640#" 171.601
+cap "XM3/a_n2909_n100#" "XM3/a_n2769_122#" 112.351
+cap "OUTB" "XM4/a_n2817_n100#" 0.000490144
+cap "XM3/a_n2817_n100#" "XM4/a_n2817_n100#" 44.5874
+cap "XR3/a_n573_1640#" "XM3/a_n2769_122#" 479.477
+cap "XM32/a_n945_n188#" "XM3/a_n2769_122#" 0.00509946
+cap "XM3/a_n2909_n100#" "XM4/a_n2817_n100#" -1234.12
+cap "OUTA" "XM3/a_n2769_122#" 0.0002028
+cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 212.372
+cap "XR3/a_n573_1640#" "XM3/a_n1713_n188#" 0.279279
+cap "XM4/a_n1713_n188#" "XM3/a_n1713_n188#" 48.8625
+cap "XR3/a_n573_1640#" "XM4/a_n1713_n188#" 0.264026
+cap "XM3/a_n1713_n188#" "XM4/a_n1809_122#" 18.8875
+cap "XM3/a_n1857_n100#" "XM3/a_n1761_n100#" -1190.76
+cap "XR3/a_n573_1640#" "XM4/a_n1809_122#" 0.217216
+cap "XM3/a_n1857_n100#" "XM4/a_n1857_n100#" 39.5884
+cap "XM4/a_n1713_n188#" "XM4/a_n1809_122#" 21.0626
+cap "XR3/a_n703_n2202#" "XM3/a_n1857_n100#" 111.698
+cap "XM3/a_n1857_n100#" "XM3/a_n1809_122#" 41.0698
+cap "XM4/a_n1857_n100#" "XM3/a_n1761_n100#" -1170.77
+cap "XM3/a_n1761_n100#" "XM3/a_n1809_122#" 71.5758
+cap "XR3/a_n703_n2202#" "XM3/a_n1761_n100#" 158.377
+cap "XR3/a_n703_n2202#" "XM4/a_n1857_n100#" 97.5871
+cap "XM4/a_n1857_n100#" "XM3/a_n1809_122#" 29.1732
+cap "XR3/a_n703_n2202#" "XM3/a_n1809_122#" 51.2306
+cap "XM3/a_n1857_n100#" "XM3/a_n1713_n188#" -41.1435
+cap "XM3/a_n1857_n100#" "XR3/a_n573_1640#" 0.25244
+cap "XR3/a_n573_1640#" "XM3/a_n1761_n100#" 0.663629
+cap "XM3/a_n1761_n100#" "XM3/a_n1713_n188#" -75.6819
+cap "XM3/a_n1857_n100#" "XM4/a_n1713_n188#" 23.0979
+cap "XM3/a_n1761_n100#" "XM4/a_n1713_n188#" 77.8771
+cap "XM4/a_n1857_n100#" "XM3/a_n1713_n188#" 23.891
+cap "XM4/a_n1857_n100#" "XR3/a_n573_1640#" 0.256687
+cap "XM3/a_n1857_n100#" "XM4/a_n1809_122#" 29.6749
+cap "XR3/a_n703_n2202#" "XM3/a_n1713_n188#" 38.0119
+cap "XR3/a_n573_1640#" "XM3/a_n1809_122#" 0.224539
+cap "XM3/a_n1713_n188#" "XM3/a_n1809_122#" 21.2761
+cap "XM3/a_n1761_n100#" "XM4/a_n1809_122#" 40.0798
+cap "XM4/a_n1857_n100#" "XM4/a_n1713_n188#" 28.1958
+cap "XM4/a_n1713_n188#" "XM3/a_n1809_122#" 14.0613
+cap "XR3/a_n703_n2202#" "XM4/a_n1713_n188#" 46.3984
+cap "XM4/a_n1857_n100#" "XM4/a_n1809_122#" 40.1641
+cap "XR3/a_n703_n2202#" "XM4/a_n1809_122#" 30.9108
+cap "XM3/a_n1809_122#" "XM4/a_n1809_122#" 54.9356
+cap "XM3/a_n3011_n274#" "XM3/a_n417_n100#" 188.082
+cap "XM4/a_n465_122#" "XM3/a_n465_122#" 48.8625
+cap "XM3/a_n321_n100#" "XM4/a_n369_n188#" 54.1223
+cap "XM3/a_n369_n188#" "XM3/a_n417_n100#" -70.7486
+cap "XM4/a_n465_122#" "XM4/a_n369_n188#" 20.4787
+cap "XM3/a_n465_122#" "XM4/a_n369_n188#" 13.6539
+cap "XM3/a_n321_n100#" "XM3/a_n417_n100#" -2364.31
+cap "XM3/a_n3011_n274#" "XM3/a_n369_n188#" 39.762
+cap "XM4/a_n465_122#" "XM3/a_n417_n100#" 36.9907
+cap "XM3/a_n465_122#" "XM3/a_n417_n100#" 67.4018
+cap "XM3/a_n321_n100#" "XM3/a_n3011_n274#" 235.598
+cap "XM4/a_n465_122#" "XM3/a_n3011_n274#" 37.8313
+cap "XM4/a_n369_n188#" "XM3/a_n417_n100#" 83.0017
+cap "XM3/a_n321_n100#" "XM3/a_n369_n188#" -15.2231
+cap "XM3/a_n465_122#" "XM3/a_n3011_n274#" 52.1643
+cap "XM4/a_n465_122#" "XM3/a_n369_n188#" 18.4146
+cap "XM3/a_n465_122#" "XM3/a_n369_n188#" 20.675
+cap "XM4/a_n369_n188#" "XM3/a_n3011_n274#" 52.4192
+cap "XM3/a_n321_n100#" "XM4/a_n465_122#" 63.8815
+cap "XM3/a_n321_n100#" "XM3/a_n465_122#" 64.7441
+cap "XM4/a_n369_n188#" "XM3/a_n369_n188#" 51.8687
+cap "XM4/a_975_n188#" "XM3/a_n3011_n274#" 15.7808
+cap "XM3/a_1071_122#" "XM4/a_1071_122#" 13.9752
+cap "XM3/a_975_n188#" "XM3/a_n3011_n274#" 13.1109
+cap "XM3/a_1023_n100#" "XM3/a_927_n100#" -547.601
+cap "XM4/a_975_n188#" "XM4/a_1071_122#" 5.03022
+cap "XM3/a_975_n188#" "XM4/a_1071_122#" 4.47607
+cap "XM3/a_927_n100#" "XM3/a_n3011_n274#" 72.081
+cap "XM3/a_1023_n100#" "XM3/a_n3011_n274#" 189.396
+cap "XM3/a_927_n100#" "XM4/a_1071_122#" 9.83712
+cap "XM3/a_1071_122#" "XM4/a_975_n188#" 3.33228
+cap "XM3/a_975_n188#" "XM3/a_1071_122#" 5.04615
+cap "XM3/a_1023_n100#" "XM4/a_1071_122#" 31.6821
+cap "XM3/a_975_n188#" "XM4/a_975_n188#" 10.2609
+cap "XM4/a_1071_122#" "XM3/a_n3011_n274#" 12.7128
+cap "XM3/a_1071_122#" "XM3/a_927_n100#" 15.6806
+cap "XM3/a_1071_122#" "XM3/a_1023_n100#" 31.476
+cap "XM4/a_975_n188#" "XM3/a_927_n100#" 14.2059
+cap "XM3/a_975_n188#" "XM3/a_927_n100#" -7.594
+cap "XM3/a_1023_n100#" "XM4/a_975_n188#" 21.2213
+cap "XM3/a_1071_122#" "XM3/a_n3011_n274#" 15.6161
+cap "XM3/a_975_n188#" "XM3/a_1023_n100#" 4.32414
+cap "XM2/a_n945_n188#" "XR2/a_n285_n1192#" 0.0112978
+cap "XM1/a_n897_n100#" "XR2/a_n415_n1322#" 6.67566
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 1.29838
+cap "XM2/a_n945_n188#" "XR2/a_n285_n1192#" 0.760528
+cap "XR2/a_n415_n1322#" "XM2/a_n945_n188#" 0.206885
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 0.531774
+cap "XM2/a_n945_n188#" "XR2/a_n415_n1322#" 0.26182
+cap "XM1/a_n989_n100#" "XR2/a_n285_n1192#" 0.629027
+cap "XM1/a_n897_n100#" "XR2/a_n285_n1192#" 2.47745
+cap "XR2/a_n285_n1192#" "XM1/a_n945_n188#" 1.40611
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 1.81574
+cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" 31.8262
+cap "XR2/a_n415_n1322#" "XM1/a_n989_n100#" 2.2081
+cap "XM1/a_n989_n100#" "XR2/a_n285_n1192#" 7.96185
+cap "XM1/a_n989_n100#" "XR2/a_n415_n1322#" 30.5941
+cap "XM1/a_n945_n188#" "XR2/a_n285_n1192#" 11.3345
+cap "XM1/a_n945_n188#" "XR2/a_n415_n1322#" 28.304
+cap "XR2/a_n285_n1192#" "XM42/a_n129_n100#" -5.20205
+cap "XM1/a_n897_n100#" "XR2/a_n285_n1192#" 19.027
+cap "XR2/a_n285_n1192#" "XR2/a_n415_n1322#" 71.6317
+cap "XM1/a_n897_n100#" "XR2/a_n415_n1322#" 43.1291
+cap "XM32/a_n945_n188#" "XR2/a_n285_n1192#" -6.0034
+cap "XM32/a_n945_n188#" "XR2/a_n285_760#" 2.61817
+cap "XR2/a_n415_n1322#" "XM1/a_n801_n100#" 17.7698
+cap "XM32/a_n945_n188#" "XR2/a_n415_n1322#" 126.272
+cap "XR2/a_n415_n1322#" "XM2/a_n705_n100#" 0.509287
+cap "XR2/a_n415_n1322#" "XM32/a_n321_n100#" 43.7235
+cap "XR2/a_n415_n1322#" "XR2/a_n285_n1192#" -0.146449
+cap "XR2/a_n415_n1322#" "XR2/a_n285_760#" 1.23339
+cap "XM32/a_n945_n188#" "XM43/a_n81_122#" 3.40456
+cap "XR2/a_n415_n1322#" "XM43/a_n81_122#" 1.11103
+cap "XM32/a_n945_n188#" "XM42/a_n177_n188#" 7.33889
+cap "XR2/a_n415_n1322#" "XM1/a_n945_n188#" 6.2069
+cap "XR2/a_n415_n1322#" "XM1/a_n705_n100#" 9.08374
+cap "XR2/a_n415_n1322#" "XM42/a_n177_n188#" 6.86446
+cap "XM32/a_n945_n188#" "XM1/a_n801_n100#" 12.3454
+cap "XM32/a_n945_n188#" "XM32/a_n321_n100#" 45.6022
+cap "XR2/a_n285_760#" "XM32/a_n321_n100#" 5.30574
+cap "XR3/a_n703_n2202#" "XM42/a_n177_n188#" 2.22045e-16
+cap "XM32/a_n945_n188#" "XM32/a_n321_n100#" 75.8482
+cap "XR3/a_n573_n2072#" "XR3/a_n703_n2202#" 1.97938
+cap "XM32/a_n989_n100#" "XR3/a_n703_n2202#" 6.15249
+cap "XR2/a_n285_760#" "XR3/a_n703_n2202#" 2.05064
+cap "XR3/a_n573_n2072#" "XM32/a_n321_n100#" 3.55149
+cap "XM32/a_n321_n100#" "XR3/a_n703_n2202#" 128.731
+cap "XM32/a_n989_n100#" "XM32/a_n321_n100#" 15.0891
+cap "XM32/a_n945_n188#" "XR3/a_n703_n2202#" 47.2601
+cap "XR3/a_n703_n2202#" "XR3/a_n573_n2072#" 3.10059
+cap "XM32/a_n989_n100#" "XR3/a_n703_n2202#" 10.9108
+cap "XM3/a_n2817_n100#" "XR3/a_n703_n2202#" -0.232503
+cap "XR3/a_n703_n2202#" "XM32/a_n321_n100#" 35.293
+cap "XM33/a_n945_n188#" "XR3/a_n703_n2202#" 3.33679
+cap "XM32/a_n945_n188#" "XR3/a_n703_n2202#" 6.02886
+cap "XM32/a_n1091_n274#" "XM32/a_n417_n100#" 10.9236
+cap "XM32/a_n1091_n274#" "XR3/a_n573_1640#" -0.0070465
+cap "XM32/a_n1091_n274#" "XM3/a_n2817_n100#" 36.4902
+cap "XM32/a_n1091_n274#" "XM32/a_n321_n100#" -0.236681
+cap "XM32/a_n1091_n274#" "XM4/a_n2817_n100#" 3.15301
+cap "XM32/a_n1091_n274#" "XM3/a_n2769_122#" 10.3065
+cap "XR3/a_n573_1640#" "XM4/a_n2817_n100#" 5.20757
+cap "XM32/a_n1091_n274#" "XM4/a_n2817_n100#" 1.93928
+cap "XR3/a_n573_1640#" "XM3/a_n2909_n100#" 12.3004
+cap "XM32/a_n1091_n274#" "XM3/a_n2909_n100#" 5.85388
+cap "XR3/a_n573_1640#" "XM32/a_n1091_n274#" 129.632
+cap "XR3/a_n573_1640#" "XM3/a_n2817_n100#" 15.2514
+cap "XM32/a_n1091_n274#" "XM3/a_n2817_n100#" 16.7786
+cap "XM3/a_n2769_122#" "XR3/a_n573_1640#" 17.1905
+cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 5.37911
+cap "XM32/a_n945_n188#" "XM1/a_n1091_n274#" 0.0729243
+cap "XM1/a_n1091_n274#" "XM32/a_n321_n100#" 53.5905
+cap "XM32/a_n1091_n274#" "XM32/a_n945_n188#" 0.121724
+cap "XM32/a_n321_n100#" "XM32/a_n1091_n274#" 3.45399
+cap "XM3/a_n2817_n100#" "XM32/a_n1091_n274#" 3.71271
+cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 0.0974331
+cap "XM3/a_n2817_n100#" "XM32/a_n1091_n274#" 2.1161
+cap "XM3/a_n2769_122#" "XM32/a_n1091_n274#" 0.0569089
+cap "XR29/a_n573_1640#" "XM32/a_n1091_n274#" 38.1664
+merge "XR29/a_n573_1640#" "XR1/a_n285_n1192#" -5229.46 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1349584 -9888 0 0 0 0 0 0 0 0 0 0
 merge "XR1/a_n285_n1192#" "XR3/a_n573_1640#"
 merge "XR3/a_n573_1640#" "XR2/a_n285_n1192#"
 merge "XR2/a_n285_n1192#" "VDD"
-merge "XM4/a_n3011_n274#" "SUB" 21777.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 29341024 -7708 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XM4/a_n3011_n274#" "SUB" -12306.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -69617 -7708 0 0 0 0 0 0 0 0 0 0 0 0
 merge "SUB" "li_21885_225#"
 merge "li_21885_225#" "li_17960_890#"
 merge "li_17960_890#" "li_17965_n435#"
@@ -579,7 +708,7 @@
 merge "li_10060_880#" "XR2/a_n415_n1322#"
 merge "XR2/a_n415_n1322#" "VSUBS"
 merge "VSUBS" "li_10055_n430#"
-merge "XM4/a_2751_n100#" "XM3/a_2751_n100#" -194210 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 86547123 -39360 -474048075 0 -148066550 0 0 0 0 0 0 0
+merge "XM4/a_2751_n100#" "XM3/a_2751_n100#" -2668.79 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -698875 -39360 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_2751_n100#" "XM4/a_2559_n100#"
 merge "XM4/a_2559_n100#" "XM4/a_2367_n100#"
 merge "XM4/a_2367_n100#" "XM4/a_2175_n100#"
@@ -659,7 +788,7 @@
 merge "XM1/a_n513_n100#" "XM1/a_n705_n100#"
 merge "XM1/a_n705_n100#" "XM1/a_n897_n100#"
 merge "XM1/a_n897_n100#" "GND"
-merge "XM4/a_2799_122#" "XM3/a_2799_122#" -915242 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1422084213 -42732 0 0 0 0 0 0 0 0 0 0
+merge "XM4/a_2799_122#" "XM3/a_2799_122#" -6274.55 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -620930 -42732 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_2799_122#" "XM4/a_2703_n188#"
 merge "XM4/a_2703_n188#" "XM4/a_2511_n188#"
 merge "XM4/a_2511_n188#" "XM4/a_2319_n188#"
@@ -781,11 +910,11 @@
 merge "XM3/a_n2865_n188#" "XM2/a_n945_n188#"
 merge "XM2/a_n945_n188#" "XM1/a_n945_n188#"
 merge "XM1/a_n945_n188#" "BIAS"
-merge "XR1/a_n285_760#" "XM32/a_n945_n188#" -98260 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -151213251 -10888 0 0 0 0 0 0 0 0 0 0
+merge "XR1/a_n285_760#" "XM32/a_n945_n188#" -2233.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -389513 -10888 0 0 0 0 0 0 0 0 0 0
 merge "XM32/a_n945_n188#" "XM42/a_63_n100#"
 merge "XM42/a_63_n100#" "XM42/a_n129_n100#"
 merge "XM42/a_n129_n100#" "m1_12140_1165#"
-merge "XM43/a_159_n100#" "XM43/a_n33_n100#" 65095.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 103171101 -13776 0 0 0 0 0 0 0 0 0 0
+merge "XM43/a_159_n100#" "XM43/a_n33_n100#" -920.282 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -256350 -13776 0 0 0 0 0 0 0 0 0 0
 merge "XM43/a_n33_n100#" "XM43/a_n221_n100#"
 merge "XM43/a_n221_n100#" "XM42/a_159_n100#"
 merge "XM42/a_159_n100#" "XM42/a_n33_n100#"
@@ -813,7 +942,7 @@
 merge "XM1/a_n609_n100#" "XM1/a_n801_n100#"
 merge "XM1/a_n801_n100#" "XM1/a_n989_n100#"
 merge "XM1/a_n989_n100#" "m1_9850_15#"
-merge "XM4/a_2847_n100#" "XM3/a_2847_n100#" 208548 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 344516543 -41372 -3840000 0 0 0 0 0 0 0 0 0
+merge "XM4/a_2847_n100#" "XM3/a_2847_n100#" -2833.27 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -728638 -41372 0 0 0 0 0 0 0 0 0 0
 merge "XM3/a_2847_n100#" "XM4/a_2655_n100#"
 merge "XM4/a_2655_n100#" "XM4/a_2463_n100#"
 merge "XM4/a_2463_n100#" "XM4/a_2271_n100#"
@@ -897,7 +1026,7 @@
 merge "XM32/a_n609_n100#" "XM32/a_n801_n100#"
 merge "XM32/a_n801_n100#" "XM32/a_n989_n100#"
 merge "XM32/a_n989_n100#" "m1_13690_15#"
-merge "XM33/a_831_n100#" "XM33/a_639_n100#" 37530.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 56788030 -7880 -1920000 0 -890300 0 0 0 0 0 0 0
+merge "XM33/a_831_n100#" "XM33/a_639_n100#" -1807.84 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -513575 -7880 0 0 0 0 0 0 0 0 0 0
 merge "XM33/a_639_n100#" "XM33/a_447_n100#"
 merge "XM33/a_447_n100#" "XM33/a_255_n100#"
 merge "XM33/a_255_n100#" "XM33/a_63_n100#"
@@ -908,7 +1037,7 @@
 merge "XM33/a_n705_n100#" "XM33/a_n897_n100#"
 merge "XM33/a_n897_n100#" "XR3/a_n573_n2072#"
 merge "XR3/a_n573_n2072#" "OUTB"
-merge "XR29/a_n573_n2072#" "XM32/a_831_n100#" 18239.3 0 0 0 0 0 0 0 0 -7062220 -492 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 29652013 -7860 -4416000 0 -3054650 0 0 0 0 0 0 0
+merge "XR29/a_n573_n2072#" "XM32/a_831_n100#" -1819.52 0 0 0 0 0 0 0 0 -9200 -492 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -504450 -7860 0 0 0 0 0 0 0 0 0 0
 merge "XM32/a_831_n100#" "XM32/a_639_n100#"
 merge "XM32/a_639_n100#" "XM32/a_447_n100#"
 merge "XM32/a_447_n100#" "XM32/a_255_n100#"
@@ -920,11 +1049,11 @@
 merge "XM32/a_n513_n100#" "XM32/a_n705_n100#"
 merge "XM32/a_n705_n100#" "XM32/a_n897_n100#"
 merge "XM32/a_n897_n100#" "OUTA"
-merge "XM33/a_n945_n188#" "XM43/a_63_n100#" -120276 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -185621414 -10948 0 0 0 0 0 0 0 0 0 0
+merge "XM33/a_n945_n188#" "XM43/a_63_n100#" -2226.36 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -391253 -10948 0 0 0 0 0 0 0 0 0 0
 merge "XM43/a_63_n100#" "XM43/a_n129_n100#"
 merge "XM43/a_n129_n100#" "XR2/a_n285_760#"
 merge "XR2/a_n285_760#" "m1_12140_n1090#"
-merge "XM42/a_n81_122#" "XM42/a_n177_n188#" -33392.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -51898640 -1256 0 0 0 0 0 0 0 0 0 0
+merge "XM42/a_n81_122#" "XM42/a_n177_n188#" -397.006 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20542 -1256 0 0 0 0 0 0 0 0 0 0
 merge "XM42/a_n177_n188#" "INA"
-merge "XM43/a_n177_n188#" "XM43/a_n81_122#" -21051.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -32550168 -1266 0 0 0 0 0 0 0 0 0 0
+merge "XM43/a_n177_n188#" "XM43/a_n81_122#" -370.817 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -20717 -1266 0 0 0 0 0 0 0 0 0 0
 merge "XM43/a_n81_122#" "INB"
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_4RCNTW.ext b/mag/sky130_fd_pr__cap_mim_m3_1_4RCNTW.ext
index df29dd8..ae80bf2 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_1_4RCNTW.ext
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_4RCNTW.ext
@@ -5,8 +5,8 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__cap_mim_m3_1 w=w l=l
-node "c1_n2050_n3000#" 0 0 -2050 -3000 mim 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23226084 19688 0 0 0 0
-node "m3_n2150_n3100#" 3 12546.2 -2150 -3100 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26653800 20998 592896 12544 0 0 0 0
+node "c1_n2050_n3000#" 0 2293.97 -2050 -3000 mim 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23226084 19688 0 0 0 0
+node "m3_n2150_n3100#" 3 13709.3 -2150 -3100 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26653800 20998 592896 12544 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "c1_n2050_n3000#" "m3_n2150_n3100#" 56621.5
+cap "m3_n2150_n3100#" "c1_n2050_n3000#" 50622.5
 device csubckt sky130_fd_pr__cap_mim_m3_1 -2050 -3000 -2049 -2999 w=4000 l=6000 "None" "c1_n2050_n3000#" 19680 0 "m3_n2150_n3100#" 200 0
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_3ZFDVT.ext b/mag/sky130_fd_pr__cap_mim_m3_2_3ZFDVT.ext
index dfa75ae..c642989 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_3ZFDVT.ext
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_3ZFDVT.ext
@@ -5,8 +5,8 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__cap_mim_m3_2 w=w l=l
-node "c2_n551_n400#" 0 0 -551 -400 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 436224 2672 0 0
-node "m4_n651_n500#" 0 1441.37 -651 -500 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1302000 4604 320640 2644 0 0
+node "c2_n551_n400#" 0 347.641 -551 -400 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 436224 2672 0 0
+node "m4_n651_n500#" 0 1456.54 -651 -500 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1302000 4604 320640 2644 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m4_n651_n500#" "c2_n551_n400#" 1938.05
+cap "m4_n651_n500#" "c2_n551_n400#" 1036.96
 device csubckt sky130_fd_pr__cap_mim_m3_2 -551 -400 -550 -399 w=600 l=800 "None" "c2_n551_n400#" 2480 0 "m4_n651_n500#" 200 0
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_FJFAMD.ext b/mag/sky130_fd_pr__cap_mim_m3_2_FJFAMD.ext
index 4af4836..1c458e7 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_FJFAMD.ext
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_FJFAMD.ext
@@ -1,12 +1,12 @@
-timestamp 1660420676
+timestamp 1663024107
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__cap_mim_m3_2 w=w l=l
-node "c2_n451_n200#" 0 0 -451 -200 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 135424 1472 0 0
-node "m4_n551_n300#" 0 1007.31 -551 -300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 661200 3404 192640 1844 0 0
+node "c2_n451_n200#" 0 239.677 -451 -200 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 135424 1472 0 0
+node "m4_n551_n300#" 0 615.053 -551 -300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 480600 2802 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m4_n551_n300#" "c2_n451_n200#" 882.293
+cap "m4_n551_n300#" "c2_n451_n200#" 329.953
 device csubckt sky130_fd_pr__cap_mim_m3_2 -451 -200 -450 -199 w=400 l=400 "None" "c2_n451_n200#" 1280 0 "m4_n551_n300#" 200 0
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_VCH7EQ.ext b/mag/sky130_fd_pr__cap_mim_m3_2_VCH7EQ.ext
index 1f86feb..a88437d 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_VCH7EQ.ext
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_VCH7EQ.ext
@@ -5,8 +5,8 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__cap_mim_m3_2 w=w l=l
-node "c2_n851_n400#" 0 0 -851 -400 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 897024 3872 0 0
+node "c2_n851_n400#" 0 554.252 -851 -400 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 897024 3872 0 0
 node "m4_n951_n500#" 0 1787.75 -951 -500 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1902000 5804 320640 2644 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m4_n951_n500#" "c2_n851_n400#" 3222.14
+cap "m4_n951_n500#" "c2_n851_n400#" 1880.59
 device csubckt sky130_fd_pr__cap_mim_m3_2 -851 -400 -850 -399 w=1200 l=800 "None" "c2_n851_n400#" 3680 0 "m4_n951_n500#" 200 0
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_WCTBV5.ext b/mag/sky130_fd_pr__cap_mim_m3_2_WCTBV5.ext
index c1ccd54..2f974ba 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_WCTBV5.ext
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_WCTBV5.ext
@@ -1,12 +1,12 @@
-timestamp 1660521579
+timestamp 1663024430
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__cap_mim_m3_2 w=w l=l
-node "c2_n451_n200#" 0 0 -451 -200 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 135424 1472 0 0
-node "m4_n551_n300#" 0 1007.31 -551 -300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 661200 3404 192640 1844 0 0
+node "c2_n451_n200#" 0 239.677 -451 -200 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 135424 1472 0 0
+node "m4_n551_n300#" 0 615.053 -551 -300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 480600 2802 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "c2_n451_n200#" "m4_n551_n300#" 882.293
+cap "m4_n551_n300#" "c2_n451_n200#" 329.953
 device csubckt sky130_fd_pr__cap_mim_m3_2 -451 -200 -450 -199 w=400 l=400 "None" "c2_n451_n200#" 1280 0 "m4_n551_n300#" 200 0
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_WCTZRP.ext b/mag/sky130_fd_pr__cap_mim_m3_2_WCTZRP.ext
index 191ed6f..f194be5 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_2_WCTZRP.ext
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_WCTZRP.ext
@@ -1,12 +1,12 @@
-timestamp 1660420676
+timestamp 1663030914
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__cap_mim_m3_2 w=w l=l
-node "c2_n551_n200#" 0 0 -551 -200 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 209024 1872 0 0
-node "m4_n651_n300#" 0 1105.93 -651 -300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 781200 3804 192640 1844 0 0
+node "c2_n551_n200#" 0 321.129 -551 -200 mim2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 209024 1872 0 0
+node "m4_n651_n300#" 0 622.943 -651 -300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 490200 2834 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m4_n651_n300#" "c2_n551_n200#" 1173.66
+cap "m4_n651_n300#" "c2_n551_n200#" 447.68
 device csubckt sky130_fd_pr__cap_mim_m3_2 -551 -200 -550 -199 w=600 l=400 "None" "c2_n551_n200#" 1680 0 "m4_n651_n300#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_648S5X.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_648S5X.ext
index 51350c0..4b90e29 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_648S5X.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_648S5X.ext
@@ -1,15 +1,15 @@
-timestamp 1662404926
+timestamp 1662671450
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_15_n100#" 493 126.496 15 -100 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n73_n100#" 493 126.677 -73 -100 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n188#" 674 284.464 -33 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16032 956 0 0 4488 400 5336 416 0 0 0 0 0 0 0 0 0 0
+node "a_15_n100#" 493 89.9133 15 -100 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n73_n100#" 493 112.58 -73 -100 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n188#" 674 345.434 -33 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16032 956 0 0 4488 400 5336 416 0 0 0 0 0 0 0 0 0 0
 substrate "a_n175_n274#" 0 0 -175 -274 ppd 0 0 0 0 0 0 0 0 0 0 56440 3320 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 56440 3320 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n188#" "a_15_n100#" 28.3324
-cap "a_15_n100#" "a_n73_n100#" 283.566
-cap "a_n33_n188#" "a_n73_n100#" 28.3324
+cap "a_15_n100#" "a_n73_n100#" 161.13
+cap "a_15_n100#" "a_n33_n188#" 15.41
+cap "a_n33_n188#" "a_n73_n100#" 15.41
 device msubckt sky130_fd_pr__nfet_01v8_lvt -15 -100 -14 -99 l=30 w=200 "a_n175_n274#" "a_n33_n188#" 60 0 "a_n73_n100#" 200 0 "a_15_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_6BNFGK.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_6BNFGK.ext
index 2e7d40f..b7e850e 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_6BNFGK.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_6BNFGK.ext
@@ -5,200 +5,307 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_543_n100#" 466 98.1207 543 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_447_n100#" 442 58.4096 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 442 47.3534 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 442 42.4831 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 442 40.0479 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 442 38.9074 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 38.6457 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 442 39.1525 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 442 40.5359 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 442 43.2096 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 442 48.312 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n513_n100#" 442 59.5918 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n605_n100#" 466 99.5866 -605 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_399_n188#" 514 129.256 399 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_495_122#" 514 145.941 495 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_207_n188#" 514 116.607 207 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_303_122#" 514 115.312 303 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_15_n188#" 514 122.961 15 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_111_122#" 514 121.291 111 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n177_n188#" 514 129.375 -177 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n81_122#" 514 127.612 -81 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n369_n188#" 514 135.845 -369 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n273_122#" 514 133.827 -273 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n561_n188#" 514 173.983 -561 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n465_122#" 514 156.223 -465 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_543_n100#" 466 99.6239 543 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_447_n100#" 442 21.2151 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_351_n100#" 442 21.0197 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_255_n100#" 442 20.866 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 442 20.7552 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 442 20.6883 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 20.666 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 442 20.6883 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n225_n100#" 442 20.7552 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n321_n100#" 442 20.866 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n417_n100#" 442 21.0197 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n513_n100#" 442 21.2151 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n605_n100#" 466 122.291 -605 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_399_n188#" 514 151.447 399 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_495_122#" 514 166.875 495 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_207_n188#" 514 123.83 207 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_303_122#" 514 123.749 303 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_15_n188#" 514 123.837 15 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_111_122#" 514 123.809 111 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n177_n188#" 514 123.809 -177 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n81_122#" 514 123.837 -81 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n369_n188#" 514 123.749 -369 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n273_122#" 514 123.83 -273 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n561_n188#" 514 166.875 -561 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n465_122#" 514 151.447 -465 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "a_n707_n274#" 0 0 -707 -274 ppd 0 0 0 0 0 0 0 0 0 0 128792 7576 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 128792 7576 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_447_n100#" "a_n513_n100#" 14.3638
-cap "a_303_122#" "a_n465_122#" 6.45081
-cap "a_207_n188#" "a_495_122#" 3.46589
-cap "a_n465_122#" "a_n81_122#" 14.0338
-cap "a_n465_122#" "a_n177_n188#" 3.46589
-cap "a_n273_122#" "a_15_n188#" 3.46589
-cap "a_n605_n100#" "a_n417_n100#" 87.4087
-cap "a_n321_n100#" "a_n417_n100#" 241.535
-cap "a_159_n100#" "a_111_122#" 6.25956
-cap "a_n605_n100#" "a_n33_n100#" 24.6699
-cap "a_n33_n100#" "a_n81_122#" 6.25956
-cap "a_n321_n100#" "a_n33_n100#" 53.425
-cap "a_63_n100#" "a_255_n100#" 87.4087
-cap "a_399_n188#" "a_n273_122#" 1.38306
-cap "a_159_n100#" "a_63_n100#" 241.535
-cap "a_n605_n100#" "a_n225_n100#" 38.4743
-cap "a_351_n100#" "a_543_n100#" 87.4087
-cap "a_n177_n188#" "a_n225_n100#" 6.25956
-cap "a_n321_n100#" "a_n225_n100#" 241.535
-cap "a_255_n100#" "a_n513_n100#" 18.1562
-cap "a_447_n100#" "a_543_n100#" 241.535
-cap "a_159_n100#" "a_n513_n100#" 20.9177
-cap "a_351_n100#" "a_447_n100#" 241.535
-cap "a_n561_n188#" "a_n465_122#" 14.1667
-cap "a_303_122#" "a_495_122#" 34.1645
-cap "a_n605_n100#" "a_n129_n100#" 30.0629
-cap "a_n81_122#" "a_n129_n100#" 6.25956
-cap "a_399_n188#" "a_15_n188#" 14.0338
-cap "a_n177_n188#" "a_n129_n100#" 6.25956
-cap "a_n465_122#" "a_111_122#" 8.83801
-cap "a_399_n188#" "a_351_n100#" 6.25956
-cap "a_n321_n100#" "a_n129_n100#" 87.4087
-cap "a_495_122#" "a_n177_n188#" 1.38306
-cap "a_495_122#" "a_n81_122#" 8.83801
-cap "a_303_122#" "a_207_n188#" 14.1667
-cap "a_399_n188#" "a_447_n100#" 6.25956
-cap "a_207_n188#" "a_n81_122#" 3.46589
-cap "a_207_n188#" "a_n177_n188#" 14.0338
-cap "a_n465_122#" "a_n369_n188#" 14.1667
-cap "a_n417_n100#" "a_n369_n188#" 6.25956
-cap "a_63_n100#" "a_n417_n100#" 30.0629
-cap "a_n33_n100#" "a_63_n100#" 241.535
-cap "a_n465_122#" "a_n513_n100#" 6.25956
-cap "a_255_n100#" "a_543_n100#" 53.425
-cap "a_351_n100#" "a_255_n100#" 241.535
-cap "a_159_n100#" "a_543_n100#" 38.4743
-cap "a_n417_n100#" "a_n513_n100#" 241.535
-cap "a_159_n100#" "a_351_n100#" 87.4087
-cap "a_n33_n100#" "a_n513_n100#" 30.0629
-cap "a_n561_n188#" "a_495_122#" 0.863965
-cap "a_255_n100#" "a_447_n100#" 87.4087
-cap "a_159_n100#" "a_447_n100#" 53.425
-cap "a_63_n100#" "a_n225_n100#" 53.425
-cap "a_495_122#" "a_111_122#" 14.0338
-cap "a_207_n188#" "a_n561_n188#" 6.45081
-cap "a_n273_122#" "a_n465_122#" 34.1645
-cap "a_303_122#" "a_n177_n188#" 1.97704
-cap "a_303_122#" "a_n81_122#" 14.0338
-cap "a_207_n188#" "a_111_122#" 14.1667
-cap "a_n81_122#" "a_n177_n188#" 14.1667
-cap "a_n605_n100#" "a_n321_n100#" 53.425
-cap "a_495_122#" "a_n369_n188#" 1.06355
-cap "a_63_n100#" "a_n129_n100#" 87.4087
-cap "a_n225_n100#" "a_n513_n100#" 53.425
-cap "a_207_n188#" "a_n369_n188#" 8.83801
-cap "a_n129_n100#" "a_n513_n100#" 38.4743
-cap "a_n465_122#" "a_15_n188#" 1.97704
-cap "a_n417_n100#" "a_543_n100#" 14.3638
-cap "a_n273_122#" "a_n225_n100#" 6.25956
-cap "a_n417_n100#" "a_351_n100#" 18.1562
-cap "a_n33_n100#" "a_543_n100#" 24.6699
-cap "a_n33_n100#" "a_15_n188#" 6.25956
-cap "a_159_n100#" "a_255_n100#" 241.535
-cap "a_n33_n100#" "a_351_n100#" 38.4743
-cap "a_n417_n100#" "a_447_n100#" 16.0389
-cap "a_399_n188#" "a_n465_122#" 1.06355
-cap "a_303_122#" "a_n561_n188#" 1.06355
-cap "a_n33_n100#" "a_447_n100#" 30.0629
-cap "a_n605_n100#" "a_n561_n188#" 6.25956
-cap "a_n561_n188#" "a_n81_122#" 1.97704
-cap "a_n273_122#" "a_495_122#" 6.45081
-cap "a_n561_n188#" "a_n177_n188#" 14.0338
-cap "a_303_122#" "a_111_122#" 34.1645
-cap "a_n177_n188#" "a_111_122#" 3.46589
-cap "a_n81_122#" "a_111_122#" 34.1645
-cap "a_543_n100#" "a_n225_n100#" 18.1562
-cap "a_n273_122#" "a_207_n188#" 1.97704
-cap "a_351_n100#" "a_n225_n100#" 24.6699
-cap "a_303_122#" "a_n369_n188#" 1.38306
-cap "a_n81_122#" "a_n369_n188#" 3.46589
-cap "a_n369_n188#" "a_n177_n188#" 34.1645
-cap "a_447_n100#" "a_n225_n100#" 20.9177
-cap "a_n321_n100#" "a_n369_n188#" 6.25956
-cap "a_n605_n100#" "a_63_n100#" 20.9177
-cap "a_n321_n100#" "a_63_n100#" 38.4743
-cap "a_n129_n100#" "a_543_n100#" 20.9177
-cap "a_495_122#" "a_543_n100#" 6.25956
-cap "a_351_n100#" "a_n129_n100#" 30.0629
-cap "a_495_122#" "a_15_n188#" 1.97704
-cap "a_447_n100#" "a_n129_n100#" 24.6699
-cap "a_207_n188#" "a_15_n188#" 34.1645
-cap "a_n605_n100#" "a_n513_n100#" 241.535
-cap "a_495_122#" "a_447_n100#" 6.25956
-cap "a_n417_n100#" "a_255_n100#" 20.9177
-cap "a_n321_n100#" "a_n513_n100#" 87.4087
-cap "a_159_n100#" "a_n417_n100#" 24.6699
-cap "a_n33_n100#" "a_255_n100#" 53.425
-cap "a_399_n188#" "a_495_122#" 14.1667
-cap "a_n33_n100#" "a_159_n100#" 87.4087
-cap "a_n561_n188#" "a_111_122#" 1.38306
-cap "a_399_n188#" "a_207_n188#" 34.1645
-cap "a_n273_122#" "a_303_122#" 8.83801
-cap "a_n273_122#" "a_n81_122#" 34.1645
-cap "a_n273_122#" "a_n177_n188#" 14.1667
-cap "a_n561_n188#" "a_n369_n188#" 34.1645
-cap "a_n273_122#" "a_n321_n100#" 6.25956
-cap "a_255_n100#" "a_n225_n100#" 30.0629
-cap "a_n369_n188#" "a_111_122#" 1.97704
-cap "a_159_n100#" "a_n225_n100#" 38.4743
-cap "a_63_n100#" "a_111_122#" 6.25956
-cap "a_255_n100#" "a_n129_n100#" 38.4743
-cap "a_n561_n188#" "a_n513_n100#" 6.25956
-cap "a_303_122#" "a_15_n188#" 3.46589
-cap "a_159_n100#" "a_n129_n100#" 53.425
-cap "a_n417_n100#" "a_n465_122#" 6.25956
-cap "a_n605_n100#" "a_543_n100#" 11.882
-cap "a_303_122#" "a_351_n100#" 6.25956
-cap "a_n81_122#" "a_15_n188#" 14.1667
-cap "a_n177_n188#" "a_15_n188#" 34.1645
-cap "a_n321_n100#" "a_543_n100#" 16.0389
-cap "a_n605_n100#" "a_351_n100#" 14.3638
-cap "a_207_n188#" "a_255_n100#" 6.25956
-cap "a_n321_n100#" "a_351_n100#" 20.9177
-cap "a_159_n100#" "a_207_n188#" 6.25956
-cap "a_n605_n100#" "a_447_n100#" 13.0056
-cap "a_n33_n100#" "a_n417_n100#" 38.4743
-cap "a_n321_n100#" "a_447_n100#" 18.1562
-cap "a_399_n188#" "a_303_122#" 14.1667
-cap "a_63_n100#" "a_n513_n100#" 24.6699
-cap "a_399_n188#" "a_n81_122#" 1.97704
-cap "a_399_n188#" "a_n177_n188#" 8.83801
-cap "a_n273_122#" "a_n561_n188#" 3.46589
-cap "a_n273_122#" "a_111_122#" 14.0338
-cap "a_n417_n100#" "a_n225_n100#" 87.4087
-cap "a_n273_122#" "a_n369_n188#" 14.1667
-cap "a_n33_n100#" "a_n225_n100#" 87.4087
-cap "a_495_122#" "a_n465_122#" 5.07915
-cap "a_n561_n188#" "a_15_n188#" 8.83801
-cap "a_n417_n100#" "a_n129_n100#" 53.425
-cap "a_207_n188#" "a_n465_122#" 1.38306
-cap "a_111_122#" "a_15_n188#" 14.1667
-cap "a_n33_n100#" "a_n129_n100#" 241.535
-cap "a_303_122#" "a_255_n100#" 6.25956
-cap "a_n605_n100#" "a_255_n100#" 16.0389
-cap "a_n321_n100#" "a_255_n100#" 24.6699
-cap "a_n605_n100#" "a_159_n100#" 18.1562
-cap "a_n369_n188#" "a_15_n188#" 14.0338
-cap "a_n321_n100#" "a_159_n100#" 30.0629
-cap "a_399_n188#" "a_n561_n188#" 5.07915
-cap "a_63_n100#" "a_543_n100#" 30.0629
-cap "a_63_n100#" "a_15_n188#" 6.25956
-cap "a_399_n188#" "a_111_122#" 3.46589
-cap "a_63_n100#" "a_351_n100#" 53.425
-cap "a_n129_n100#" "a_n225_n100#" 241.535
-cap "a_63_n100#" "a_447_n100#" 38.4743
-cap "a_399_n188#" "a_n369_n188#" 6.45081
-cap "a_543_n100#" "a_n513_n100#" 13.0056
-cap "a_351_n100#" "a_n513_n100#" 16.0389
+cap "a_207_n188#" "a_n513_n100#" 0.128504
+cap "a_n225_n100#" "a_447_n100#" 0.806121
+cap "a_n321_n100#" "a_n177_n188#" 0.165075
+cap "a_255_n100#" "a_207_n188#" 3.72042
+cap "a_n225_n100#" "a_15_n188#" 0.162433
+cap "a_543_n100#" "a_n605_n100#" 0.429916
+cap "a_303_122#" "a_n273_122#" 0.600386
+cap "a_n417_n100#" "a_399_n188#" 0.117997
+cap "a_n33_n100#" "a_n465_122#" 0.152859
+cap "a_207_n188#" "a_n177_n188#" 0.656437
+cap "a_n129_n100#" "a_n321_n100#" 0.990922
+cap "a_n369_n188#" "a_n465_122#" 13.3333
+cap "a_n129_n100#" "a_111_122#" 0.162433
+cap "a_399_n188#" "a_63_n100#" 0.158349
+cap "a_495_122#" "a_n321_n100#" 0.117997
+cap "a_495_122#" "a_111_122#" 0.656437
+cap "a_n129_n100#" "a_207_n188#" 0.158349
+cap "a_351_n100#" "a_n321_n100#" 0.806121
+cap "a_n417_n100#" "a_303_122#" 0.128504
+cap "a_351_n100#" "a_111_122#" 0.162433
+cap "a_n81_122#" "a_n273_122#" 25.1921
+cap "a_n225_n100#" "a_399_n188#" 0.13787
+cap "a_543_n100#" "a_n33_n100#" 0.843253
+cap "a_447_n100#" "a_n321_n100#" 0.746023
+cap "a_n465_122#" "a_n513_n100#" 3.72042
+cap "a_303_122#" "a_63_n100#" 0.162433
+cap "a_n369_n188#" "a_543_n100#" 0.106443
+cap "a_207_n188#" "a_351_n100#" 0.165075
+cap "a_447_n100#" "a_111_122#" 0.158349
+cap "a_255_n100#" "a_n465_122#" 0.128504
+cap "a_15_n188#" "a_n321_n100#" 0.158349
+cap "a_159_n100#" "a_n561_n188#" 0.128504
+cap "a_15_n188#" "a_111_122#" 13.3333
+cap "a_447_n100#" "a_207_n188#" 0.162433
+cap "a_207_n188#" "a_15_n188#" 25.1921
+cap "a_n225_n100#" "a_303_122#" 0.146013
+cap "a_n417_n100#" "a_n81_122#" 0.158349
+cap "a_n81_122#" "a_63_n100#" 0.165075
+cap "a_n129_n100#" "a_n465_122#" 0.158349
+cap "a_543_n100#" "a_n513_n100#" 0.519
+cap "a_159_n100#" "a_n605_n100#" 0.732419
+cap "a_255_n100#" "a_543_n100#" 0.952553
+cap "a_495_122#" "a_n465_122#" 0.427216
+cap "a_399_n188#" "a_n321_n100#" 0.128504
+cap "a_n225_n100#" "a_n81_122#" 0.165075
+cap "a_543_n100#" "a_n177_n188#" 0.128504
+cap "a_n417_n100#" "a_n273_122#" 0.165075
+cap "a_n465_122#" "a_351_n100#" 0.117997
+cap "a_399_n188#" "a_207_n188#" 25.1921
+cap "a_n273_122#" "a_63_n100#" 0.158349
+cap "a_447_n100#" "a_n465_122#" 0.106443
+cap "a_543_n100#" "a_n129_n100#" 0.791348
+cap "a_303_122#" "a_n321_n100#" 0.13787
+cap "a_n33_n100#" "a_159_n100#" 0.990922
+cap "a_n605_n100#" "a_n561_n188#" 3.75179
+cap "a_303_122#" "a_111_122#" 25.1921
+cap "a_543_n100#" "a_495_122#" 3.75179
+cap "a_n369_n188#" "a_159_n100#" 0.146013
+cap "a_303_122#" "a_207_n188#" 13.3333
+cap "a_n225_n100#" "a_n273_122#" 3.72042
+cap "a_543_n100#" "a_351_n100#" 0.972435
+cap "a_n417_n100#" "a_63_n100#" 0.904382
+cap "a_447_n100#" "a_543_n100#" 147.917
+cap "a_n81_122#" "a_n321_n100#" 0.162433
+cap "a_n81_122#" "a_111_122#" 25.1921
+cap "a_159_n100#" "a_n513_n100#" 0.806121
+cap "a_543_n100#" "a_15_n188#" 0.146013
+cap "a_n33_n100#" "a_n561_n188#" 0.146013
+cap "a_n225_n100#" "a_n417_n100#" 0.990922
+cap "a_255_n100#" "a_159_n100#" 147.936
+cap "a_n369_n188#" "a_n561_n188#" 25.1921
+cap "a_n225_n100#" "a_63_n100#" 0.970605
+cap "a_159_n100#" "a_n177_n188#" 0.158349
+cap "a_n273_122#" "a_n321_n100#" 3.72042
+cap "a_n273_122#" "a_111_122#" 0.656437
+cap "a_n33_n100#" "a_n605_n100#" 0.843253
+cap "a_303_122#" "a_n465_122#" 0.523066
+cap "a_n369_n188#" "a_n605_n100#" 0.162433
+cap "a_n129_n100#" "a_159_n100#" 0.970605
+cap "a_543_n100#" "a_399_n188#" 0.165075
+cap "a_n513_n100#" "a_n561_n188#" 3.72042
+cap "a_159_n100#" "a_495_122#" 0.158349
+cap "a_255_n100#" "a_n561_n188#" 0.117997
+cap "a_n417_n100#" "a_n321_n100#" 147.936
+cap "a_159_n100#" "a_351_n100#" 0.990922
+cap "a_n177_n188#" "a_n561_n188#" 0.656437
+cap "a_n417_n100#" "a_111_122#" 0.146013
+cap "a_n81_122#" "a_n465_122#" 0.656437
+cap "a_63_n100#" "a_n321_n100#" 0.941673
+cap "a_543_n100#" "a_303_122#" 0.162433
+cap "a_447_n100#" "a_159_n100#" 0.970605
+cap "a_n513_n100#" "a_n605_n100#" 147.917
+cap "a_n417_n100#" "a_207_n188#" 0.13787
+cap "a_63_n100#" "a_111_122#" 3.72042
+cap "a_255_n100#" "a_n605_n100#" 0.666988
+cap "a_n369_n188#" "a_n33_n100#" 0.158349
+cap "a_n129_n100#" "a_n561_n188#" 0.152859
+cap "a_159_n100#" "a_15_n188#" 0.165075
+cap "a_207_n188#" "a_63_n100#" 0.165075
+cap "a_n177_n188#" "a_n605_n100#" 0.152859
+cap "a_n225_n100#" "a_n321_n100#" 147.936
+cap "a_n225_n100#" "a_111_122#" 0.158349
+cap "a_n273_122#" "a_n465_122#" 25.1921
+cap "a_543_n100#" "a_n81_122#" 0.13787
+cap "a_351_n100#" "a_n561_n188#" 0.106443
+cap "a_n129_n100#" "a_n605_n100#" 0.887673
+cap "a_n225_n100#" "a_207_n188#" 0.152859
+cap "a_n33_n100#" "a_n513_n100#" 0.904382
+cap "a_447_n100#" "a_n561_n188#" 0.093944
+cap "a_255_n100#" "a_n33_n100#" 0.970605
+cap "a_495_122#" "a_n605_n100#" 0.0806112
+cap "a_n369_n188#" "a_n513_n100#" 0.165075
+cap "a_159_n100#" "a_399_n188#" 0.162433
+cap "a_15_n188#" "a_n561_n188#" 0.600386
+cap "a_255_n100#" "a_n369_n188#" 0.13787
+cap "a_351_n100#" "a_n605_n100#" 0.595638
+cap "a_n33_n100#" "a_n177_n188#" 0.165075
+cap "a_n417_n100#" "a_n465_122#" 3.72042
+cap "a_n369_n188#" "a_n177_n188#" 25.1921
+cap "a_543_n100#" "a_n273_122#" 0.117997
+cap "a_447_n100#" "a_n605_n100#" 0.519
+cap "a_n465_122#" "a_63_n100#" 0.146013
+cap "a_n33_n100#" "a_n129_n100#" 147.936
+cap "a_n321_n100#" "a_111_122#" 0.152859
+cap "a_303_122#" "a_159_n100#" 0.165075
+cap "a_15_n188#" "a_n605_n100#" 0.13787
+cap "a_n369_n188#" "a_n129_n100#" 0.162433
+cap "a_255_n100#" "a_n513_n100#" 0.746023
+cap "a_n33_n100#" "a_495_122#" 0.146013
+cap "a_399_n188#" "a_n561_n188#" 0.427216
+cap "a_207_n188#" "a_n321_n100#" 0.146013
+cap "a_207_n188#" "a_111_122#" 13.3333
+cap "a_n225_n100#" "a_n465_122#" 0.162433
+cap "a_n417_n100#" "a_543_n100#" 0.595638
+cap "a_n177_n188#" "a_n513_n100#" 0.158349
+cap "a_n33_n100#" "a_351_n100#" 0.941673
+cap "a_255_n100#" "a_n177_n188#" 0.152859
+cap "a_n369_n188#" "a_351_n100#" 0.128504
+cap "a_543_n100#" "a_63_n100#" 0.887673
+cap "a_447_n100#" "a_n33_n100#" 0.904382
+cap "a_n81_122#" "a_159_n100#" 0.162433
+cap "a_n129_n100#" "a_n513_n100#" 0.941673
+cap "a_n369_n188#" "a_447_n100#" 0.117997
+cap "a_399_n188#" "a_n605_n100#" 0.093944
+cap "a_n33_n100#" "a_15_n188#" 3.72042
+cap "a_255_n100#" "a_n129_n100#" 0.941673
+cap "a_n369_n188#" "a_15_n188#" 0.656437
+cap "a_495_122#" "a_n513_n100#" 0.093944
+cap "a_n225_n100#" "a_543_n100#" 0.732419
+cap "a_255_n100#" "a_495_122#" 0.162433
+cap "a_n129_n100#" "a_n177_n188#" 3.72042
+cap "a_351_n100#" "a_n513_n100#" 0.679303
+cap "a_255_n100#" "a_351_n100#" 147.936
+cap "a_n273_122#" "a_159_n100#" 0.152859
+cap "a_n465_122#" "a_n321_n100#" 0.165075
+cap "a_303_122#" "a_n605_n100#" 0.106443
+cap "a_447_n100#" "a_n513_n100#" 0.606553
+cap "a_n465_122#" "a_111_122#" 0.600386
+cap "a_255_n100#" "a_447_n100#" 0.990922
+cap "a_n33_n100#" "a_399_n188#" 0.152859
+cap "a_351_n100#" "a_n177_n188#" 0.146013
+cap "a_n129_n100#" "a_495_122#" 0.13787
+cap "a_15_n188#" "a_n513_n100#" 0.146013
+cap "a_n369_n188#" "a_399_n188#" 0.523066
+cap "a_255_n100#" "a_15_n188#" 0.162433
+cap "a_447_n100#" "a_n177_n188#" 0.13787
+cap "a_n129_n100#" "a_351_n100#" 0.904382
+cap "a_n417_n100#" "a_159_n100#" 0.859064
+cap "a_15_n188#" "a_n177_n188#" 25.1921
+cap "a_n81_122#" "a_n605_n100#" 0.146013
+cap "a_543_n100#" "a_n321_n100#" 0.666988
+cap "a_495_122#" "a_351_n100#" 0.165075
+cap "a_447_n100#" "a_n129_n100#" 0.859064
+cap "a_n33_n100#" "a_303_122#" 0.158349
+cap "a_543_n100#" "a_111_122#" 0.152859
+cap "a_159_n100#" "a_63_n100#" 147.936
+cap "a_447_n100#" "a_495_122#" 3.72042
+cap "a_n129_n100#" "a_15_n188#" 0.165075
+cap "a_399_n188#" "a_n513_n100#" 0.106443
+cap "a_543_n100#" "a_207_n188#" 0.158349
+cap "a_255_n100#" "a_399_n188#" 0.165075
+cap "a_447_n100#" "a_351_n100#" 147.936
+cap "a_n225_n100#" "a_159_n100#" 0.941673
+cap "a_399_n188#" "a_n177_n188#" 0.600386
+cap "a_n273_122#" "a_n605_n100#" 0.158349
+cap "a_351_n100#" "a_15_n188#" 0.158349
+cap "a_n417_n100#" "a_n561_n188#" 0.165075
+cap "a_n33_n100#" "a_n81_122#" 3.72042
+cap "a_303_122#" "a_n513_n100#" 0.117997
+cap "a_63_n100#" "a_n561_n188#" 0.13787
+cap "a_447_n100#" "a_15_n188#" 0.152859
+cap "a_n129_n100#" "a_399_n188#" 0.146013
+cap "a_255_n100#" "a_303_122#" 3.72042
+cap "a_495_122#" "a_399_n188#" 13.3333
+cap "a_n417_n100#" "a_n605_n100#" 0.972435
+cap "a_n225_n100#" "a_n561_n188#" 0.158349
+cap "a_399_n188#" "a_351_n100#" 3.72042
+cap "a_n33_n100#" "a_n273_122#" 0.162433
+cap "a_543_n100#" "a_n465_122#" 0.093944
+cap "a_63_n100#" "a_n605_n100#" 0.791348
+cap "a_n81_122#" "a_n513_n100#" 0.152859
+cap "a_n369_n188#" "a_n273_122#" 13.3333
+cap "a_159_n100#" "a_n321_n100#" 0.904382
+cap "a_n129_n100#" "a_303_122#" 0.152859
+cap "a_255_n100#" "a_n81_122#" 0.158349
+cap "a_447_n100#" "a_399_n188#" 3.72042
+cap "a_159_n100#" "a_111_122#" 3.72042
+cap "a_303_122#" "a_495_122#" 25.1921
+cap "a_399_n188#" "a_15_n188#" 0.656437
+cap "a_159_n100#" "a_207_n188#" 3.72042
+cap "a_n81_122#" "a_n177_n188#" 13.3333
+cap "a_n225_n100#" "a_n605_n100#" 0.924215
+cap "a_n417_n100#" "a_n33_n100#" 0.941673
+cap "a_303_122#" "a_351_n100#" 3.72042
+cap "a_n417_n100#" "a_n369_n188#" 3.72042
+cap "a_n273_122#" "a_n513_n100#" 0.162433
+cap "a_n33_n100#" "a_63_n100#" 147.936
+cap "a_447_n100#" "a_303_122#" 0.165075
+cap "a_n81_122#" "a_n129_n100#" 3.72042
+cap "a_255_n100#" "a_n273_122#" 0.146013
+cap "a_n369_n188#" "a_63_n100#" 0.152859
+cap "a_n81_122#" "a_495_122#" 0.600386
+cap "a_n321_n100#" "a_n561_n188#" 0.162433
+cap "a_n273_122#" "a_n177_n188#" 13.3333
+cap "a_n81_122#" "a_351_n100#" 0.152859
+cap "a_n225_n100#" "a_n33_n100#" 0.990922
+cap "a_207_n188#" "a_n561_n188#" 0.523066
+cap "a_n417_n100#" "a_n513_n100#" 147.936
+cap "a_n225_n100#" "a_n369_n188#" 0.165075
+cap "a_447_n100#" "a_n81_122#" 0.146013
+cap "a_n129_n100#" "a_n273_122#" 0.165075
+cap "a_255_n100#" "a_n417_n100#" 0.806121
+cap "a_n321_n100#" "a_n605_n100#" 0.952553
+cap "a_111_122#" "a_n605_n100#" 0.128504
+cap "a_159_n100#" "a_n465_122#" 0.13787
+cap "a_63_n100#" "a_n513_n100#" 0.859064
+cap "a_n81_122#" "a_15_n188#" 13.3333
+cap "a_n273_122#" "a_495_122#" 0.523066
+cap "a_255_n100#" "a_63_n100#" 0.990922
+cap "a_303_122#" "a_399_n188#" 13.3333
+cap "a_n417_n100#" "a_n177_n188#" 0.162433
+cap "a_207_n188#" "a_n605_n100#" 0.117997
+cap "a_n273_122#" "a_351_n100#" 0.13787
+cap "a_63_n100#" "a_n177_n188#" 0.162433
+cap "a_n225_n100#" "a_n513_n100#" 0.970605
+cap "a_n417_n100#" "a_n129_n100#" 0.970605
+cap "a_255_n100#" "a_n225_n100#" 0.904382
+cap "a_447_n100#" "a_n273_122#" 0.128504
+cap "a_n417_n100#" "a_495_122#" 0.106443
+cap "a_543_n100#" "a_159_n100#" 0.924215
+cap "a_n129_n100#" "a_63_n100#" 0.990922
+cap "a_n33_n100#" "a_n321_n100#" 0.970605
+cap "a_n33_n100#" "a_111_122#" 0.165075
+cap "a_n465_122#" "a_n561_n188#" 13.3333
+cap "a_n225_n100#" "a_n177_n188#" 3.72042
+cap "a_n369_n188#" "a_n321_n100#" 3.72042
+cap "a_495_122#" "a_63_n100#" 0.152859
+cap "a_n417_n100#" "a_351_n100#" 0.746023
+cap "a_n33_n100#" "a_207_n188#" 0.162433
+cap "a_n369_n188#" "a_207_n188#" 0.600386
+cap "a_63_n100#" "a_351_n100#" 0.970605
+cap "a_n225_n100#" "a_n129_n100#" 147.936
+cap "a_n417_n100#" "a_447_n100#" 0.679303
+cap "a_n225_n100#" "a_495_122#" 0.128504
+cap "a_n465_122#" "a_n605_n100#" 0.165075
+cap "a_n417_n100#" "a_15_n188#" 0.152859
+cap "a_n81_122#" "a_303_122#" 0.656437
+cap "a_447_n100#" "a_63_n100#" 0.941673
+cap "a_n321_n100#" "a_n513_n100#" 0.990922
+cap "a_543_n100#" "a_n561_n188#" 0.0806112
+cap "a_255_n100#" "a_n321_n100#" 0.859064
+cap "a_n513_n100#" "a_111_122#" 0.13787
+cap "a_63_n100#" "a_15_n188#" 3.72042
+cap "a_n225_n100#" "a_351_n100#" 0.859064
+cap "a_255_n100#" "a_111_122#" 0.165075
 device msubckt sky130_fd_pr__nfet_01v8_lvt 513 -100 514 -99 l=30 w=200 "a_n707_n274#" "a_495_122#" 60 0 "a_447_n100#" 200 0 "a_543_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 417 -100 418 -99 l=30 w=200 "a_n707_n274#" "a_399_n188#" 60 0 "a_351_n100#" 200 0 "a_447_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 321 -100 322 -99 l=30 w=200 "a_n707_n274#" "a_303_122#" 60 0 "a_255_n100#" 200 0 "a_351_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_9DHFGX.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_9DHFGX.ext
index 502e564..2a14634 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_9DHFGX.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_9DHFGX.ext
@@ -5,148 +5,222 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_447_n100#" 466 98.9934 447 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 442 59.4523 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 442 48.6213 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 442 44.0583 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 442 42.0581 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 41.5627 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 442 42.3194 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 442 44.5785 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 442 49.3959 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 442 60.4744 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n509_n100#" 466 100.325 -509 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_303_n188#" 514 129.45 303 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_399_122#" 514 146.101 399 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_111_n188#" 514 116.908 111 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_207_122#" 514 115.551 207 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n81_n188#" 514 123.497 -81 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_15_122#" 514 121.684 15 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n273_n188#" 514 130.587 -273 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n177_122#" 514 128.384 -177 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n465_n188#" 514 169.617 -465 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n369_122#" 514 151.593 -369 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_447_n100#" 466 100.176 447 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_351_n100#" 442 21.8434 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_255_n100#" 442 21.7167 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 442 21.6254 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 442 21.5703 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 21.5518 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 442 21.5703 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n225_n100#" 442 21.6254 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n321_n100#" 442 21.7167 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n417_n100#" 442 21.8434 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n509_n100#" 466 122.842 -509 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_303_n188#" 514 151.66 303 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_399_122#" 514 167.117 399 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_111_n188#" 514 124.038 111 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_207_122#" 514 123.985 207 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n81_n188#" 514 124.032 -81 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_15_122#" 514 124.032 15 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n273_n188#" 514 123.985 -273 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n177_122#" 514 124.038 -177 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n465_n188#" 514 167.117 -465 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n369_122#" 514 151.66 -369 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "a_n611_n274#" 0 0 -611 -274 ppd 0 0 0 0 0 0 0 0 0 0 115736 6808 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 115736 6808 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n465_n188#" "a_n81_n188#" 14.0338
-cap "a_303_n188#" "a_399_122#" 14.1667
-cap "a_159_n100#" "a_447_n100#" 53.425
-cap "a_207_122#" "a_255_n100#" 6.25956
-cap "a_207_122#" "a_n81_n188#" 3.46589
-cap "a_n465_n188#" "a_n273_n188#" 34.1645
-cap "a_159_n100#" "a_n321_n100#" 30.0629
-cap "a_n417_n100#" "a_351_n100#" 18.1562
-cap "a_159_n100#" "a_255_n100#" 241.535
-cap "a_n225_n100#" "a_351_n100#" 24.6699
-cap "a_n33_n100#" "a_447_n100#" 30.0629
-cap "a_n417_n100#" "a_n369_122#" 6.25956
-cap "a_207_122#" "a_n273_n188#" 1.97704
-cap "a_63_n100#" "a_351_n100#" 53.425
-cap "a_n417_n100#" "a_n509_n100#" 241.535
-cap "a_15_122#" "a_n369_122#" 14.0338
-cap "a_111_n188#" "a_15_122#" 14.1667
-cap "a_n225_n100#" "a_n509_n100#" 53.425
-cap "a_n321_n100#" "a_n33_n100#" 53.425
-cap "a_111_n188#" "a_63_n100#" 6.25956
-cap "a_n33_n100#" "a_255_n100#" 53.425
-cap "a_n129_n100#" "a_351_n100#" 30.0629
-cap "a_63_n100#" "a_n509_n100#" 24.6699
-cap "a_n33_n100#" "a_n81_n188#" 6.25956
-cap "a_351_n100#" "a_399_122#" 6.25956
-cap "a_n129_n100#" "a_n509_n100#" 38.4743
-cap "a_n369_122#" "a_399_122#" 6.45081
-cap "a_n417_n100#" "a_n465_n188#" 6.25956
-cap "a_111_n188#" "a_399_122#" 3.46589
-cap "a_351_n100#" "a_303_n188#" 6.25956
-cap "a_n465_n188#" "a_15_122#" 1.97704
-cap "a_303_n188#" "a_n369_122#" 1.38306
-cap "a_n321_n100#" "a_447_n100#" 18.1562
-cap "a_111_n188#" "a_303_n188#" 34.1645
-cap "a_255_n100#" "a_447_n100#" 87.4087
-cap "a_207_122#" "a_15_122#" 34.1645
-cap "a_n177_122#" "a_n81_n188#" 14.1667
-cap "a_159_n100#" "a_n417_n100#" 24.6699
-cap "a_159_n100#" "a_n225_n100#" 38.4743
-cap "a_n321_n100#" "a_255_n100#" 24.6699
-cap "a_n465_n188#" "a_399_122#" 1.06355
-cap "a_n273_n188#" "a_n177_122#" 14.1667
-cap "a_159_n100#" "a_63_n100#" 241.535
-cap "a_207_122#" "a_399_122#" 34.1645
-cap "a_n465_n188#" "a_303_n188#" 6.45081
-cap "a_n417_n100#" "a_n33_n100#" 38.4743
-cap "a_159_n100#" "a_n129_n100#" 53.425
-cap "a_n225_n100#" "a_n33_n100#" 87.4087
-cap "a_n273_n188#" "a_n321_n100#" 6.25956
-cap "a_15_122#" "a_n33_n100#" 6.25956
-cap "a_207_122#" "a_303_n188#" 14.1667
-cap "a_63_n100#" "a_n33_n100#" 241.535
-cap "a_n273_n188#" "a_n81_n188#" 34.1645
-cap "a_351_n100#" "a_n509_n100#" 16.0389
-cap "a_111_n188#" "a_n369_122#" 1.97704
-cap "a_n129_n100#" "a_n33_n100#" 241.535
-cap "a_n417_n100#" "a_447_n100#" 16.0389
-cap "a_n225_n100#" "a_n177_122#" 6.25956
-cap "a_15_122#" "a_n177_122#" 34.1645
-cap "a_n225_n100#" "a_447_n100#" 20.9177
-cap "a_63_n100#" "a_447_n100#" 38.4743
-cap "a_n417_n100#" "a_n321_n100#" 241.535
-cap "a_n417_n100#" "a_255_n100#" 20.9177
-cap "a_n225_n100#" "a_n321_n100#" 241.535
-cap "a_n465_n188#" "a_n369_122#" 14.1667
-cap "a_111_n188#" "a_n465_n188#" 8.83801
-cap "a_n225_n100#" "a_255_n100#" 30.0629
-cap "a_n129_n100#" "a_n177_122#" 6.25956
-cap "a_n465_n188#" "a_n509_n100#" 6.25956
-cap "a_n129_n100#" "a_447_n100#" 24.6699
-cap "a_63_n100#" "a_n321_n100#" 38.4743
-cap "a_n177_122#" "a_399_122#" 8.83801
-cap "a_63_n100#" "a_255_n100#" 87.4087
-cap "a_15_122#" "a_n81_n188#" 14.1667
-cap "a_399_122#" "a_447_n100#" 6.25956
-cap "a_207_122#" "a_n369_122#" 8.83801
-cap "a_111_n188#" "a_207_122#" 14.1667
-cap "a_159_n100#" "a_351_n100#" 87.4087
-cap "a_n129_n100#" "a_n321_n100#" 87.4087
-cap "a_n129_n100#" "a_255_n100#" 38.4743
-cap "a_n177_122#" "a_303_n188#" 1.97704
-cap "a_n225_n100#" "a_n273_n188#" 6.25956
-cap "a_159_n100#" "a_111_n188#" 6.25956
-cap "a_n129_n100#" "a_n81_n188#" 6.25956
-cap "a_n273_n188#" "a_15_122#" 3.46589
-cap "a_159_n100#" "a_n509_n100#" 20.9177
-cap "a_n81_n188#" "a_399_122#" 1.97704
-cap "a_351_n100#" "a_n33_n100#" 38.4743
-cap "a_303_n188#" "a_255_n100#" 6.25956
-cap "a_207_122#" "a_n465_n188#" 1.38306
-cap "a_303_n188#" "a_n81_n188#" 14.0338
-cap "a_n273_n188#" "a_399_122#" 1.38306
-cap "a_n33_n100#" "a_n509_n100#" 30.0629
-cap "a_n417_n100#" "a_n225_n100#" 87.4087
-cap "a_n273_n188#" "a_303_n188#" 8.83801
-cap "a_159_n100#" "a_207_122#" 6.25956
-cap "a_351_n100#" "a_447_n100#" 241.535
-cap "a_63_n100#" "a_n417_n100#" 30.0629
-cap "a_n177_122#" "a_n369_122#" 34.1645
-cap "a_63_n100#" "a_n225_n100#" 53.425
-cap "a_111_n188#" "a_n177_122#" 3.46589
-cap "a_63_n100#" "a_15_122#" 6.25956
-cap "a_n417_n100#" "a_n129_n100#" 53.425
-cap "a_n321_n100#" "a_351_n100#" 20.9177
-cap "a_447_n100#" "a_n509_n100#" 14.3638
-cap "a_351_n100#" "a_255_n100#" 241.535
-cap "a_n225_n100#" "a_n129_n100#" 241.535
-cap "a_n321_n100#" "a_n369_122#" 6.25956
-cap "a_63_n100#" "a_n129_n100#" 87.4087
-cap "a_15_122#" "a_399_122#" 14.0338
-cap "a_n321_n100#" "a_n509_n100#" 87.4087
-cap "a_n81_n188#" "a_n369_122#" 3.46589
-cap "a_255_n100#" "a_n509_n100#" 18.1562
-cap "a_159_n100#" "a_n33_n100#" 87.4087
-cap "a_111_n188#" "a_n81_n188#" 34.1645
-cap "a_n465_n188#" "a_n177_122#" 3.46589
-cap "a_15_122#" "a_303_n188#" 3.46589
-cap "a_n273_n188#" "a_n369_122#" 14.1667
-cap "a_111_n188#" "a_n273_n188#" 14.0338
-cap "a_207_122#" "a_n177_122#" 14.0338
+cap "a_255_n100#" "a_n225_n100#" 0.904382
+cap "a_255_n100#" "a_63_n100#" 0.990922
+cap "a_111_n188#" "a_n321_n100#" 0.152859
+cap "a_n321_n100#" "a_159_n100#" 0.904382
+cap "a_303_n188#" "a_n129_n100#" 0.152859
+cap "a_n33_n100#" "a_n81_n188#" 3.72042
+cap "a_n129_n100#" "a_n177_122#" 3.72042
+cap "a_399_122#" "a_255_n100#" 0.165075
+cap "a_351_n100#" "a_255_n100#" 147.936
+cap "a_303_n188#" "a_n465_n188#" 0.523066
+cap "a_n509_n100#" "a_303_n188#" 0.117997
+cap "a_n369_122#" "a_n273_n188#" 13.3333
+cap "a_n129_n100#" "a_n225_n100#" 147.936
+cap "a_n509_n100#" "a_n177_122#" 0.158349
+cap "a_207_122#" "a_447_n100#" 0.162433
+cap "a_255_n100#" "a_n417_n100#" 0.806121
+cap "a_n129_n100#" "a_63_n100#" 0.990922
+cap "a_255_n100#" "a_n321_n100#" 0.859064
+cap "a_111_n188#" "a_207_122#" 13.3333
+cap "a_207_122#" "a_159_n100#" 3.72042
+cap "a_n177_122#" "a_15_122#" 25.1921
+cap "a_n465_n188#" "a_n225_n100#" 0.162433
+cap "a_399_122#" "a_n129_n100#" 0.146013
+cap "a_447_n100#" "a_n369_122#" 0.117997
+cap "a_n509_n100#" "a_n225_n100#" 0.952553
+cap "a_n465_n188#" "a_63_n100#" 0.146013
+cap "a_351_n100#" "a_n129_n100#" 0.904382
+cap "a_n509_n100#" "a_63_n100#" 0.843253
+cap "a_n129_n100#" "a_n417_n100#" 0.970605
+cap "a_n369_122#" "a_159_n100#" 0.146013
+cap "a_15_122#" "a_n225_n100#" 0.162433
+cap "a_447_n100#" "a_n273_n188#" 0.128504
+cap "a_303_n188#" "a_n81_n188#" 0.656437
+cap "a_n509_n100#" "a_399_122#" 0.106443
+cap "a_n81_n188#" "a_n177_122#" 13.3333
+cap "a_15_122#" "a_63_n100#" 3.72042
+cap "a_303_n188#" "a_n33_n100#" 0.158349
+cap "a_351_n100#" "a_n465_n188#" 0.117997
+cap "a_n129_n100#" "a_n321_n100#" 0.990922
+cap "a_n33_n100#" "a_n177_122#" 0.165075
+cap "a_n509_n100#" "a_351_n100#" 0.666988
+cap "a_207_122#" "a_255_n100#" 3.72042
+cap "a_n417_n100#" "a_n465_n188#" 3.72042
+cap "a_111_n188#" "a_n273_n188#" 0.656437
+cap "a_n273_n188#" "a_159_n100#" 0.152859
+cap "a_n509_n100#" "a_n417_n100#" 147.917
+cap "a_399_122#" "a_15_122#" 0.656437
+cap "a_351_n100#" "a_15_122#" 0.158349
+cap "a_n81_n188#" "a_n225_n100#" 0.165075
+cap "a_n465_n188#" "a_n321_n100#" 0.165075
+cap "a_n33_n100#" "a_n225_n100#" 0.990922
+cap "a_n509_n100#" "a_n321_n100#" 0.972435
+cap "a_n81_n188#" "a_63_n100#" 0.165075
+cap "a_n33_n100#" "a_63_n100#" 147.936
+cap "a_255_n100#" "a_n369_122#" 0.13787
+cap "a_n417_n100#" "a_15_122#" 0.152859
+cap "a_111_n188#" "a_447_n100#" 0.158349
+cap "a_447_n100#" "a_159_n100#" 0.952553
+cap "a_207_122#" "a_n129_n100#" 0.158349
+cap "a_15_122#" "a_n321_n100#" 0.158349
+cap "a_399_122#" "a_n33_n100#" 0.152859
+cap "a_111_n188#" "a_159_n100#" 3.72042
+cap "a_351_n100#" "a_n81_n188#" 0.152859
+cap "a_n33_n100#" "a_351_n100#" 0.941673
+cap "a_255_n100#" "a_n273_n188#" 0.146013
+cap "a_n417_n100#" "a_n81_n188#" 0.158349
+cap "a_n33_n100#" "a_n417_n100#" 0.941673
+cap "a_n129_n100#" "a_n369_122#" 0.162433
+cap "a_n509_n100#" "a_207_122#" 0.128504
+cap "a_n81_n188#" "a_n321_n100#" 0.162433
+cap "a_n33_n100#" "a_n321_n100#" 0.970605
+cap "a_255_n100#" "a_447_n100#" 0.972435
+cap "a_n369_122#" "a_n465_n188#" 13.3333
+cap "a_207_122#" "a_15_122#" 25.1921
+cap "a_n129_n100#" "a_n273_n188#" 0.165075
+cap "a_n509_n100#" "a_n369_122#" 0.165075
+cap "a_255_n100#" "a_159_n100#" 147.936
+cap "a_111_n188#" "a_255_n100#" 0.165075
+cap "a_303_n188#" "a_n225_n100#" 0.146013
+cap "a_n177_122#" "a_n225_n100#" 3.72042
+cap "a_303_n188#" "a_63_n100#" 0.162433
+cap "a_n177_122#" "a_63_n100#" 0.162433
+cap "a_n465_n188#" "a_n273_n188#" 25.1921
+cap "a_n369_122#" "a_15_122#" 0.656437
+cap "a_n509_n100#" "a_n273_n188#" 0.162433
+cap "a_n129_n100#" "a_447_n100#" 0.843253
+cap "a_303_n188#" "a_399_122#" 13.3333
+cap "a_207_122#" "a_n33_n100#" 0.162433
+cap "a_399_122#" "a_n177_122#" 0.600386
+cap "a_303_n188#" "a_351_n100#" 3.72042
+cap "a_63_n100#" "a_n225_n100#" 0.970605
+cap "a_351_n100#" "a_n177_122#" 0.146013
+cap "a_111_n188#" "a_n129_n100#" 0.162433
+cap "a_n129_n100#" "a_159_n100#" 0.970605
+cap "a_303_n188#" "a_n417_n100#" 0.128504
+cap "a_n417_n100#" "a_n177_122#" 0.162433
+cap "a_447_n100#" "a_n465_n188#" 0.106443
+cap "a_n509_n100#" "a_447_n100#" 0.584722
+cap "a_n33_n100#" "a_n369_122#" 0.158349
+cap "a_399_122#" "a_n225_n100#" 0.13787
+cap "a_303_n188#" "a_n321_n100#" 0.13787
+cap "a_n177_122#" "a_n321_n100#" 0.165075
+cap "a_351_n100#" "a_n225_n100#" 0.859064
+cap "a_399_122#" "a_63_n100#" 0.158349
+cap "a_111_n188#" "a_n465_n188#" 0.600386
+cap "a_n465_n188#" "a_159_n100#" 0.13787
+cap "a_111_n188#" "a_n509_n100#" 0.13787
+cap "a_351_n100#" "a_63_n100#" 0.970605
+cap "a_n509_n100#" "a_159_n100#" 0.791348
+cap "a_n417_n100#" "a_n225_n100#" 0.990922
+cap "a_447_n100#" "a_15_122#" 0.152859
+cap "a_n81_n188#" "a_n273_n188#" 25.1921
+cap "a_n417_n100#" "a_63_n100#" 0.904382
+cap "a_n33_n100#" "a_n273_n188#" 0.162433
+cap "a_255_n100#" "a_n129_n100#" 0.941673
+cap "a_n321_n100#" "a_n225_n100#" 147.936
+cap "a_399_122#" "a_351_n100#" 3.72042
+cap "a_111_n188#" "a_15_122#" 13.3333
+cap "a_15_122#" "a_159_n100#" 0.165075
+cap "a_n321_n100#" "a_63_n100#" 0.941673
+cap "a_399_122#" "a_n417_n100#" 0.117997
+cap "a_447_n100#" "a_n81_n188#" 0.146013
+cap "a_351_n100#" "a_n417_n100#" 0.746023
+cap "a_303_n188#" "a_207_122#" 13.3333
+cap "a_n33_n100#" "a_447_n100#" 0.887673
+cap "a_207_122#" "a_n177_122#" 0.656437
+cap "a_399_122#" "a_n321_n100#" 0.128504
+cap "a_255_n100#" "a_n465_n188#" 0.128504
+cap "a_n509_n100#" "a_255_n100#" 0.732419
+cap "a_111_n188#" "a_n81_n188#" 25.1921
+cap "a_351_n100#" "a_n321_n100#" 0.806121
+cap "a_n81_n188#" "a_159_n100#" 0.162433
+cap "a_111_n188#" "a_n33_n100#" 0.165075
+cap "a_n33_n100#" "a_159_n100#" 0.990922
+cap "a_n417_n100#" "a_n321_n100#" 147.936
+cap "a_n369_122#" "a_n177_122#" 25.1921
+cap "a_207_122#" "a_n225_n100#" 0.152859
+cap "a_255_n100#" "a_15_122#" 0.162433
+cap "a_207_122#" "a_63_n100#" 0.165075
+cap "a_n129_n100#" "a_n465_n188#" 0.158349
+cap "a_n509_n100#" "a_n129_n100#" 0.924215
+cap "a_303_n188#" "a_n273_n188#" 0.600386
+cap "a_n177_122#" "a_n273_n188#" 13.3333
+cap "a_399_122#" "a_207_122#" 25.1921
+cap "a_n369_122#" "a_n225_n100#" 0.165075
+cap "a_n369_122#" "a_63_n100#" 0.152859
+cap "a_207_122#" "a_351_n100#" 0.165075
+cap "a_255_n100#" "a_n81_n188#" 0.158349
+cap "a_n33_n100#" "a_255_n100#" 0.970605
+cap "a_n129_n100#" "a_15_122#" 0.165075
+cap "a_207_122#" "a_n417_n100#" 0.13787
+cap "a_n509_n100#" "a_n465_n188#" 3.75179
+cap "a_303_n188#" "a_447_n100#" 0.165075
+cap "a_n273_n188#" "a_n225_n100#" 3.72042
+cap "a_399_122#" "a_n369_122#" 0.523066
+cap "a_447_n100#" "a_n177_122#" 0.13787
+cap "a_n273_n188#" "a_63_n100#" 0.158349
+cap "a_207_122#" "a_n321_n100#" 0.146013
+cap "a_351_n100#" "a_n369_122#" 0.128504
+cap "a_111_n188#" "a_303_n188#" 25.1921
+cap "a_303_n188#" "a_159_n100#" 0.165075
+cap "a_n417_n100#" "a_n369_122#" 3.72042
+cap "a_n509_n100#" "a_15_122#" 0.146013
+cap "a_n177_122#" "a_159_n100#" 0.158349
+cap "a_n129_n100#" "a_n81_n188#" 3.72042
+cap "a_n33_n100#" "a_n129_n100#" 147.936
+cap "a_447_n100#" "a_n225_n100#" 0.791348
+cap "a_351_n100#" "a_n273_n188#" 0.13787
+cap "a_n369_122#" "a_n321_n100#" 3.72042
+cap "a_447_n100#" "a_63_n100#" 0.924215
+cap "a_n417_n100#" "a_n273_n188#" 0.165075
+cap "a_n81_n188#" "a_n465_n188#" 0.656437
+cap "a_111_n188#" "a_n225_n100#" 0.158349
+cap "a_159_n100#" "a_n225_n100#" 0.941673
+cap "a_n509_n100#" "a_n81_n188#" 0.152859
+cap "a_159_n100#" "a_63_n100#" 147.936
+cap "a_111_n188#" "a_63_n100#" 3.72042
+cap "a_n33_n100#" "a_n465_n188#" 0.152859
+cap "a_n509_n100#" "a_n33_n100#" 0.887673
+cap "a_399_122#" "a_447_n100#" 3.75179
+cap "a_n273_n188#" "a_n321_n100#" 3.72042
+cap "a_351_n100#" "a_447_n100#" 147.917
+cap "a_303_n188#" "a_255_n100#" 3.72042
+cap "a_255_n100#" "a_n177_122#" 0.152859
+cap "a_447_n100#" "a_n417_n100#" 0.666988
+cap "a_399_122#" "a_159_n100#" 0.162433
+cap "a_n81_n188#" "a_15_122#" 13.3333
+cap "a_n33_n100#" "a_15_122#" 3.72042
+cap "a_351_n100#" "a_159_n100#" 0.990922
+cap "a_111_n188#" "a_351_n100#" 0.162433
+cap "a_207_122#" "a_n369_122#" 0.600386
+cap "a_447_n100#" "a_n321_n100#" 0.732419
+cap "a_n417_n100#" "a_159_n100#" 0.859064
+cap "a_111_n188#" "a_n417_n100#" 0.146013
 device msubckt sky130_fd_pr__nfet_01v8_lvt 417 -100 418 -99 l=30 w=200 "a_n611_n274#" "a_399_122#" 60 0 "a_351_n100#" 200 0 "a_447_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 321 -100 322 -99 l=30 w=200 "a_n611_n274#" "a_303_n188#" 60 0 "a_255_n100#" 200 0 "a_351_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 225 -100 226 -99 l=30 w=200 "a_n611_n274#" "a_207_122#" 60 0 "a_159_n100#" 200 0 "a_255_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_B6HS5D.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_B6HS5D.ext
index 04fef08..4ac9d15 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_B6HS5D.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_B6HS5D.ext
@@ -5,68 +5,94 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_255_n100#" 466 102.271 255 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 442 63.6828 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 442 54.3051 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 52.1397 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 442 54.5918 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 442 64.2536 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n317_n100#" 466 103.192 -317 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_111_n188#" 514 130.287 111 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_207_122#" 514 146.734 207 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n81_n188#" 514 118.656 -81 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_15_122#" 514 116.717 15 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n273_n188#" 514 158.481 -273 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n177_122#" 514 140.222 -177 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_255_n100#" 466 101.684 255 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 442 23.4663 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 442 23.436 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 23.4259 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 442 23.436 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n225_n100#" 442 23.4663 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n317_n100#" 466 124.35 -317 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_111_n188#" 514 151.864 111 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_207_122#" 514 167.381 207 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n81_n188#" 514 124.208 -81 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_15_122#" 514 124.208 15 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n273_n188#" 514 167.381 -273 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n177_122#" 514 151.864 -177 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "a_n419_n274#" 0 0 -419 -274 ppd 0 0 0 0 0 0 0 0 0 0 89624 5272 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 89624 5272 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_255_n100#" "a_n317_n100#" 24.6699
-cap "a_n225_n100#" "a_n33_n100#" 87.4087
-cap "a_63_n100#" "a_n317_n100#" 38.4743
-cap "a_159_n100#" "a_207_122#" 6.25956
-cap "a_n177_122#" "a_n81_n188#" 14.1667
-cap "a_n273_n188#" "a_15_122#" 3.46589
-cap "a_n273_n188#" "a_207_122#" 1.97704
-cap "a_n225_n100#" "a_n129_n100#" 241.535
-cap "a_n129_n100#" "a_n33_n100#" 241.535
-cap "a_n273_n188#" "a_n177_122#" 14.1667
-cap "a_n33_n100#" "a_15_122#" 6.25956
-cap "a_n225_n100#" "a_255_n100#" 30.0629
-cap "a_111_n188#" "a_15_122#" 14.1667
-cap "a_255_n100#" "a_n33_n100#" 53.425
-cap "a_63_n100#" "a_n225_n100#" 53.425
-cap "a_n273_n188#" "a_n81_n188#" 34.1645
-cap "a_63_n100#" "a_n33_n100#" 241.535
-cap "a_63_n100#" "a_111_n188#" 6.25956
-cap "a_159_n100#" "a_n317_n100#" 30.0629
-cap "a_111_n188#" "a_207_122#" 14.1667
-cap "a_n225_n100#" "a_n177_122#" 6.25956
-cap "a_n273_n188#" "a_n317_n100#" 6.25956
-cap "a_111_n188#" "a_n177_122#" 3.46589
-cap "a_255_n100#" "a_n129_n100#" 38.4743
-cap "a_63_n100#" "a_n129_n100#" 87.4087
-cap "a_n33_n100#" "a_n81_n188#" 6.25956
-cap "a_63_n100#" "a_15_122#" 6.25956
-cap "a_111_n188#" "a_n81_n188#" 34.1645
-cap "a_63_n100#" "a_255_n100#" 87.4087
-cap "a_207_122#" "a_15_122#" 34.1645
-cap "a_n225_n100#" "a_159_n100#" 38.4743
-cap "a_255_n100#" "a_207_122#" 6.25956
-cap "a_159_n100#" "a_n33_n100#" 87.4087
-cap "a_111_n188#" "a_159_n100#" 6.25956
-cap "a_n225_n100#" "a_n317_n100#" 241.535
-cap "a_n129_n100#" "a_n177_122#" 6.25956
-cap "a_n317_n100#" "a_n33_n100#" 53.425
-cap "a_n177_122#" "a_15_122#" 34.1645
-cap "a_n225_n100#" "a_n273_n188#" 6.25956
-cap "a_n129_n100#" "a_n81_n188#" 6.25956
-cap "a_15_122#" "a_n81_n188#" 14.1667
-cap "a_111_n188#" "a_n273_n188#" 14.0338
-cap "a_207_122#" "a_n177_122#" 14.0338
-cap "a_159_n100#" "a_n129_n100#" 53.425
-cap "a_207_122#" "a_n81_n188#" 3.46589
-cap "a_n129_n100#" "a_n317_n100#" 87.4087
-cap "a_255_n100#" "a_159_n100#" 241.535
-cap "a_63_n100#" "a_159_n100#" 241.535
+cap "a_n177_122#" "a_n225_n100#" 3.72042
+cap "a_159_n100#" "a_n177_122#" 0.158349
+cap "a_n177_122#" "a_n317_n100#" 0.165075
+cap "a_207_122#" "a_15_122#" 25.1921
+cap "a_63_n100#" "a_15_122#" 3.72042
+cap "a_63_n100#" "a_n81_n188#" 0.165075
+cap "a_n273_n188#" "a_111_n188#" 0.656437
+cap "a_n273_n188#" "a_n177_122#" 13.3333
+cap "a_n129_n100#" "a_n225_n100#" 147.936
+cap "a_159_n100#" "a_n129_n100#" 0.970605
+cap "a_n129_n100#" "a_n317_n100#" 0.972435
+cap "a_207_122#" "a_111_n188#" 13.3333
+cap "a_n273_n188#" "a_n129_n100#" 0.165075
+cap "a_207_122#" "a_n177_122#" 0.656437
+cap "a_63_n100#" "a_111_n188#" 3.72042
+cap "a_63_n100#" "a_n177_122#" 0.162433
+cap "a_255_n100#" "a_n33_n100#" 0.952553
+cap "a_207_122#" "a_n129_n100#" 0.158349
+cap "a_63_n100#" "a_n129_n100#" 0.990922
+cap "a_15_122#" "a_n81_n188#" 13.3333
+cap "a_n225_n100#" "a_n33_n100#" 0.990922
+cap "a_159_n100#" "a_n33_n100#" 0.990922
+cap "a_n317_n100#" "a_n33_n100#" 0.952553
+cap "a_n225_n100#" "a_255_n100#" 0.887673
+cap "a_15_122#" "a_111_n188#" 13.3333
+cap "a_159_n100#" "a_255_n100#" 147.917
+cap "a_n317_n100#" "a_255_n100#" 0.827442
+cap "a_111_n188#" "a_n81_n188#" 25.1921
+cap "a_n177_122#" "a_15_122#" 25.1921
+cap "a_n273_n188#" "a_n33_n100#" 0.162433
+cap "a_n177_122#" "a_n81_n188#" 13.3333
+cap "a_n273_n188#" "a_255_n100#" 0.146013
+cap "a_n129_n100#" "a_15_122#" 0.165075
+cap "a_207_122#" "a_n33_n100#" 0.162433
+cap "a_n129_n100#" "a_n81_n188#" 3.72042
+cap "a_159_n100#" "a_n225_n100#" 0.941673
+cap "a_n317_n100#" "a_n225_n100#" 147.917
+cap "a_63_n100#" "a_n33_n100#" 147.936
+cap "a_159_n100#" "a_n317_n100#" 0.887673
+cap "a_207_122#" "a_255_n100#" 3.75179
+cap "a_63_n100#" "a_255_n100#" 0.972435
+cap "a_n273_n188#" "a_n225_n100#" 3.72042
+cap "a_159_n100#" "a_n273_n188#" 0.152859
+cap "a_n273_n188#" "a_n317_n100#" 3.75179
+cap "a_n129_n100#" "a_111_n188#" 0.162433
+cap "a_n177_122#" "a_n129_n100#" 3.72042
+cap "a_207_122#" "a_n225_n100#" 0.152859
+cap "a_159_n100#" "a_207_122#" 3.72042
+cap "a_207_122#" "a_n317_n100#" 0.146013
+cap "a_63_n100#" "a_n225_n100#" 0.970605
+cap "a_159_n100#" "a_63_n100#" 147.936
+cap "a_63_n100#" "a_n317_n100#" 0.924215
+cap "a_15_122#" "a_n33_n100#" 3.72042
+cap "a_n81_n188#" "a_n33_n100#" 3.72042
+cap "a_n273_n188#" "a_63_n100#" 0.158349
+cap "a_15_122#" "a_255_n100#" 0.162433
+cap "a_255_n100#" "a_n81_n188#" 0.158349
+cap "a_207_122#" "a_63_n100#" 0.165075
+cap "a_111_n188#" "a_n33_n100#" 0.165075
+cap "a_n177_122#" "a_n33_n100#" 0.165075
+cap "a_111_n188#" "a_255_n100#" 0.165075
+cap "a_15_122#" "a_n225_n100#" 0.162433
+cap "a_n225_n100#" "a_n81_n188#" 0.165075
+cap "a_159_n100#" "a_15_122#" 0.165075
+cap "a_15_122#" "a_n317_n100#" 0.158349
+cap "a_159_n100#" "a_n81_n188#" 0.162433
+cap "a_n317_n100#" "a_n81_n188#" 0.162433
+cap "a_n177_122#" "a_255_n100#" 0.152859
+cap "a_n129_n100#" "a_n33_n100#" 147.936
+cap "a_n273_n188#" "a_n81_n188#" 25.1921
+cap "a_n129_n100#" "a_255_n100#" 0.924215
+cap "a_n225_n100#" "a_111_n188#" 0.158349
+cap "a_159_n100#" "a_111_n188#" 3.72042
+cap "a_n317_n100#" "a_111_n188#" 0.152859
 device msubckt sky130_fd_pr__nfet_01v8_lvt 225 -100 226 -99 l=30 w=200 "a_n419_n274#" "a_207_122#" 60 0 "a_159_n100#" 200 0 "a_255_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 129 -100 130 -99 l=30 w=200 "a_n419_n274#" "a_111_n188#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 33 -100 34 -99 l=30 w=200 "a_n419_n274#" "a_15_122#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_BX7S53.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_BX7S53.ext
index 60c96dd..9b53691 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_BX7S53.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_BX7S53.ext
@@ -5,29 +5,35 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_111_n100#" 466 109.261 111 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_15_n100#" 442 74.6946 15 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n81_n100#" 442 74.8443 -81 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n173_n100#" 466 109.738 -173 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n188#" 514 148.257 63 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n188#" 514 151.24 -129 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n33_122#" 514 148.514 -33 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_111_n100#" 466 103.062 111 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_15_n100#" 442 24.8891 15 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n81_n100#" 442 24.8891 -81 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n173_n100#" 466 125.728 -173 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n188#" 514 167.186 63 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n188#" 514 167.186 -129 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n33_122#" 514 179.643 -33 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "a_n275_n274#" 0 0 -275 -274 ppd 0 0 0 0 0 0 0 0 0 0 70040 4120 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 70040 4120 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_63_n188#" "a_15_n100#" 6.25956
-cap "a_n129_n188#" "a_n81_n100#" 6.25956
-cap "a_n129_n188#" "a_n173_n100#" 6.25956
-cap "a_15_n100#" "a_n81_n100#" 241.535
-cap "a_15_n100#" "a_n173_n100#" 87.4087
-cap "a_15_n100#" "a_111_n100#" 241.535
-cap "a_n33_122#" "a_63_n188#" 14.1667
-cap "a_n33_122#" "a_n81_n100#" 6.25956
-cap "a_63_n188#" "a_111_n100#" 6.25956
-cap "a_n33_122#" "a_n129_n188#" 14.1667
-cap "a_63_n188#" "a_n129_n188#" 34.1645
-cap "a_n81_n100#" "a_n173_n100#" 241.535
-cap "a_111_n100#" "a_n81_n100#" 87.4087
-cap "a_111_n100#" "a_n173_n100#" 53.425
-cap "a_n33_122#" "a_15_n100#" 6.25956
+cap "a_n173_n100#" "a_n129_n188#" 3.75179
+cap "a_63_n188#" "a_15_n100#" 3.72042
+cap "a_n173_n100#" "a_n33_122#" 0.165075
+cap "a_63_n188#" "a_n81_n100#" 0.165075
+cap "a_63_n188#" "a_n129_n188#" 25.1921
+cap "a_63_n188#" "a_n33_122#" 13.3333
+cap "a_15_n100#" "a_n81_n100#" 147.936
+cap "a_15_n100#" "a_n129_n188#" 0.165075
+cap "a_15_n100#" "a_n33_122#" 3.72042
+cap "a_n81_n100#" "a_n129_n188#" 3.72042
+cap "a_n81_n100#" "a_n33_122#" 3.72042
+cap "a_n33_122#" "a_n129_n188#" 13.3333
+cap "a_n173_n100#" "a_111_n100#" 0.9345
+cap "a_63_n188#" "a_111_n100#" 3.75179
+cap "a_63_n188#" "a_n173_n100#" 0.162433
+cap "a_15_n100#" "a_111_n100#" 147.917
+cap "a_n81_n100#" "a_111_n100#" 0.972435
+cap "a_111_n100#" "a_n129_n188#" 0.162433
+cap "a_n173_n100#" "a_15_n100#" 0.972435
+cap "a_n33_122#" "a_111_n100#" 0.165075
+cap "a_n173_n100#" "a_n81_n100#" 147.917
 device msubckt sky130_fd_pr__nfet_01v8_lvt 81 -100 82 -99 l=30 w=200 "a_n275_n274#" "a_63_n188#" 60 0 "a_15_n100#" 200 0 "a_111_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt -15 -100 -14 -99 l=30 w=200 "a_n275_n274#" "a_n33_122#" 60 0 "a_n81_n100#" 200 0 "a_15_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt -111 -100 -110 -99 l=30 w=200 "a_n275_n274#" "a_n129_n188#" 60 0 "a_n173_n100#" 200 0 "a_n81_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_DJ7QE5.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_DJ7QE5.ext
index aae1860..1d3c3a7 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_DJ7QE5.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_DJ7QE5.ext
@@ -5,19 +5,21 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_63_n100#" 466 114.805 63 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 86.3737 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n125_n100#" 466 115.178 -125 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n81_n188#" 514 165.272 -81 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_15_122#" 514 165.272 15 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 466 103.547 63 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 25.3807 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n125_n100#" 466 126.214 -125 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n81_n188#" 514 194.973 -81 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_15_122#" 514 194.973 15 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "a_n227_n274#" 0 0 -227 -274 ppd 0 0 0 0 0 0 0 0 0 0 63512 3736 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 63512 3736 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_15_122#" "a_n33_n100#" 6.25956
-cap "a_n33_n100#" "a_n81_n188#" 6.25956
-cap "a_n125_n100#" "a_n81_n188#" 6.25956
-cap "a_15_122#" "a_n81_n188#" 14.1667
-cap "a_63_n100#" "a_n33_n100#" 241.535
-cap "a_63_n100#" "a_n125_n100#" 87.4087
-cap "a_63_n100#" "a_15_122#" 6.25956
-cap "a_n125_n100#" "a_n33_n100#" 241.535
+cap "a_n33_n100#" "a_n81_n188#" 3.72042
+cap "a_n33_n100#" "a_15_122#" 3.72042
+cap "a_n125_n100#" "a_63_n100#" 0.953949
+cap "a_n125_n100#" "a_n81_n188#" 3.75179
+cap "a_n125_n100#" "a_15_122#" 0.165075
+cap "a_63_n100#" "a_n81_n188#" 0.165075
+cap "a_63_n100#" "a_15_122#" 3.75179
+cap "a_15_122#" "a_n81_n188#" 13.3333
+cap "a_n125_n100#" "a_n33_n100#" 147.917
+cap "a_n33_n100#" "a_63_n100#" 147.917
 device msubckt sky130_fd_pr__nfet_01v8_lvt 33 -100 34 -99 l=30 w=200 "a_n227_n274#" "a_15_122#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt -63 -100 -62 -99 l=30 w=200 "a_n227_n274#" "a_n81_n188#" 60 0 "a_n125_n100#" 200 0 "a_n33_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_HNLS5R.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_HNLS5R.ext
index d5a5940..1feadb3 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_HNLS5R.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_HNLS5R.ext
@@ -5,33 +5,35 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_159_n100#" 466 105.34 159 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 442 66.64 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 59.6716 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 442 66.3679 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n221_n100#" 466 106.005 -221 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n177_n188#" 1169 315.309 -177 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23592 1520 0 0 4488 400 10201 624 0 0 0 0 0 0 0 0 0 0
-node "a_n81_122#" 1169 327.798 -81 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23592 1520 0 0 4488 400 10551 644 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 466 102.66 159 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 442 24.5494 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 24.5436 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 442 24.5494 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n221_n100#" 466 125.326 -221 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n177_n188#" 1169 357.172 -177 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23592 1520 0 0 4488 400 10201 624 0 0 0 0 0 0 0 0 0 0
+node "a_n81_122#" 1169 359.575 -81 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23592 1520 0 0 4488 400 10551 644 0 0 0 0 0 0 0 0 0 0
 substrate "a_n323_n274#" 0 0 -323 -274 ppd 0 0 0 0 0 0 0 0 0 0 76568 4504 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 76568 4504 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_159_n100#" "a_n81_122#" 8.10456
-cap "a_n33_n100#" "a_n177_n188#" 45.0046
-cap "a_n33_n100#" "a_63_n100#" 241.535
-cap "a_n221_n100#" "a_159_n100#" 38.4743
-cap "a_n177_n188#" "a_n81_122#" 68.8191
-cap "a_63_n100#" "a_n81_122#" 45.0046
-cap "a_n33_n100#" "a_n129_n100#" 241.535
-cap "a_n177_n188#" "a_n221_n100#" 8.10456
-cap "a_63_n100#" "a_n221_n100#" 53.425
-cap "a_n81_122#" "a_n129_n100#" 18.2521
-cap "a_n221_n100#" "a_n129_n100#" 241.535
-cap "a_n33_n100#" "a_n81_122#" 45.0046
-cap "a_63_n100#" "a_159_n100#" 241.535
-cap "a_n33_n100#" "a_n221_n100#" 87.4087
-cap "a_159_n100#" "a_n129_n100#" 53.425
-cap "a_63_n100#" "a_n177_n188#" 9.02706
-cap "a_n177_n188#" "a_n129_n100#" 45.0046
-cap "a_63_n100#" "a_n129_n100#" 87.4087
-cap "a_n33_n100#" "a_159_n100#" 87.4087
+cap "a_n177_n188#" "a_159_n100#" 0.323424
+cap "a_n33_n100#" "a_n129_n100#" 147.936
+cap "a_n177_n188#" "a_n81_122#" 56.1967
+cap "a_n33_n100#" "a_n221_n100#" 0.972435
+cap "a_n33_n100#" "a_63_n100#" 147.936
+cap "a_n33_n100#" "a_159_n100#" 0.972435
+cap "a_n33_n100#" "a_n81_122#" 25.6603
+cap "a_n129_n100#" "a_n221_n100#" 147.917
+cap "a_n129_n100#" "a_63_n100#" 0.990922
+cap "a_n129_n100#" "a_159_n100#" 0.952553
+cap "a_n177_n188#" "a_n33_n100#" 25.6603
+cap "a_n221_n100#" "a_63_n100#" 0.952553
+cap "a_n129_n100#" "a_n81_122#" 10.4306
+cap "a_n221_n100#" "a_159_n100#" 0.906756
+cap "a_63_n100#" "a_159_n100#" 147.917
+cap "a_n221_n100#" "a_n81_122#" 0.323424
+cap "a_n81_122#" "a_63_n100#" 25.5239
+cap "a_n81_122#" "a_159_n100#" 4.89685
+cap "a_n177_n188#" "a_n129_n100#" 25.5239
+cap "a_n177_n188#" "a_n221_n100#" 4.89685
+cap "a_n177_n188#" "a_63_n100#" 5.49535
 device msubckt sky130_fd_pr__nfet_01v8_lvt 129 -100 130 -99 l=30 w=200 "a_n323_n274#" "a_n81_122#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 33 -100 34 -99 l=30 w=200 "a_n323_n274#" "a_n177_n188#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt -63 -100 -62 -99 l=30 w=200 "a_n323_n274#" "a_n81_122#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_LELFGX.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_LELFGX.ext
index 264414f..7aaddef 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_LELFGX.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_LELFGX.ext
@@ -5,1999 +5,3247 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_2847_n100#" 466 93.3934 2847 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2751_n100#" 442 53.2828 2751 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2655_n100#" 442 41.7534 2655 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2559_n100#" 442 36.3136 2559 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2463_n100#" 442 33.18 2463 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2367_n100#" 442 31.1627 2367 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2271_n100#" 442 29.7676 2271 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2175_n100#" 442 28.7525 2175 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2079_n100#" 442 27.9842 2079 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1983_n100#" 442 27.3835 1983 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1887_n100#" 442 26.9002 1887 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1791_n100#" 442 26.5008 1791 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1695_n100#" 442 26.1623 1695 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1599_n100#" 442 25.8679 1599 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1503_n100#" 442 25.6057 1503 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1407_n100#" 442 25.3666 1407 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1311_n100#" 442 21.5325 1311 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1215_n100#" 442 21.54 1215 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1119_n100#" 442 21.54 1119 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1023_n100#" 442 21.54 1023 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_927_n100#" 442 21.54 927 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_831_n100#" 442 21.54 831 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_735_n100#" 442 21.54 735 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_639_n100#" 442 21.54 639 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_543_n100#" 442 21.54 543 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_447_n100#" 442 21.54 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 442 21.54 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 442 21.54 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 442 21.54 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 442 21.54 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 21.54 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 442 21.54 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 442 21.54 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 442 21.54 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 442 21.54 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n513_n100#" 442 21.54 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n609_n100#" 442 21.54 -609 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n705_n100#" 442 21.54 -705 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n801_n100#" 442 21.54 -801 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n897_n100#" 442 21.54 -897 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n993_n100#" 442 21.54 -993 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1089_n100#" 442 21.54 -1089 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1185_n100#" 442 21.54 -1185 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1281_n100#" 442 21.54 -1281 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1377_n100#" 442 21.54 -1377 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1473_n100#" 442 25.3716 -1473 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1569_n100#" 442 25.6299 -1569 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1665_n100#" 442 25.9255 -1665 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1761_n100#" 442 26.2673 -1761 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1857_n100#" 442 26.6667 -1857 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1953_n100#" 442 27.14 -1953 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2049_n100#" 442 27.7095 -2049 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2145_n100#" 442 28.4079 -2145 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2241_n100#" 442 29.2847 -2241 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2337_n100#" 442 30.418 -2337 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2433_n100#" 442 31.94 -2433 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2529_n100#" 442 34.0917 -2529 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2625_n100#" 442 37.3661 -2625 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2721_n100#" 442 42.9518 -2721 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2817_n100#" 442 54.6309 -2817 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n2909_n100#" 466 94.9644 -2909 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_2703_n188#" 514 128.368 2703 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2799_122#" 514 145.126 2799 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2511_n188#" 514 115.525 2511 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2607_122#" 514 114.337 2607 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2319_n188#" 514 121.578 2319 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2415_122#" 514 120.076 2415 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2127_n188#" 514 127.456 2127 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2223_122#" 514 126.004 2223 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1935_n188#" 514 132.713 1935 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_2031_122#" 514 131.447 2031 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1743_n188#" 514 137.079 1743 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1839_122#" 514 136.077 1839 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1551_n188#" 514 140.36 1551 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1647_122#" 514 139.674 1647 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1359_n188#" 514 142.419 1359 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1455_122#" 514 142.081 1455 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1167_n188#" 514 142.621 1167 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1263_122#" 514 142.607 1263 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_975_n188#" 514 142.621 975 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_1071_122#" 514 142.621 1071 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_783_n188#" 514 142.621 783 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_879_122#" 514 142.621 879 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_591_n188#" 514 142.621 591 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_687_122#" 514 142.621 687 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_399_n188#" 514 142.621 399 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_495_122#" 514 142.621 495 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_207_n188#" 514 142.621 207 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_303_122#" 514 142.621 303 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_15_n188#" 514 142.621 15 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_111_122#" 514 142.621 111 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n177_n188#" 514 142.621 -177 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n81_122#" 514 142.621 -81 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n369_n188#" 514 142.621 -369 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n273_122#" 514 142.621 -273 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n561_n188#" 514 142.621 -561 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n465_122#" 514 142.621 -465 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n753_n188#" 514 142.621 -753 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n657_122#" 514 142.621 -657 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n945_n188#" 514 142.621 -945 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n849_122#" 514 142.621 -849 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1137_n188#" 514 142.621 -1137 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1041_122#" 514 142.621 -1041 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1329_n188#" 514 142.621 -1329 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1233_122#" 514 142.621 -1233 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1521_n188#" 514 143.275 -1521 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1425_122#" 514 143.234 -1425 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1713_n188#" 514 143.374 -1713 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1617_122#" 514 143.321 -1617 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1905_n188#" 514 143.509 -1905 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n1809_122#" 514 143.436 -1809 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2097_n188#" 514 143.703 -2097 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2001_122#" 514 143.596 -2001 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2289_n188#" 514 144.005 -2289 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2193_122#" 514 143.835 -2193 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2481_n188#" 514 144.54 -2481 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2385_122#" 514 144.229 -2385 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2673_n188#" 514 145.752 -2673 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2577_122#" 514 145.001 -2577 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2865_n188#" 514 179.524 -2865 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_n2769_122#" 514 162.767 -2769 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2847_n100#" 466 99.0268 2847 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2751_n100#" 442 20.3559 2751 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2655_n100#" 442 19.8643 2655 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2559_n100#" 442 19.3785 2559 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2463_n100#" 442 18.9028 2463 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2367_n100#" 442 18.4415 2367 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2271_n100#" 442 17.9985 2271 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2175_n100#" 442 17.578 2175 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2079_n100#" 442 17.1835 2079 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1983_n100#" 442 16.8186 1983 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1887_n100#" 442 16.4866 1887 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1791_n100#" 442 16.1903 1791 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1695_n100#" 442 15.9324 1695 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1599_n100#" 442 15.7152 1599 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1503_n100#" 442 15.5407 1503 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1407_n100#" 442 15.4103 1407 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1311_n100#" 442 15.3377 1311 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1215_n100#" 442 15.3311 1215 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1119_n100#" 442 15.3311 1119 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1023_n100#" 442 15.3311 1023 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_927_n100#" 442 15.3311 927 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_831_n100#" 442 15.3311 831 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_735_n100#" 442 15.3311 735 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_639_n100#" 442 15.3311 639 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_543_n100#" 442 15.3311 543 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_447_n100#" 442 15.3311 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_351_n100#" 442 15.3311 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_255_n100#" 442 15.3311 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 442 15.3311 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 442 15.3311 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 15.3311 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 442 15.3311 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n225_n100#" 442 15.3311 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n321_n100#" 442 15.3311 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n417_n100#" 442 15.3311 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n513_n100#" 442 15.3311 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n609_n100#" 442 15.3311 -609 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n705_n100#" 442 15.3311 -705 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n801_n100#" 442 15.3311 -801 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n897_n100#" 442 15.3311 -897 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n993_n100#" 442 15.3311 -993 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1089_n100#" 442 15.3311 -1089 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1185_n100#" 442 15.3311 -1185 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1281_n100#" 442 15.3311 -1281 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1377_n100#" 442 15.3377 -1377 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1473_n100#" 442 15.4103 -1473 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1569_n100#" 442 15.5407 -1569 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1665_n100#" 442 15.7152 -1665 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1761_n100#" 442 15.9324 -1761 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1857_n100#" 442 16.1903 -1857 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1953_n100#" 442 16.4866 -1953 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2049_n100#" 442 16.8186 -2049 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2145_n100#" 442 17.1835 -2145 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2241_n100#" 442 17.578 -2241 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2337_n100#" 442 17.9985 -2337 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2433_n100#" 442 18.4415 -2433 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2529_n100#" 442 18.9028 -2529 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2625_n100#" 442 19.3785 -2625 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2721_n100#" 442 19.8643 -2721 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2817_n100#" 442 20.3559 -2817 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n2909_n100#" 466 121.693 -2909 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_2703_n188#" 514 150.745 2703 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2799_122#" 514 166.245 2799 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2511_n188#" 514 122.816 2511 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2607_122#" 514 122.782 2607 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2319_n188#" 514 122.51 2319 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2415_122#" 514 122.504 2415 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2127_n188#" 514 122.181 2127 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2223_122#" 514 122.203 2223 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1935_n188#" 514 121.84 1935 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_2031_122#" 514 121.889 2031 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1743_n188#" 514 121.499 1743 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1839_122#" 514 121.574 1839 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1551_n188#" 514 121.171 1551 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1647_122#" 514 121.269 1647 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1359_n188#" 514 120.869 1359 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1455_122#" 514 120.984 1455 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1167_n188#" 514 120.829 1167 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1263_122#" 514 120.829 1263 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_975_n188#" 514 120.829 975 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_1071_122#" 514 120.829 1071 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_783_n188#" 514 120.829 783 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_879_122#" 514 120.829 879 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_591_n188#" 514 120.829 591 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_687_122#" 514 120.829 687 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_399_n188#" 514 120.829 399 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_495_122#" 514 120.829 495 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_207_n188#" 514 120.829 207 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_303_122#" 514 120.829 303 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_15_n188#" 514 120.829 15 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_111_122#" 514 120.829 111 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n177_n188#" 514 120.829 -177 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n81_122#" 514 120.829 -81 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n369_n188#" 514 120.829 -369 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n273_122#" 514 120.829 -273 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n561_n188#" 514 120.829 -561 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n465_122#" 514 120.829 -465 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n753_n188#" 514 120.829 -753 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n657_122#" 514 120.829 -657 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n945_n188#" 514 120.829 -945 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n849_122#" 514 120.829 -849 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1137_n188#" 514 120.829 -1137 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1041_122#" 514 120.829 -1041 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1329_n188#" 514 120.829 -1329 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1233_122#" 514 120.829 -1233 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1521_n188#" 514 120.984 -1521 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1425_122#" 514 120.869 -1425 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1713_n188#" 514 121.269 -1713 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1617_122#" 514 121.171 -1617 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1905_n188#" 514 121.574 -1905 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n1809_122#" 514 121.499 -1809 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2097_n188#" 514 121.889 -2097 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2001_122#" 514 121.84 -2001 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2289_n188#" 514 122.203 -2289 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2193_122#" 514 122.181 -2193 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2481_n188#" 514 122.504 -2481 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2385_122#" 514 122.51 -2385 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2673_n188#" 514 122.782 -2673 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2577_122#" 514 122.816 -2577 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2865_n188#" 514 166.245 -2865 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
+node "a_n2769_122#" 514 150.745 -2769 122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
 substrate "a_n3011_n274#" 0 0 -3011 -274 ppd 0 0 0 0 0 0 0 0 0 0 442136 26008 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 442136 26008 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_1311_n100#" "a_n225_n100#" 8.83052
-cap "a_n1137_n188#" "a_n177_n188#" 5.07915
-cap "a_2127_n188#" "a_495_122#" 0.0858586
-cap "a_2319_n188#" "a_2367_n100#" 6.25956
-cap "a_2223_122#" "a_1839_122#" 14.0338
-cap "a_n1617_122#" "a_n1905_n188#" 3.46589
-cap "a_n2433_n100#" "a_n993_n100#" 9.43637
-cap "a_975_n188#" "a_2127_n188#" 4.18859
-cap "a_n2289_n188#" "a_n1521_n188#" 6.45081
-cap "a_2031_122#" "a_1071_122#" 5.07915
-cap "a_2751_n100#" "a_1503_n100#" 10.9371
-cap "a_2655_n100#" "a_2175_n100#" 30.0629
-cap "a_n1425_122#" "a_n1041_122#" 14.0338
-cap "a_n1857_n100#" "a_n1761_n100#" 241.535
-cap "a_879_122#" "a_1647_122#" 6.45081
-cap "a_2463_n100#" "a_1695_n100#" 18.1562
-cap "a_n2097_n188#" "a_n1233_122#" 1.06355
-cap "a_n561_n188#" "a_n465_122#" 14.1667
-cap "a_n2049_n100#" "a_n801_n100#" 10.9371
-cap "a_n2481_n188#" "a_n2673_n188#" 34.1645
-cap "a_399_n188#" "a_783_n188#" 14.0338
-cap "a_1023_n100#" "a_1071_122#" 6.25956
-cap "a_n1137_n188#" "a_n2769_122#" 0.0858586
-cap "a_543_n100#" "a_1503_n100#" 14.3638
-cap "a_n33_n100#" "a_n801_n100#" 18.1562
-cap "a_n513_n100#" "a_n1089_n100#" 24.6699
-cap "a_n81_122#" "a_15_n188#" 14.1667
-cap "a_n1281_n100#" "a_n609_n100#" 20.9177
-cap "a_927_n100#" "a_639_n100#" 53.425
-cap "a_495_122#" "a_1359_n188#" 1.06355
-cap "a_1023_n100#" "a_n417_n100#" 9.43637
-cap "a_n2337_n100#" "a_n1185_n100#" 11.882
-cap "a_n2337_n100#" "a_n2529_n100#" 87.4087
-cap "a_1263_122#" "a_2031_122#" 6.45081
-cap "a_2655_n100#" "a_2751_n100#" 241.535
-cap "a_351_n100#" "a_1887_n100#" 8.83052
-cap "a_2463_n100#" "a_2415_122#" 6.25956
-cap "a_975_n188#" "a_1359_n188#" 14.0338
-cap "a_2559_n100#" "a_1695_n100#" 16.0389
-cap "a_n417_n100#" "a_n1185_n100#" 18.1562
-cap "a_n2337_n100#" "a_n1569_n100#" 18.1562
-cap "a_159_n100#" "a_1695_n100#" 8.83052
-cap "a_n321_n100#" "a_1215_n100#" 8.83052
-cap "a_159_n100#" "a_n801_n100#" 14.3638
-cap "a_n1617_122#" "a_n1041_122#" 8.83801
-cap "a_1119_n100#" "a_n513_n100#" 8.29777
-cap "a_n2909_n100#" "a_n1377_n100#" 8.83052
-cap "a_n2289_n188#" "a_n1713_n188#" 8.83801
-cap "a_n561_n188#" "a_n369_n188#" 34.1645
-cap "a_n2097_n188#" "a_n2577_122#" 1.97704
-cap "a_399_n188#" "a_n657_122#" 0.863965
-cap "a_n1569_n100#" "a_n417_n100#" 11.882
-cap "a_447_n100#" "a_1407_n100#" 14.3638
-cap "a_n33_n100#" "a_n81_122#" 6.25956
-cap "a_n129_n100#" "a_n225_n100#" 241.535
-cap "a_1983_n100#" "a_639_n100#" 10.1315
-cap "a_n465_122#" "a_n753_n188#" 3.46589
-cap "a_399_n188#" "a_111_122#" 3.46589
-cap "a_2367_n100#" "a_1023_n100#" 10.1315
-cap "a_n2241_n100#" "a_n2433_n100#" 87.4087
-cap "a_n1473_n100#" "a_n1521_n188#" 6.25956
-cap "a_n1329_n188#" "a_n1281_n100#" 6.25956
-cap "a_2607_122#" "a_1647_122#" 5.07915
-cap "a_n321_n100#" "a_n993_n100#" 20.9177
-cap "a_207_n188#" "a_n1329_n188#" 3.10116
-cap "a_2271_n100#" "a_2847_n100#" 24.6699
-cap "a_2319_n188#" "a_2799_122#" 1.97704
-cap "a_1743_n188#" "a_2799_122#" 0.863965
-cap "a_495_122#" "a_n561_n188#" 0.863965
-cap "a_927_n100#" "a_1023_n100#" 241.535
-cap "a_1887_n100#" "a_831_n100#" 13.0056
-cap "a_351_n100#" "a_1215_n100#" 16.0389
-cap "a_1551_n188#" "a_303_122#" 0.727455
-cap "a_399_n188#" "a_1455_122#" 0.863965
-cap "a_975_n188#" "a_n561_n188#" 3.10116
-cap "a_207_n188#" "a_1359_n188#" 4.18859
-cap "a_1311_n100#" "a_255_n100#" 13.0056
-cap "a_n369_n188#" "a_n753_n188#" 14.0338
-cap "a_n609_n100#" "a_n417_n100#" 87.4087
-cap "a_n2385_122#" "a_n753_n188#" 0.0858586
-cap "a_303_122#" "a_1935_n188#" 0.0858586
-cap "a_n2097_n188#" "a_n2193_122#" 14.1667
-cap "a_n1137_n188#" "a_n465_122#" 1.38306
-cap "a_1647_122#" "a_1167_n188#" 1.97704
-cap "a_2271_n100#" "a_1791_n100#" 30.0629
-cap "a_1983_n100#" "a_2031_122#" 6.25956
-cap "a_n1281_n100#" "a_n225_n100#" 13.0056
-cap "a_63_n100#" "a_111_122#" 6.25956
-cap "a_n2097_n188#" "a_n849_122#" 0.727455
-cap "a_2127_n188#" "a_1071_122#" 0.863965
-cap "a_n2289_n188#" "a_n1233_122#" 0.863965
-cap "a_2223_122#" "a_783_n188#" 0.628198
-cap "a_n513_n100#" "a_n801_n100#" 53.425
-cap "a_1839_122#" "a_783_n188#" 0.863965
-cap "a_1983_n100#" "a_1023_n100#" 14.3638
-cap "a_1887_n100#" "a_1599_n100#" 53.425
-cap "a_n33_n100#" "a_15_n188#" 6.25956
-cap "a_351_n100#" "a_n993_n100#" 10.1315
-cap "a_1551_n188#" "a_2319_n188#" 6.45081
-cap "a_2271_n100#" "a_2175_n100#" 241.535
-cap "a_1743_n188#" "a_1551_n188#" 34.1645
-cap "a_639_n100#" "a_735_n100#" 241.535
-cap "a_n2625_n100#" "a_n2817_n100#" 87.4087
-cap "a_n1041_122#" "a_n1089_n100#" 6.25956
-cap "a_2703_n188#" "a_2223_122#" 1.97704
-cap "a_n1857_n100#" "a_n1281_n100#" 24.6699
-cap "a_447_n100#" "a_n321_n100#" 18.1562
-cap "a_2319_n188#" "a_1935_n188#" 14.0338
-cap "a_2703_n188#" "a_1839_122#" 1.06355
-cap "a_495_122#" "a_n753_n188#" 0.727455
-cap "a_2031_122#" "a_2799_122#" 6.45081
-cap "a_1743_n188#" "a_1935_n188#" 34.1645
-cap "a_2127_n188#" "a_1263_122#" 1.06355
-cap "a_n2097_n188#" "a_n2769_122#" 1.38306
-cap "a_n1137_n188#" "a_n369_n188#" 6.45081
-cap "a_n1137_n188#" "a_n2385_122#" 0.727455
-cap "a_687_122#" "a_n81_122#" 6.45081
-cap "a_1359_n188#" "a_1071_122#" 3.46589
-cap "a_831_n100#" "a_1215_n100#" 38.4743
-cap "a_879_122#" "a_831_n100#" 6.25956
-cap "a_n1377_n100#" "a_n1185_n100#" 87.4087
-cap "a_n2529_n100#" "a_n1377_n100#" 11.882
-cap "a_2271_n100#" "a_2751_n100#" 30.0629
-cap "a_n2289_n188#" "a_n2577_122#" 3.46589
-cap "a_207_n188#" "a_n561_n188#" 6.45081
-cap "a_255_n100#" "a_n129_n100#" 38.4743
-cap "a_927_n100#" "a_n609_n100#" 8.83052
-cap "a_n2433_n100#" "a_n1761_n100#" 20.9177
-cap "a_n1569_n100#" "a_n1377_n100#" 87.4087
-cap "a_1887_n100#" "a_1503_n100#" 38.4743
-cap "a_n1569_n100#" "a_n1617_122#" 6.25956
-cap "a_n705_n100#" "a_63_n100#" 18.1562
-cap "a_1311_n100#" "a_1407_n100#" 241.535
-cap "a_1263_122#" "a_1359_n188#" 14.1667
-cap "a_n2865_n188#" "a_n2673_n188#" 34.1645
-cap "a_1551_n188#" "a_2031_122#" 1.97704
-cap "a_2463_n100#" "a_2559_n100#" 241.535
-cap "a_351_n100#" "a_447_n100#" 241.535
-cap "a_n1137_n188#" "a_495_122#" 0.0858586
-cap "a_n2865_n188#" "a_n2481_n188#" 14.0338
-cap "a_1599_n100#" "a_1215_n100#" 38.4743
-cap "a_n705_n100#" "a_n897_n100#" 87.4087
-cap "a_399_n188#" "a_n273_122#" 1.38306
-cap "a_159_n100#" "a_n33_n100#" 87.4087
-cap "a_n417_n100#" "a_n225_n100#" 87.4087
-cap "a_1935_n188#" "a_2031_122#" 14.1667
-cap "a_2223_122#" "a_1455_122#" 6.45081
-cap "a_2655_n100#" "a_1887_n100#" 18.1562
-cap "a_1119_n100#" "a_639_n100#" 30.0629
-cap "a_n2625_n100#" "a_n1953_n100#" 20.9177
-cap "a_n2145_n100#" "a_n2721_n100#" 24.6699
-cap "a_1023_n100#" "a_735_n100#" 53.425
-cap "a_n2433_n100#" "a_n2385_122#" 6.25956
-cap "a_1839_122#" "a_1455_122#" 14.0338
-cap "a_n2337_n100#" "a_n1857_n100#" 30.0629
-cap "a_n1953_n100#" "a_n993_n100#" 14.3638
-cap "a_n2241_n100#" "a_n2817_n100#" 24.6699
-cap "a_207_n188#" "a_n753_n188#" 5.07915
-cap "a_2511_n188#" "a_1647_122#" 1.06355
-cap "a_n2289_n188#" "a_n2193_122#" 14.1667
-cap "a_n945_n188#" "a_n81_122#" 1.06355
-cap "a_n561_n188#" "a_1071_122#" 0.0858586
-cap "a_n1377_n100#" "a_n609_n100#" 18.1562
-cap "a_n1857_n100#" "a_n417_n100#" 9.43637
-cap "a_n2817_n100#" "a_n2769_122#" 6.25956
-cap "a_n2289_n188#" "a_n849_122#" 0.628198
-cap "a_687_122#" "a_15_n188#" 1.38306
-cap "a_n1281_n100#" "a_255_n100#" 8.83052
-cap "a_n1425_122#" "a_n1329_n188#" 14.1667
-cap "a_1503_n100#" "a_1215_n100#" 53.425
-cap "a_n2625_n100#" "a_n1473_n100#" 11.882
-cap "a_207_n188#" "a_255_n100#" 6.25956
-cap "a_1791_n100#" "a_1839_122#" 6.25956
-cap "a_n2097_n188#" "a_n465_122#" 0.0858586
-cap "a_543_n100#" "a_63_n100#" 30.0629
-cap "a_1407_n100#" "a_n129_n100#" 8.83052
-cap "a_n2529_n100#" "a_n1089_n100#" 9.43637
-cap "a_447_n100#" "a_831_n100#" 38.4743
-cap "a_n1089_n100#" "a_n1185_n100#" 241.535
-cap "a_n993_n100#" "a_n1473_n100#" 30.0629
-cap "a_n2145_n100#" "a_n705_n100#" 9.43637
-cap "a_n2241_n100#" "a_n2289_n188#" 6.25956
-cap "a_n1665_n100#" "a_n897_n100#" 18.1562
-cap "a_n321_n100#" "a_n1761_n100#" 9.43637
-cap "a_2223_122#" "a_2175_n100#" 6.25956
-cap "a_n2289_n188#" "a_n2769_122#" 1.97704
-cap "a_n2481_n188#" "a_n945_n188#" 3.10116
-cap "a_n1905_n188#" "a_n2673_n188#" 6.45081
-cap "a_399_n188#" "a_591_n188#" 34.1645
-cap "a_2127_n188#" "a_2799_122#" 1.38306
-cap "a_n1137_n188#" "a_207_n188#" 3.56376
-cap "a_2655_n100#" "a_1215_n100#" 9.43637
-cap "a_927_n100#" "a_n225_n100#" 11.882
-cap "a_1311_n100#" "a_n321_n100#" 8.29777
-cap "a_n1569_n100#" "a_n1089_n100#" 30.0629
-cap "a_543_n100#" "a_n897_n100#" 9.43637
-cap "a_n1905_n188#" "a_n2481_n188#" 8.83801
-cap "a_1023_n100#" "a_1119_n100#" 241.535
-cap "a_n1329_n188#" "a_n1377_n100#" 6.25956
-cap "a_n2049_n100#" "a_n513_n100#" 8.83052
-cap "a_1743_n188#" "a_1695_n100#" 6.25956
-cap "a_n657_122#" "a_n2001_122#" 3.56376
-cap "a_n1617_122#" "a_n1329_n188#" 3.46589
-cap "a_1695_n100#" "a_639_n100#" 13.0056
-cap "a_303_122#" "a_n81_122#" 14.0338
-cap "a_n513_n100#" "a_n33_n100#" 30.0629
-cap "a_n609_n100#" "a_735_n100#" 10.1315
-cap "a_639_n100#" "a_n801_n100#" 9.43637
-cap "a_n2241_n100#" "a_n1953_n100#" 53.425
-cap "a_n1041_122#" "a_n81_122#" 5.07915
-cap "a_n2097_n188#" "a_n2385_122#" 3.46589
-cap "a_n657_122#" "a_783_n188#" 0.628198
-cap "a_447_n100#" "a_1599_n100#" 11.882
-cap "a_n369_n188#" "a_n321_n100#" 6.25956
-cap "a_2319_n188#" "a_2415_122#" 14.1667
-cap "a_1743_n188#" "a_2415_122#" 1.38306
-cap "a_n945_n188#" "a_15_n188#" 5.07915
-cap "a_495_122#" "a_1647_122#" 4.18859
-cap "a_1359_n188#" "a_2799_122#" 0.628198
-cap "a_2079_n100#" "a_2847_n100#" 18.1562
-cap "a_111_122#" "a_783_n188#" 1.38306
-cap "a_975_n188#" "a_1647_122#" 1.38306
-cap "a_n2433_n100#" "a_n1281_n100#" 11.882
-cap "a_1551_n188#" "a_2127_n188#" 8.83801
-cap "a_159_n100#" "a_n513_n100#" 20.9177
-cap "a_255_n100#" "a_n417_n100#" 20.9177
-cap "a_n1425_122#" "a_n561_n188#" 1.06355
-cap "a_351_n100#" "a_1311_n100#" 14.3638
-cap "a_n2145_n100#" "a_n1665_n100#" 30.0629
-cap "a_n1041_122#" "a_n2673_n188#" 0.0858586
-cap "a_n609_n100#" "a_n1089_n100#" 30.0629
-cap "a_n1377_n100#" "a_n225_n100#" 11.882
-cap "a_n2241_n100#" "a_n1473_n100#" 18.1562
-cap "a_2127_n188#" "a_1935_n188#" 34.1645
-cap "a_n1041_122#" "a_n2481_n188#" 0.628198
-cap "a_2079_n100#" "a_1791_n100#" 53.425
-cap "a_n2817_n100#" "a_n1761_n100#" 13.0056
-cap "a_2271_n100#" "a_1887_n100#" 38.4743
-cap "a_447_n100#" "a_1503_n100#" 13.0056
-cap "a_1455_122#" "a_783_n188#" 1.38306
-cap "a_n129_n100#" "a_n321_n100#" 87.4087
-cap "a_2607_122#" "a_2655_n100#" 6.25956
-cap "a_n657_122#" "a_111_122#" 6.45081
-cap "a_1551_n188#" "a_1359_n188#" 34.1645
-cap "a_n1857_n100#" "a_n1377_n100#" 30.0629
-cap "a_2079_n100#" "a_2175_n100#" 241.535
-cap "a_1023_n100#" "a_1695_n100#" 20.9177
-cap "a_2415_122#" "a_2031_122#" 14.0338
-cap "a_2703_n188#" "a_1455_122#" 0.727455
-cap "a_n1617_122#" "a_n561_n188#" 0.863965
-cap "a_303_122#" "a_15_n188#" 3.46589
-cap "a_1359_n188#" "a_1935_n188#" 8.83801
-cap "a_n1185_n100#" "a_n801_n100#" 38.4743
-cap "a_n1041_122#" "a_15_n188#" 0.863965
-cap "a_n1425_122#" "a_n753_n188#" 1.38306
-cap "a_207_n188#" "a_1647_122#" 0.628198
-cap "a_591_n188#" "a_2223_122#" 0.0858586
-cap "a_2079_n100#" "a_2751_n100#" 20.9177
-cap "a_n1569_n100#" "a_n801_n100#" 18.1562
-cap "a_n2337_n100#" "a_n2433_n100#" 241.535
-cap "a_831_n100#" "a_1311_n100#" 30.0629
-cap "a_927_n100#" "a_255_n100#" 20.9177
-cap "a_n225_n100#" "a_735_n100#" 14.3638
-cap "a_591_n188#" "a_1839_122#" 0.727455
-cap "a_351_n100#" "a_n129_n100#" 30.0629
-cap "a_1455_122#" "a_111_122#" 3.56376
-cap "a_2079_n100#" "a_543_n100#" 8.83052
-cap "a_n1953_n100#" "a_n1761_n100#" 87.4087
-cap "a_399_n188#" "a_n1233_122#" 0.0858586
-cap "a_n1281_n100#" "a_n321_n100#" 14.3638
-cap "a_n2049_n100#" "a_n2909_n100#" 16.0389
-cap "a_n2289_n188#" "a_n2385_122#" 14.1667
-cap "a_2271_n100#" "a_1215_n100#" 13.0056
-cap "a_n657_122#" "a_n705_n100#" 6.25956
-cap "a_n1617_122#" "a_n753_n188#" 1.06355
-cap "a_n1425_122#" "a_n1137_n188#" 3.46589
-cap "a_n225_n100#" "a_n1089_n100#" 16.0389
-cap "a_399_n188#" "a_879_122#" 1.97704
-cap "a_1599_n100#" "a_1311_n100#" 53.425
-cap "a_n609_n100#" "a_n801_n100#" 87.4087
-cap "a_1647_122#" "a_1071_122#" 8.83801
-cap "a_2367_n100#" "a_1407_n100#" 14.3638
-cap "a_n1473_n100#" "a_n1761_n100#" 53.425
-cap "a_687_122#" "a_n945_n188#" 0.0858586
-cap "a_255_n100#" "a_n1377_n100#" 8.29777
-cap "a_2751_n100#" "a_2703_n188#" 6.25956
-cap "a_n33_n100#" "a_639_n100#" 20.9177
-cap "a_n1857_n100#" "a_n1089_n100#" 18.1562
-cap "a_n2529_n100#" "a_n2481_n188#" 6.25956
-cap "a_n273_122#" "a_783_n188#" 0.863965
-cap "a_351_n100#" "a_n1281_n100#" 8.29777
-cap "a_1119_n100#" "a_n225_n100#" 10.1315
-cap "a_n1905_n188#" "a_n2865_n188#" 5.07915
-cap "a_831_n100#" "a_n129_n100#" 14.3638
-cap "a_1647_122#" "a_1263_122#" 14.0338
-cap "a_n1137_n188#" "a_n1617_122#" 1.97704
-cap "a_927_n100#" "a_1407_n100#" 30.0629
-cap "a_1311_n100#" "a_1503_n100#" 87.4087
-cap "a_n2817_n100#" "a_n1281_n100#" 8.83052
-cap "a_1791_n100#" "a_2847_n100#" 13.0056
-cap "a_159_n100#" "a_639_n100#" 30.0629
-cap "a_1887_n100#" "a_1839_122#" 6.25956
-cap "a_63_n100#" "a_1215_n100#" 11.882
-cap "a_2127_n188#" "a_2415_122#" 3.46589
-cap "a_n1809_122#" "a_n2001_122#" 34.1645
-cap "a_n321_n100#" "a_n417_n100#" 241.535
-cap "a_n657_122#" "a_n273_122#" 14.0338
-cap "a_n1665_n100#" "a_n2721_n100#" 13.0056
-cap "a_2175_n100#" "a_2847_n100#" 20.9177
-cap "a_2655_n100#" "a_1311_n100#" 10.1315
-cap "a_255_n100#" "a_735_n100#" 30.0629
-cap "a_n273_122#" "a_111_122#" 14.0338
-cap "a_303_122#" "a_687_122#" 14.0338
-cap "a_1983_n100#" "a_1407_n100#" 24.6699
-cap "a_n2001_122#" "a_n1521_n188#" 1.97704
-cap "a_399_n188#" "a_n849_122#" 0.727455
-cap "a_2463_n100#" "a_1023_n100#" 9.43637
-cap "a_n129_n100#" "a_n1473_n100#" 10.1315
-cap "a_n2909_n100#" "a_n2865_n188#" 6.25956
-cap "a_399_n188#" "a_n177_n188#" 8.83801
-cap "a_591_n188#" "a_783_n188#" 34.1645
-cap "a_1023_n100#" "a_n33_n100#" 13.0056
-cap "a_2415_122#" "a_1359_n188#" 0.863965
-cap "a_63_n100#" "a_n993_n100#" 13.0056
-cap "a_n2433_n100#" "a_n1377_n100#" 13.0056
-cap "a_n2049_n100#" "a_n2529_n100#" 30.0629
-cap "a_1791_n100#" "a_2175_n100#" 38.4743
-cap "a_n2049_n100#" "a_n1185_n100#" 16.0389
-cap "a_n1905_n188#" "a_n945_n188#" 5.07915
-cap "a_2751_n100#" "a_2847_n100#" 241.535
-cap "a_399_n188#" "a_447_n100#" 6.25956
-cap "a_n1329_n188#" "a_n81_122#" 0.727455
-cap "a_n33_n100#" "a_n1185_n100#" 11.882
-cap "a_399_n188#" "a_1167_n188#" 6.45081
-cap "a_n225_n100#" "a_n801_n100#" 24.6699
-cap "a_n1569_n100#" "a_n2049_n100#" 30.0629
-cap "a_351_n100#" "a_n417_n100#" 18.1562
-cap "a_879_122#" "a_2223_122#" 3.56376
-cap "a_1023_n100#" "a_2559_n100#" 8.83052
-cap "a_1503_n100#" "a_n129_n100#" 8.29777
-cap "a_255_n100#" "a_n1089_n100#" 10.1315
-cap "a_n1953_n100#" "a_n1281_n100#" 20.9177
-cap "a_n1809_122#" "a_n657_122#" 4.18859
-cap "a_1359_n188#" "a_n81_122#" 0.628198
-cap "a_n897_n100#" "a_n993_n100#" 241.535
-cap "a_n1569_n100#" "a_n33_n100#" 8.83052
-cap "a_n2337_n100#" "a_n2817_n100#" 30.0629
-cap "a_2319_n188#" "a_687_122#" 0.0858586
-cap "a_879_122#" "a_1839_122#" 5.07915
-cap "a_687_122#" "a_639_n100#" 6.25956
-cap "a_1743_n188#" "a_687_122#" 0.863965
-cap "a_1023_n100#" "a_159_n100#" 16.0389
-cap "a_n1665_n100#" "a_n705_n100#" 14.3638
-cap "a_2751_n100#" "a_1791_n100#" 14.3638
-cap "a_927_n100#" "a_n321_n100#" 10.9371
-cap "a_n1713_n188#" "a_n2001_122#" 3.46589
-cap "a_n513_n100#" "a_639_n100#" 11.882
-cap "a_543_n100#" "a_n705_n100#" 10.9371
-cap "a_159_n100#" "a_n1185_n100#" 10.1315
-cap "a_n1857_n100#" "a_n801_n100#" 13.0056
-cap "a_n1329_n188#" "a_n2673_n188#" 3.56376
-cap "a_n657_122#" "a_591_n188#" 0.727455
-cap "a_2079_n100#" "a_1887_n100#" 87.4087
-cap "a_n1137_n188#" "a_n1089_n100#" 6.25956
-cap "a_n2097_n188#" "a_n1425_122#" 1.38306
-cap "a_n657_122#" "a_n1521_n188#" 1.06355
-cap "a_543_n100#" "a_1791_n100#" 10.9371
-cap "a_n1329_n188#" "a_n2481_n188#" 4.18859
-cap "a_591_n188#" "a_111_122#" 1.97704
-cap "a_2751_n100#" "a_2175_n100#" 24.6699
-cap "a_1119_n100#" "a_255_n100#" 16.0389
-cap "a_111_122#" "a_n1521_n188#" 0.0858586
-cap "a_303_122#" "a_n945_n188#" 0.727455
-cap "a_n1281_n100#" "a_n1473_n100#" 87.4087
-cap "a_n1041_122#" "a_n945_n188#" 14.1667
-cap "a_447_n100#" "a_63_n100#" 38.4743
-cap "a_n2337_n100#" "a_n2289_n188#" 6.25956
-cap "a_1407_n100#" "a_735_n100#" 20.9177
-cap "a_1647_122#" "a_2799_122#" 4.18859
-cap "a_543_n100#" "a_2175_n100#" 8.29777
-cap "a_n2049_n100#" "a_n609_n100#" 9.43637
-cap "a_n2145_n100#" "a_n2625_n100#" 30.0629
-cap "a_n849_122#" "a_n897_n100#" 6.25956
-cap "a_n1905_n188#" "a_n1041_122#" 1.06355
-cap "a_n33_n100#" "a_n609_n100#" 24.6699
-cap "a_n2145_n100#" "a_n993_n100#" 11.882
-cap "a_687_122#" "a_2031_122#" 3.56376
-cap "a_591_n188#" "a_1455_122#" 1.06355
-cap "a_927_n100#" "a_351_n100#" 24.6699
-cap "a_831_n100#" "a_n417_n100#" 10.9371
-cap "a_447_n100#" "a_n897_n100#" 10.1315
-cap "a_n2097_n188#" "a_n1617_122#" 1.97704
-cap "a_n1329_n188#" "a_15_n188#" 3.56376
-cap "a_n2337_n100#" "a_n1953_n100#" 38.4743
-cap "a_n561_n188#" "a_n81_122#" 1.97704
-cap "a_n1377_n100#" "a_n321_n100#" 13.0056
-cap "a_n2241_n100#" "a_n897_n100#" 10.1315
-cap "a_2607_122#" "a_2223_122#" 14.0338
-cap "a_n657_122#" "a_n1713_n188#" 0.863965
-cap "a_n2433_n100#" "a_n1089_n100#" 10.1315
-cap "a_2607_122#" "a_1839_122#" 6.45081
-cap "a_1359_n188#" "a_15_n188#" 3.56376
-cap "a_n753_n188#" "a_n801_n100#" 6.25956
-cap "a_159_n100#" "a_n609_n100#" 18.1562
-cap "a_n1953_n100#" "a_n417_n100#" 8.83052
-cap "a_2079_n100#" "a_1215_n100#" 16.0389
-cap "a_1023_n100#" "a_n513_n100#" 8.83052
-cap "a_1551_n188#" "a_1647_122#" 14.1667
-cap "a_n2001_122#" "a_n1233_122#" 6.45081
-cap "a_n2145_n100#" "a_n2193_122#" 6.25956
-cap "a_2271_n100#" "a_1311_n100#" 14.3638
-cap "a_303_122#" "a_n1041_122#" 3.56376
-cap "a_399_n188#" "a_n465_122#" 1.06355
-cap "a_2367_n100#" "a_831_n100#" 8.83052
-cap "a_n513_n100#" "a_n1185_n100#" 20.9177
-cap "a_351_n100#" "a_1983_n100#" 8.29777
-cap "a_1647_122#" "a_1935_n188#" 3.46589
-cap "a_2223_122#" "a_1167_n188#" 0.863965
-cap "a_1695_n100#" "a_255_n100#" 9.43637
-cap "a_1167_n188#" "a_1839_122#" 1.38306
-cap "a_1119_n100#" "a_1407_n100#" 53.425
-cap "a_255_n100#" "a_n801_n100#" 13.0056
-cap "a_n2337_n100#" "a_n1473_n100#" 16.0389
-cap "a_n1569_n100#" "a_n513_n100#" 13.0056
-cap "a_n417_n100#" "a_n1473_n100#" 13.0056
-cap "a_n753_n188#" "a_n81_122#" 1.38306
-cap "a_n2241_n100#" "a_n2145_n100#" 241.535
-cap "a_927_n100#" "a_831_n100#" 241.535
-cap "a_n321_n100#" "a_735_n100#" 13.0056
-cap "a_n2001_122#" "a_n2577_122#" 8.83801
-cap "a_1743_n188#" "a_303_122#" 0.628198
-cap "a_879_122#" "a_783_n188#" 14.1667
-cap "a_n1425_122#" "a_n2289_n188#" 1.06355
-cap "a_2367_n100#" "a_1599_n100#" 18.1562
-cap "a_n2817_n100#" "a_n1377_n100#" 9.43637
-cap "a_399_n188#" "a_n369_n188#" 6.45081
-cap "a_n657_122#" "a_n1233_122#" 8.83801
-cap "a_n561_n188#" "a_15_n188#" 8.83801
-cap "a_n1809_122#" "a_n273_122#" 3.10116
-cap "a_n33_n100#" "a_n225_n100#" 87.4087
-cap "a_n1233_122#" "a_111_122#" 3.56376
-cap "a_1887_n100#" "a_2847_n100#" 14.3638
-cap "a_591_n188#" "a_543_n100#" 6.25956
-cap "a_n513_n100#" "a_n609_n100#" 241.535
-cap "a_1983_n100#" "a_831_n100#" 11.882
-cap "a_1311_n100#" "a_63_n100#" 10.9371
-cap "a_n321_n100#" "a_n1089_n100#" 18.1562
-cap "a_591_n188#" "a_n273_122#" 1.06355
-cap "a_927_n100#" "a_1599_n100#" 20.9177
-cap "a_n2049_n100#" "a_n1857_n100#" 87.4087
-cap "a_n273_122#" "a_n1521_n188#" 0.727455
-cap "a_n1137_n188#" "a_n81_122#" 0.863965
-cap "a_n657_122#" "a_879_122#" 3.10116
-cap "a_1743_n188#" "a_2319_n188#" 8.83801
-cap "a_n2289_n188#" "a_n1617_122#" 1.38306
-cap "a_351_n100#" "a_735_n100#" 38.4743
-cap "a_399_n188#" "a_495_122#" 14.1667
-cap "a_2127_n188#" "a_687_122#" 0.628198
-cap "a_2367_n100#" "a_1503_n100#" 16.0389
-cap "a_159_n100#" "a_n225_n100#" 38.4743
-cap "a_n897_n100#" "a_n1761_n100#" 16.0389
-cap "a_879_122#" "a_111_122#" 6.45081
-cap "a_1407_n100#" "a_1695_n100#" 53.425
-cap "a_2079_n100#" "a_447_n100#" 8.29777
-cap "a_n2433_n100#" "a_n801_n100#" 8.29777
-cap "a_1791_n100#" "a_1887_n100#" 241.535
-cap "a_n2001_122#" "a_n2193_122#" 34.1645
-cap "a_399_n188#" "a_975_n188#" 8.83801
-cap "a_1119_n100#" "a_n321_n100#" 9.43637
-cap "a_n2001_122#" "a_n849_122#" 4.18859
-cap "a_n753_n188#" "a_15_n188#" 6.45081
-cap "a_n1953_n100#" "a_n1377_n100#" 24.6699
-cap "a_n1425_122#" "a_n1473_n100#" 6.25956
-cap "a_n2865_n188#" "a_n1329_n188#" 3.10116
-cap "a_n1137_n188#" "a_n2673_n188#" 3.10116
-cap "a_1983_n100#" "a_1599_n100#" 38.4743
-cap "a_n1665_n100#" "a_n1713_n188#" 6.25956
-cap "a_1887_n100#" "a_2175_n100#" 53.425
-cap "a_2367_n100#" "a_2655_n100#" 53.425
-cap "a_927_n100#" "a_1503_n100#" 24.6699
-cap "a_n1137_n188#" "a_n2481_n188#" 3.56376
-cap "a_351_n100#" "a_n1089_n100#" 9.43637
-cap "a_2511_n188#" "a_2223_122#" 3.46589
-cap "a_n849_122#" "a_783_n188#" 0.0858586
-cap "a_687_122#" "a_1359_n188#" 1.38306
-cap "a_879_122#" "a_1455_122#" 8.83801
-cap "a_2511_n188#" "a_1839_122#" 1.38306
-cap "a_n1809_122#" "a_n1521_n188#" 3.46589
-cap "a_n177_n188#" "a_783_n188#" 5.07915
-cap "a_n2721_n100#" "a_n2625_n100#" 241.535
-cap "a_n1713_n188#" "a_n273_122#" 0.628198
-cap "a_n2529_n100#" "a_n2909_n100#" 38.4743
-cap "a_2607_122#" "a_2703_n188#" 14.1667
-cap "a_2319_n188#" "a_2031_122#" 3.46589
-cap "a_2847_n100#" "a_1215_n100#" 8.29777
-cap "a_1743_n188#" "a_2031_122#" 3.46589
-cap "a_n2001_122#" "a_n2769_122#" 6.45081
-cap "a_1647_122#" "a_1695_n100#" 6.25956
-cap "a_2751_n100#" "a_1887_n100#" 16.0389
-cap "a_63_n100#" "a_n129_n100#" 87.4087
-cap "a_1167_n188#" "a_783_n188#" 14.0338
-cap "a_n2145_n100#" "a_n1761_n100#" 38.4743
-cap "a_n1569_n100#" "a_n2909_n100#" 10.1315
-cap "a_831_n100#" "a_735_n100#" 241.535
-cap "a_n1377_n100#" "a_n1473_n100#" 241.535
-cap "a_1983_n100#" "a_1503_n100#" 30.0629
-cap "a_1023_n100#" "a_639_n100#" 38.4743
-cap "a_351_n100#" "a_1119_n100#" 18.1562
-cap "a_n657_122#" "a_n2193_122#" 3.10116
-cap "a_n1137_n188#" "a_15_n188#" 4.18859
-cap "a_1791_n100#" "a_1215_n100#" 24.6699
-cap "a_543_n100#" "a_1887_n100#" 10.1315
-cap "a_2415_122#" "a_1647_122#" 6.45081
-cap "a_n657_122#" "a_n849_122#" 34.1645
-cap "a_2703_n188#" "a_1167_n188#" 3.10116
-cap "a_n129_n100#" "a_n897_n100#" 18.1562
-cap "a_399_n188#" "a_207_n188#" 34.1645
-cap "a_n657_122#" "a_n177_n188#" 1.97704
-cap "a_n513_n100#" "a_n225_n100#" 53.425
-cap "a_n2433_n100#" "a_n2481_n188#" 6.25956
-cap "a_n849_122#" "a_111_122#" 5.07915
-cap "a_255_n100#" "a_n33_n100#" 53.425
-cap "a_n1809_122#" "a_n1713_n188#" 14.1667
-cap "a_n1329_n188#" "a_n945_n188#" 14.0338
-cap "a_n177_n188#" "a_111_122#" 3.46589
-cap "a_2175_n100#" "a_1215_n100#" 14.3638
-cap "a_n321_n100#" "a_n801_n100#" 30.0629
-cap "a_1983_n100#" "a_2655_n100#" 20.9177
-cap "a_1551_n188#" "a_1599_n100#" 6.25956
-cap "a_n1905_n188#" "a_n1329_n188#" 8.83801
-cap "a_1599_n100#" "a_735_n100#" 16.0389
-cap "a_n705_n100#" "a_n993_n100#" 53.425
-cap "a_n561_n188#" "a_687_122#" 0.727455
-cap "a_n1857_n100#" "a_n513_n100#" 10.1315
-cap "a_1167_n188#" "a_111_122#" 0.863965
-cap "a_2607_122#" "a_1455_122#" 4.18859
-cap "a_n1713_n188#" "a_n1521_n188#" 34.1645
-cap "a_n1233_122#" "a_n273_122#" 5.07915
-cap "a_159_n100#" "a_255_n100#" 241.535
-cap "a_n561_n188#" "a_n513_n100#" 6.25956
-cap "a_n1281_n100#" "a_63_n100#" 10.1315
-cap "a_495_122#" "a_1839_122#" 3.56376
-cap "a_975_n188#" "a_2223_122#" 0.727455
-cap "a_2751_n100#" "a_1215_n100#" 8.83052
-cap "a_n1953_n100#" "a_n1089_n100#" 16.0389
-cap "a_n2241_n100#" "a_n2721_n100#" 30.0629
-cap "a_1455_122#" "a_n177_n188#" 0.0858586
-cap "a_975_n188#" "a_1839_122#" 1.06355
-cap "a_2079_n100#" "a_1311_n100#" 18.1562
-cap "a_831_n100#" "a_1119_n100#" 53.425
-cap "a_n2721_n100#" "a_n2769_122#" 6.25956
-cap "a_399_n188#" "a_1071_122#" 1.38306
-cap "a_543_n100#" "a_1215_n100#" 20.9177
-cap "a_n1281_n100#" "a_n897_n100#" 38.4743
-cap "a_1167_n188#" "a_1455_122#" 3.46589
-cap "a_351_n100#" "a_1695_n100#" 10.1315
-cap "a_n609_n100#" "a_639_n100#" 10.9371
-cap "a_879_122#" "a_n273_122#" 4.18859
-cap "a_1551_n188#" "a_1503_n100#" 6.25956
-cap "a_1503_n100#" "a_735_n100#" 18.1562
-cap "a_351_n100#" "a_n801_n100#" 11.882
-cap "a_n2001_122#" "a_n465_122#" 3.10116
-cap "a_303_122#" "a_n1329_n188#" 0.0858586
-cap "a_n2433_n100#" "a_n2049_n100#" 38.4743
-cap "a_n1665_n100#" "a_n2625_n100#" 14.3638
-cap "a_n1041_122#" "a_n1329_n188#" 3.46589
-cap "a_687_122#" "a_n753_n188#" 0.628198
-cap "a_n1089_n100#" "a_n1473_n100#" 38.4743
-cap "a_n2529_n100#" "a_n1185_n100#" 10.1315
-cap "a_n2097_n188#" "a_n2673_n188#" 8.83801
-cap "a_399_n188#" "a_1263_122#" 1.06355
-cap "a_n465_122#" "a_783_n188#" 0.727455
-cap "a_2271_n100#" "a_2367_n100#" 241.535
-cap "a_2463_n100#" "a_1407_n100#" 13.0056
-cap "a_447_n100#" "a_n705_n100#" 11.882
-cap "a_n1809_122#" "a_n1233_122#" 8.83801
-cap "a_303_122#" "a_1359_n188#" 0.863965
-cap "a_n1665_n100#" "a_n993_n100#" 20.9177
-cap "a_n2097_n188#" "a_n2481_n188#" 14.0338
-cap "a_1407_n100#" "a_n33_n100#" 9.43637
-cap "a_2319_n188#" "a_2127_n188#" 34.1645
-cap "a_1119_n100#" "a_1599_n100#" 30.0629
-cap "a_1791_n100#" "a_447_n100#" 10.1315
-cap "a_n1569_n100#" "a_n1185_n100#" 38.4743
-cap "a_n2241_n100#" "a_n705_n100#" 8.83052
-cap "a_n1569_n100#" "a_n2529_n100#" 14.3638
-cap "a_1743_n188#" "a_2127_n188#" 14.0338
-cap "a_n561_n188#" "a_n945_n188#" 14.0338
-cap "a_n1905_n188#" "a_n1857_n100#" 6.25956
-cap "a_1647_122#" "a_15_n188#" 0.0858586
-cap "a_543_n100#" "a_n993_n100#" 8.83052
-cap "a_n1905_n188#" "a_n561_n188#" 3.56376
-cap "a_n1233_122#" "a_n1521_n188#" 3.46589
-cap "a_2511_n188#" "a_2703_n188#" 34.1645
-cap "a_n2001_122#" "a_n369_n188#" 0.0858586
-cap "a_1407_n100#" "a_2559_n100#" 11.882
-cap "a_n2001_122#" "a_n2385_122#" 14.0338
-cap "a_207_n188#" "a_1839_122#" 0.0858586
-cap "a_2271_n100#" "a_927_n100#" 10.1315
-cap "a_n2145_n100#" "a_n1281_n100#" 16.0389
-cap "a_159_n100#" "a_1407_n100#" 10.9371
-cap "a_n513_n100#" "a_255_n100#" 18.1562
-cap "a_63_n100#" "a_n417_n100#" 30.0629
-cap "a_n657_122#" "a_n465_122#" 34.1645
-cap "a_n369_n188#" "a_783_n188#" 4.18859
-cap "a_2319_n188#" "a_1359_n188#" 5.07915
-cap "a_831_n100#" "a_1695_n100#" 16.0389
-cap "a_n1809_122#" "a_n2577_122#" 6.45081
-cap "a_591_n188#" "a_879_122#" 3.46589
-cap "a_1743_n188#" "a_1359_n188#" 14.0338
-cap "a_831_n100#" "a_n801_n100#" 8.29777
-cap "a_1023_n100#" "a_n609_n100#" 8.29777
-cap "a_n2337_n100#" "a_n897_n100#" 9.43637
-cap "a_1119_n100#" "a_1503_n100#" 38.4743
-cap "a_n465_122#" "a_111_122#" 8.83801
-cap "a_n417_n100#" "a_n897_n100#" 30.0629
-cap "a_n609_n100#" "a_n1185_n100#" 24.6699
-cap "a_2127_n188#" "a_2031_122#" 14.1667
-cap "a_n273_122#" "a_n849_122#" 8.83801
-cap "a_n945_n188#" "a_n753_n188#" 34.1645
-cap "a_n2577_122#" "a_n1521_n188#" 0.863965
-cap "a_2271_n100#" "a_1983_n100#" 53.425
-cap "a_n1953_n100#" "a_n801_n100#" 11.882
-cap "a_n2909_n100#" "a_n1857_n100#" 13.0056
-cap "a_303_122#" "a_n561_n188#" 1.06355
-cap "a_n273_122#" "a_n177_n188#" 14.1667
-cap "a_n2721_n100#" "a_n1761_n100#" 14.3638
-cap "a_543_n100#" "a_447_n100#" 241.535
-cap "a_n1569_n100#" "a_n609_n100#" 14.3638
-cap "a_n2241_n100#" "a_n1665_n100#" 24.6699
-cap "a_n1905_n188#" "a_n753_n188#" 4.18859
-cap "a_n1713_n188#" "a_n1233_122#" 1.97704
-cap "a_n2097_n188#" "a_n2049_n100#" 6.25956
-cap "a_n1041_122#" "a_n561_n188#" 1.97704
-cap "a_n225_n100#" "a_639_n100#" 16.0389
-cap "a_2223_122#" "a_1071_122#" 4.18859
-cap "a_2655_n100#" "a_1119_n100#" 8.83052
-cap "a_n657_122#" "a_n369_n188#" 3.46589
-cap "a_495_122#" "a_783_n188#" 3.46589
-cap "a_1599_n100#" "a_1695_n100#" 241.535
-cap "a_n273_122#" "a_1167_n188#" 0.628198
-cap "a_1839_122#" "a_1071_122#" 6.45081
-cap "a_2511_n188#" "a_1455_122#" 0.863965
-cap "a_975_n188#" "a_783_n188#" 34.1645
-cap "a_n33_n100#" "a_n321_n100#" 53.425
-cap "a_n369_n188#" "a_111_122#" 1.97704
-cap "a_1359_n188#" "a_2031_122#" 1.38306
-cap "a_n2337_n100#" "a_n2145_n100#" 87.4087
-cap "a_n1809_122#" "a_n2193_122#" 14.0338
-cap "a_927_n100#" "a_63_n100#" 16.0389
-cap "a_n1473_n100#" "a_n801_n100#" 20.9177
-cap "a_2223_122#" "a_1263_122#" 5.07915
-cap "a_n2289_n188#" "a_n2673_n188#" 14.0338
-cap "a_1311_n100#" "a_2847_n100#" 8.83052
-cap "a_n1809_122#" "a_n849_122#" 5.07915
-cap "a_n1137_n188#" "a_n945_n188#" 34.1645
-cap "a_1263_122#" "a_1839_122#" 8.83801
-cap "a_n1713_n188#" "a_n2577_122#" 1.06355
-cap "a_n2289_n188#" "a_n2481_n188#" 34.1645
-cap "a_n1809_122#" "a_n177_n188#" 0.0858586
-cap "a_n705_n100#" "a_n1761_n100#" 13.0056
-cap "a_159_n100#" "a_n321_n100#" 30.0629
-cap "a_303_122#" "a_n753_n188#" 0.863965
-cap "a_1695_n100#" "a_1503_n100#" 87.4087
-cap "a_n2193_122#" "a_n1521_n188#" 1.38306
-cap "a_n1137_n188#" "a_n1905_n188#" 6.45081
-cap "a_n657_122#" "a_495_122#" 4.18859
-cap "a_n1041_122#" "a_n753_n188#" 3.46589
-cap "a_591_n188#" "a_n849_122#" 0.628198
-cap "a_n849_122#" "a_n1521_n188#" 1.38306
-cap "a_975_n188#" "a_n657_122#" 0.0858586
-cap "a_1791_n100#" "a_1311_n100#" 30.0629
-cap "a_1887_n100#" "a_1215_n100#" 20.9177
-cap "a_591_n188#" "a_n177_n188#" 6.45081
-cap "a_495_122#" "a_111_122#" 14.0338
-cap "a_n177_n188#" "a_n1521_n188#" 3.56376
-cap "a_351_n100#" "a_n33_n100#" 38.4743
-cap "a_975_n188#" "a_111_122#" 1.06355
-cap "a_n1809_122#" "a_n2769_122#" 5.07915
-cap "a_2271_n100#" "a_735_n100#" 8.83052
-cap "a_1023_n100#" "a_n225_n100#" 10.9371
-cap "a_303_122#" "a_255_n100#" 6.25956
-cap "a_1647_122#" "a_687_122#" 5.07915
-cap "a_591_n188#" "a_1167_n188#" 8.83801
-cap "a_n2049_n100#" "a_n2817_n100#" 18.1562
-cap "a_2655_n100#" "a_1695_n100#" 14.3638
-cap "a_1311_n100#" "a_2175_n100#" 16.0389
-cap "a_n225_n100#" "a_n1185_n100#" 14.3638
-cap "a_207_n188#" "a_783_n188#" 8.83801
-cap "a_63_n100#" "a_n1377_n100#" 9.43637
-cap "a_399_n188#" "a_1551_n188#" 4.18859
-cap "a_n2769_122#" "a_n1521_n188#" 0.727455
-cap "a_495_122#" "a_1455_122#" 5.07915
-cap "a_351_n100#" "a_159_n100#" 87.4087
-cap "a_n1137_n188#" "a_303_122#" 0.628198
-cap "a_n1569_n100#" "a_n225_n100#" 10.1315
-cap "a_n1713_n188#" "a_n2193_122#" 1.97704
-cap "a_399_n188#" "a_1935_n188#" 3.10116
-cap "a_975_n188#" "a_1455_122#" 1.97704
-cap "a_n1137_n188#" "a_n1041_122#" 14.1667
-cap "a_n1665_n100#" "a_n1761_n100#" 241.535
-cap "a_n2529_n100#" "a_n1857_n100#" 20.9177
-cap "a_n2097_n188#" "a_n2865_n188#" 6.45081
-cap "a_2751_n100#" "a_1311_n100#" 9.43637
-cap "a_n1377_n100#" "a_n897_n100#" 30.0629
-cap "a_n1857_n100#" "a_n1185_n100#" 20.9177
-cap "a_n1713_n188#" "a_n849_122#" 1.06355
-cap "a_n1233_122#" "a_n2577_122#" 3.56376
-cap "a_n1713_n188#" "a_n177_n188#" 3.10116
-cap "a_n273_122#" "a_n465_122#" 34.1645
-cap "a_255_n100#" "a_639_n100#" 38.4743
-cap "a_n705_n100#" "a_n129_n100#" 24.6699
-cap "a_n1569_n100#" "a_n1857_n100#" 53.425
-cap "a_543_n100#" "a_1311_n100#" 18.1562
-cap "a_n657_122#" "a_207_n188#" 1.06355
-cap "a_2463_n100#" "a_831_n100#" 8.29777
-cap "a_n513_n100#" "a_n321_n100#" 87.4087
-cap "a_831_n100#" "a_n33_n100#" 16.0389
-cap "a_n2721_n100#" "a_n1281_n100#" 9.43637
-cap "a_207_n188#" "a_111_122#" 14.1667
-cap "a_783_n188#" "a_1071_122#" 3.46589
-cap "a_2271_n100#" "a_1119_n100#" 11.882
-cap "a_n1713_n188#" "a_n2769_122#" 0.863965
-cap "a_2079_n100#" "a_2367_n100#" 53.425
-cap "a_63_n100#" "a_735_n100#" 20.9177
-cap "a_n2049_n100#" "a_n1953_n100#" 241.535
-cap "a_2127_n188#" "a_1359_n188#" 6.45081
-cap "a_n609_n100#" "a_n225_n100#" 38.4743
-cap "a_2223_122#" "a_2799_122#" 8.83801
-cap "a_447_n100#" "a_1887_n100#" 9.43637
-cap "a_n369_n188#" "a_n273_122#" 14.1667
-cap "a_2703_n188#" "a_1071_122#" 0.0858586
-cap "a_831_n100#" "a_159_n100#" 20.9177
-cap "a_1839_122#" "a_2799_122#" 5.07915
-cap "a_n2433_n100#" "a_n2909_n100#" 30.0629
-cap "a_2463_n100#" "a_1599_n100#" 16.0389
-cap "a_n2145_n100#" "a_n1377_n100#" 18.1562
-cap "a_n1809_122#" "a_n465_122#" 3.56376
-cap "a_1263_122#" "a_783_n188#" 1.97704
-cap "a_n897_n100#" "a_735_n100#" 8.29777
-cap "a_n2625_n100#" "a_n2577_122#" 6.25956
-cap "a_207_n188#" "a_1455_122#" 0.727455
-cap "a_1599_n100#" "a_n33_n100#" 8.29777
-cap "a_n1809_122#" "a_n1761_n100#" 6.25956
-cap "a_927_n100#" "a_2079_n100#" 11.882
-cap "a_n1857_n100#" "a_n609_n100#" 10.9371
-cap "a_n1233_122#" "a_n2193_122#" 5.07915
-cap "a_n2097_n188#" "a_n945_n188#" 4.18859
-cap "a_351_n100#" "a_n513_n100#" 16.0389
-cap "a_63_n100#" "a_n1089_n100#" 11.882
-cap "a_n705_n100#" "a_n1281_n100#" 24.6699
-cap "a_n2049_n100#" "a_n1473_n100#" 24.6699
-cap "a_n1233_122#" "a_n849_122#" 14.0338
-cap "a_591_n188#" "a_n465_122#" 0.863965
-cap "a_n561_n188#" "a_n609_n100#" 6.25956
-cap "a_n1665_n100#" "a_n129_n100#" 8.83052
-cap "a_n2865_n188#" "a_n2817_n100#" 6.25956
-cap "a_n2097_n188#" "a_n1905_n188#" 34.1645
-cap "a_2703_n188#" "a_1263_122#" 0.628198
-cap "a_1023_n100#" "a_255_n100#" 18.1562
-cap "a_n465_122#" "a_n1521_n188#" 0.863965
-cap "a_n1233_122#" "a_n177_n188#" 0.863965
-cap "a_n33_n100#" "a_n1473_n100#" 9.43637
-cap "a_111_122#" "a_1071_122#" 5.07915
-cap "a_1599_n100#" "a_2559_n100#" 14.3638
-cap "a_n2625_n100#" "a_n993_n100#" 8.29777
-cap "a_543_n100#" "a_n129_n100#" 20.9177
-cap "a_495_122#" "a_543_n100#" 6.25956
-cap "a_1551_n188#" "a_2223_122#" 1.38306
-cap "a_1407_n100#" "a_639_n100#" 18.1562
-cap "a_1599_n100#" "a_159_n100#" 9.43637
-cap "a_255_n100#" "a_n1185_n100#" 9.43637
-cap "a_495_122#" "a_n273_122#" 6.45081
-cap "a_n897_n100#" "a_n1089_n100#" 87.4087
-cap "a_303_122#" "a_1647_122#" 3.56376
-cap "a_n2337_n100#" "a_n2721_n100#" 38.4743
-cap "a_1551_n188#" "a_1839_122#" 3.46589
-cap "a_n1809_122#" "a_n369_n188#" 0.628198
-cap "a_975_n188#" "a_n273_122#" 0.727455
-cap "a_2463_n100#" "a_1503_n100#" 14.3638
-cap "a_2223_122#" "a_1935_n188#" 3.46589
-cap "a_n1809_122#" "a_n2385_122#" 8.83801
-cap "a_2079_n100#" "a_1983_n100#" 241.535
-cap "a_2271_n100#" "a_1695_n100#" 24.6699
-cap "a_1119_n100#" "a_63_n100#" 13.0056
-cap "a_1503_n100#" "a_n33_n100#" 8.83052
-cap "a_n1233_122#" "a_n2769_122#" 3.10116
-cap "a_879_122#" "a_n177_n188#" 0.863965
-cap "a_1839_122#" "a_1935_n188#" 14.1667
-cap "a_n2577_122#" "a_n2193_122#" 14.0338
-cap "a_1263_122#" "a_111_122#" 4.18859
-cap "a_159_n100#" "a_n1473_n100#" 8.29777
-cap "a_n2289_n188#" "a_n2865_n188#" 8.83801
-cap "a_n1425_122#" "a_n2001_122#" 8.83801
-cap "a_n1137_n188#" "a_n1185_n100#" 6.25956
-cap "a_591_n188#" "a_n369_n188#" 5.07915
-cap "a_1455_122#" "a_1071_122#" 14.0338
-cap "a_447_n100#" "a_1215_n100#" 18.1562
-cap "a_n369_n188#" "a_n1521_n188#" 4.18859
-cap "a_1167_n188#" "a_1215_n100#" 6.25956
-cap "a_n2385_122#" "a_n1521_n188#" 1.06355
-cap "a_879_122#" "a_1167_n188#" 3.46589
-cap "a_n561_n188#" "a_n1329_n188#" 6.45081
-cap "a_2559_n100#" "a_1503_n100#" 13.0056
-cap "a_n1713_n188#" "a_n465_122#" 0.727455
-cap "a_n2097_n188#" "a_n1041_122#" 0.863965
-cap "a_2463_n100#" "a_2655_n100#" 87.4087
-cap "a_831_n100#" "a_n513_n100#" 10.1315
-cap "a_159_n100#" "a_1503_n100#" 10.1315
-cap "a_n1713_n188#" "a_n1761_n100#" 6.25956
-cap "a_2319_n188#" "a_1647_122#" 1.38306
-cap "a_n1665_n100#" "a_n1281_n100#" 38.4743
-cap "a_1743_n188#" "a_1647_122#" 14.1667
-cap "a_1263_122#" "a_1455_122#" 34.1645
-cap "a_n2337_n100#" "a_n705_n100#" 8.29777
-cap "a_n2577_122#" "a_n2769_122#" 34.1645
-cap "a_n2145_n100#" "a_n1089_n100#" 13.0056
-cap "a_447_n100#" "a_n993_n100#" 9.43637
-cap "a_n1953_n100#" "a_n513_n100#" 9.43637
-cap "a_n2241_n100#" "a_n2625_n100#" 38.4743
-cap "a_n1617_122#" "a_n2001_122#" 14.0338
-cap "a_255_n100#" "a_n609_n100#" 16.0389
-cap "a_495_122#" "a_591_n188#" 14.1667
-cap "a_2655_n100#" "a_2559_n100#" 241.535
-cap "a_1023_n100#" "a_1407_n100#" 38.4743
-cap "a_n705_n100#" "a_n417_n100#" 53.425
-cap "a_n1857_n100#" "a_n225_n100#" 8.29777
-cap "a_n2241_n100#" "a_n993_n100#" 10.9371
-cap "a_975_n188#" "a_591_n188#" 14.0338
-cap "a_n2433_n100#" "a_n1185_n100#" 10.9371
-cap "a_n2433_n100#" "a_n2529_n100#" 241.535
-cap "a_n1425_122#" "a_n657_122#" 6.45081
-cap "a_207_n188#" "a_n273_122#" 1.97704
-cap "a_399_n188#" "a_n81_122#" 1.97704
-cap "a_n1713_n188#" "a_n369_n188#" 3.56376
-cap "a_n1713_n188#" "a_n2385_122#" 1.38306
-cap "a_n849_122#" "a_n2193_122#" 3.56376
-cap "a_2367_n100#" "a_2847_n100#" 30.0629
-cap "a_1887_n100#" "a_1311_n100#" 24.6699
-cap "a_1695_n100#" "a_63_n100#" 8.29777
-cap "a_n321_n100#" "a_639_n100#" 14.3638
-cap "a_n2289_n188#" "a_n945_n188#" 3.56376
-cap "a_n1425_122#" "a_111_122#" 3.10116
-cap "a_n1329_n188#" "a_n753_n188#" 8.83801
-cap "a_2079_n100#" "a_735_n100#" 10.1315
-cap "a_n2433_n100#" "a_n1569_n100#" 16.0389
-cap "a_351_n100#" "a_303_122#" 6.25956
-cap "a_63_n100#" "a_n801_n100#" 16.0389
-cap "a_n2289_n188#" "a_n1905_n188#" 14.0338
-cap "a_n849_122#" "a_n177_n188#" 1.38306
-cap "a_n513_n100#" "a_n1473_n100#" 14.3638
-cap "a_1647_122#" "a_2031_122#" 14.0338
-cap "a_2607_122#" "a_1167_n188#" 0.628198
-cap "a_n2241_n100#" "a_n2193_122#" 6.25956
-cap "a_2703_n188#" "a_2799_122#" 14.1667
-cap "a_2367_n100#" "a_1791_n100#" 24.6699
-cap "a_n1233_122#" "a_n465_122#" 6.45081
-cap "a_n897_n100#" "a_n801_n100#" 241.535
-cap "a_n2193_122#" "a_n2769_122#" 8.83801
-cap "a_n2337_n100#" "a_n1665_n100#" 20.9177
-cap "a_n2909_n100#" "a_n2817_n100#" 241.535
-cap "a_n657_122#" "a_n1617_122#" 5.07915
-cap "a_1167_n188#" "a_n177_n188#" 3.56376
-cap "a_n1665_n100#" "a_n417_n100#" 10.9371
-cap "a_n1905_n188#" "a_n1953_n100#" 6.25956
-cap "a_n273_122#" "a_1071_122#" 3.56376
-cap "a_2367_n100#" "a_2175_n100#" 87.4087
-cap "a_927_n100#" "a_n705_n100#" 8.29777
-cap "a_1551_n188#" "a_783_n188#" 6.45081
-cap "a_735_n100#" "a_783_n188#" 6.25956
-cap "a_351_n100#" "a_639_n100#" 53.425
-cap "a_n1137_n188#" "a_n1329_n188#" 34.1645
-cap "a_879_122#" "a_n465_122#" 3.56376
-cap "a_927_n100#" "a_1791_n100#" 16.0389
-cap "a_543_n100#" "a_n417_n100#" 14.3638
-cap "a_n2721_n100#" "a_n1377_n100#" 10.1315
-cap "a_591_n188#" "a_207_n188#" 14.0338
-cap "a_1935_n188#" "a_783_n188#" 4.18859
-cap "a_2511_n188#" "a_879_122#" 0.0858586
-cap "a_1311_n100#" "a_1215_n100#" 241.535
-cap "a_1551_n188#" "a_2703_n188#" 4.18859
-cap "a_n1233_122#" "a_n369_n188#" 1.06355
-cap "a_1983_n100#" "a_2847_n100#" 16.0389
-cap "a_n2385_122#" "a_n1233_122#" 4.18859
-cap "a_n2289_n188#" "a_n1041_122#" 0.727455
-cap "a_399_n188#" "a_15_n188#" 14.0338
-cap "a_2079_n100#" "a_1119_n100#" 14.3638
-cap "a_1023_n100#" "a_n321_n100#" 10.1315
-cap "a_n273_122#" "a_1263_122#" 3.10116
-cap "a_2367_n100#" "a_2751_n100#" 38.4743
-cap "a_2703_n188#" "a_1935_n188#" 6.45081
-cap "a_927_n100#" "a_2175_n100#" 10.9371
-cap "a_255_n100#" "a_n225_n100#" 30.0629
-cap "a_2415_122#" "a_2223_122#" 34.1645
-cap "a_n321_n100#" "a_n1185_n100#" 16.0389
-cap "a_n2145_n100#" "a_n801_n100#" 10.1315
-cap "a_n2625_n100#" "a_n1761_n100#" 16.0389
-cap "a_2415_122#" "a_1839_122#" 8.83801
-cap "a_n561_n188#" "a_n753_n188#" 34.1645
-cap "a_2271_n100#" "a_2463_n100#" 87.4087
-cap "a_1455_122#" "a_2799_122#" 3.56376
-cap "a_1983_n100#" "a_1791_n100#" 87.4087
-cap "a_879_122#" "a_n369_n188#" 0.727455
-cap "a_n1569_n100#" "a_n321_n100#" 10.9371
-cap "a_n993_n100#" "a_n1761_n100#" 18.1562
-cap "a_1551_n188#" "a_111_122#" 0.628198
-cap "a_n2909_n100#" "a_n1953_n100#" 14.3638
-cap "a_2847_n100#" "a_2799_122#" 6.25956
-cap "a_n705_n100#" "a_n1377_n100#" 20.9177
-cap "a_n2385_122#" "a_n2577_122#" 34.1645
-cap "a_591_n188#" "a_1071_122#" 1.97704
-cap "a_2271_n100#" "a_2559_n100#" 53.425
-cap "a_1983_n100#" "a_2175_n100#" 87.4087
-cap "a_831_n100#" "a_639_n100#" 87.4087
-cap "a_351_n100#" "a_1023_n100#" 20.9177
-cap "a_927_n100#" "a_543_n100#" 38.4743
-cap "a_1407_n100#" "a_1359_n188#" 6.25956
-cap "a_63_n100#" "a_15_n188#" 6.25956
-cap "a_351_n100#" "a_n1185_n100#" 8.83052
-cap "a_1551_n188#" "a_1455_122#" 14.1667
-cap "a_2511_n188#" "a_2607_122#" 14.1667
-cap "a_n1137_n188#" "a_n561_n188#" 8.83801
-cap "a_n465_122#" "a_n849_122#" 14.0338
-cap "a_495_122#" "a_879_122#" 14.0338
-cap "a_n129_n100#" "a_1215_n100#" 10.1315
-cap "a_2127_n188#" "a_1647_122#" 1.97704
-cap "a_591_n188#" "a_1263_122#" 1.38306
-cap "a_1983_n100#" "a_2751_n100#" 18.1562
-cap "a_n2909_n100#" "a_n1473_n100#" 9.43637
-cap "a_1455_122#" "a_1935_n188#" 1.97704
-cap "a_n1425_122#" "a_n273_122#" 4.18859
-cap "a_n465_122#" "a_n177_n188#" 3.46589
-cap "a_975_n188#" "a_879_122#" 14.1667
-cap "a_2079_n100#" "a_1695_n100#" 38.4743
-cap "a_n609_n100#" "a_n321_n100#" 53.425
-cap "a_n2529_n100#" "a_n2817_n100#" 53.425
-cap "a_n2721_n100#" "a_n1089_n100#" 8.29777
-cap "a_n2817_n100#" "a_n1185_n100#" 8.29777
-cap "a_1599_n100#" "a_639_n100#" 14.3638
-cap "a_1167_n188#" "a_n465_122#" 0.0858586
-cap "a_1983_n100#" "a_543_n100#" 9.43637
-cap "a_1407_n100#" "a_n225_n100#" 8.29777
-cap "a_n705_n100#" "a_735_n100#" 9.43637
-cap "a_n1569_n100#" "a_n2817_n100#" 10.9371
-cap "a_2511_n188#" "a_1167_n188#" 3.56376
-cap "a_447_n100#" "a_1311_n100#" 16.0389
-cap "a_63_n100#" "a_n33_n100#" 241.535
-cap "a_n1665_n100#" "a_n1377_n100#" 53.425
-cap "a_n2241_n100#" "a_n1761_n100#" 30.0629
-cap "a_n1233_122#" "a_n1281_n100#" 6.25956
-cap "a_n1665_n100#" "a_n1617_122#" 6.25956
-cap "a_n2385_122#" "a_n2193_122#" 34.1645
-cap "a_1791_n100#" "a_735_n100#" 13.0056
-cap "a_1647_122#" "a_1359_n188#" 3.46589
-cap "a_n129_n100#" "a_n993_n100#" 16.0389
-cap "a_2751_n100#" "a_2799_122#" 6.25956
-cap "a_n369_n188#" "a_n849_122#" 1.97704
-cap "a_n2049_n100#" "a_n897_n100#" 11.882
-cap "a_n2433_n100#" "a_n1857_n100#" 24.6699
-cap "a_n2385_122#" "a_n849_122#" 3.10116
-cap "a_n369_n188#" "a_n177_n188#" 34.1645
-cap "a_1023_n100#" "a_831_n100#" 87.4087
-cap "a_n1617_122#" "a_n273_122#" 3.56376
-cap "a_207_n188#" "a_n1233_122#" 0.628198
-cap "a_n33_n100#" "a_n897_n100#" 16.0389
-cap "a_n2097_n188#" "a_n1329_n188#" 6.45081
-cap "a_n1137_n188#" "a_n753_n188#" 14.0338
-cap "a_n1809_122#" "a_n1425_122#" 14.0338
-cap "a_2175_n100#" "a_735_n100#" 9.43637
-cap "a_159_n100#" "a_63_n100#" 241.535
-cap "a_351_n100#" "a_n609_n100#" 14.3638
-cap "a_1503_n100#" "a_639_n100#" 16.0389
-cap "a_n705_n100#" "a_n1089_n100#" 38.4743
-cap "a_n369_n188#" "a_1167_n188#" 3.10116
-cap "a_399_n188#" "a_687_122#" 3.46589
-cap "a_n2385_122#" "a_n2769_122#" 14.0338
-cap "a_n1425_122#" "a_n1521_n188#" 14.1667
-cap "a_879_122#" "a_207_n188#" 1.38306
-cap "a_2415_122#" "a_783_n188#" 0.0858586
-cap "a_n2529_n100#" "a_n1953_n100#" 24.6699
-cap "a_159_n100#" "a_n897_n100#" 13.0056
-cap "a_n1953_n100#" "a_n1185_n100#" 18.1562
-cap "a_495_122#" "a_n849_122#" 3.56376
-cap "a_975_n188#" "a_2607_122#" 0.0858586
-cap "a_1023_n100#" "a_1599_n100#" 24.6699
-cap "a_n177_n188#" "a_n129_n100#" 6.25956
-cap "a_n2625_n100#" "a_n1281_n100#" 10.1315
-cap "a_495_122#" "a_n177_n188#" 1.38306
-cap "a_n1569_n100#" "a_n1953_n100#" 38.4743
-cap "a_975_n188#" "a_n177_n188#" 4.18859
-cap "a_447_n100#" "a_n129_n100#" 24.6699
-cap "a_495_122#" "a_447_n100#" 6.25956
-cap "a_2703_n188#" "a_2415_122#" 3.46589
-cap "a_n1809_122#" "a_n1617_122#" 34.1645
-cap "a_543_n100#" "a_735_n100#" 87.4087
-cap "a_n1281_n100#" "a_n993_n100#" 53.425
-cap "a_n2145_n100#" "a_n2049_n100#" 241.535
-cap "a_n81_122#" "a_783_n188#" 1.06355
-cap "a_1791_n100#" "a_1119_n100#" 20.9177
-cap "a_495_122#" "a_1167_n188#" 1.38306
-cap "a_n321_n100#" "a_n225_n100#" 241.535
-cap "a_975_n188#" "a_1167_n188#" 34.1645
-cap "a_2367_n100#" "a_1887_n100#" 30.0629
-cap "a_n2001_122#" "a_n2673_n188#" 1.38306
-cap "a_n1185_n100#" "a_n1473_n100#" 53.425
-cap "a_n2529_n100#" "a_n1473_n100#" 13.0056
-cap "a_n1617_122#" "a_n1521_n188#" 14.1667
-cap "a_1119_n100#" "a_2175_n100#" 13.0056
-cap "a_831_n100#" "a_n609_n100#" 9.43637
-cap "a_n2001_122#" "a_n2481_n188#" 1.97704
-cap "a_n1425_122#" "a_n1713_n188#" 3.46589
-cap "a_n1665_n100#" "a_n1089_n100#" 24.6699
-cap "a_879_122#" "a_1071_122#" 34.1645
-cap "a_1023_n100#" "a_1503_n100#" 30.0629
-cap "a_n1569_n100#" "a_n1473_n100#" 241.535
-cap "a_n1857_n100#" "a_n321_n100#" 8.83052
-cap "a_n2097_n188#" "a_n561_n188#" 3.10116
-cap "a_1407_n100#" "a_255_n100#" 11.882
-cap "a_543_n100#" "a_n1089_n100#" 8.29777
-cap "a_n513_n100#" "a_63_n100#" 24.6699
-cap "a_399_n188#" "a_n945_n188#" 3.56376
-cap "a_n657_122#" "a_n81_122#" 8.83801
-cap "a_927_n100#" "a_1887_n100#" 14.3638
-cap "a_n417_n100#" "a_1215_n100#" 8.29777
-cap "a_n1953_n100#" "a_n609_n100#" 10.1315
-cap "a_2751_n100#" "a_1119_n100#" 8.29777
-cap "a_n81_122#" "a_111_122#" 34.1645
-cap "a_2847_n100#" "a_1695_n100#" 11.882
-cap "a_879_122#" "a_1263_122#" 14.0338
-cap "a_351_n100#" "a_n225_n100#" 24.6699
-cap "a_n2337_n100#" "a_n2625_n100#" 53.425
-cap "a_1263_122#" "a_1215_n100#" 6.25956
-cap "a_207_n188#" "a_n849_122#" 0.863965
-cap "a_2655_n100#" "a_1023_n100#" 8.29777
-cap "a_n513_n100#" "a_n897_n100#" 38.4743
-cap "a_2415_122#" "a_1455_122#" 5.07915
-cap "a_n2289_n188#" "a_n1329_n188#" 5.07915
-cap "a_207_n188#" "a_n177_n188#" 14.0338
-cap "a_2463_n100#" "a_2079_n100#" 38.4743
-cap "a_543_n100#" "a_1119_n100#" 24.6699
-cap "a_n1617_122#" "a_n1713_n188#" 14.1667
-cap "a_n2241_n100#" "a_n1281_n100#" 14.3638
-cap "a_n2337_n100#" "a_n993_n100#" 10.1315
-cap "a_n369_n188#" "a_n465_122#" 14.1667
-cap "a_1551_n188#" "a_591_n188#" 5.07915
-cap "a_n705_n100#" "a_n801_n100#" 241.535
-cap "a_1791_n100#" "a_1695_n100#" 241.535
-cap "a_15_n188#" "a_783_n188#" 6.45081
-cap "a_1983_n100#" "a_1887_n100#" 241.535
-cap "a_2367_n100#" "a_1215_n100#" 11.882
-cap "a_207_n188#" "a_1167_n188#" 5.07915
-cap "a_2223_122#" "a_687_122#" 3.10116
-cap "a_591_n188#" "a_1935_n188#" 3.56376
-cap "a_n417_n100#" "a_n993_n100#" 24.6699
-cap "a_n609_n100#" "a_n1473_n100#" 16.0389
-cap "a_1455_122#" "a_n81_122#" 3.10116
-cap "a_n2097_n188#" "a_n753_n188#" 3.56376
-cap "a_1839_122#" "a_687_122#" 4.18859
-cap "a_2079_n100#" "a_2559_n100#" 30.0629
-cap "a_n2049_n100#" "a_n2001_122#" 6.25956
-cap "a_n2721_n100#" "a_n2673_n188#" 6.25956
-cap "a_399_n188#" "a_303_122#" 14.1667
-cap "a_2175_n100#" "a_1695_n100#" 30.0629
-cap "a_n1857_n100#" "a_n2817_n100#" 14.3638
-cap "a_n1425_122#" "a_n1233_122#" 34.1645
-cap "a_399_n188#" "a_n1041_122#" 0.628198
-cap "a_2607_122#" "a_1071_122#" 3.10116
-cap "a_927_n100#" "a_879_122#" 6.25956
-cap "a_495_122#" "a_n465_122#" 5.07915
-cap "a_927_n100#" "a_1215_n100#" 53.425
-cap "a_n129_n100#" "a_n1761_n100#" 8.29777
-cap "a_n945_n188#" "a_n897_n100#" 6.25956
-cap "a_n2145_n100#" "a_n513_n100#" 8.29777
-cap "a_n657_122#" "a_15_n188#" 1.38306
-cap "a_975_n188#" "a_n465_122#" 0.628198
-cap "a_n177_n188#" "a_1071_122#" 0.727455
-cap "a_2271_n100#" "a_2319_n188#" 6.25956
-cap "a_1311_n100#" "a_n129_n100#" 9.43637
-cap "a_831_n100#" "a_n225_n100#" 13.0056
-cap "a_2511_n188#" "a_975_n188#" 3.10116
-cap "a_2751_n100#" "a_1695_n100#" 13.0056
-cap "a_2271_n100#" "a_639_n100#" 8.29777
-cap "a_255_n100#" "a_n321_n100#" 24.6699
-cap "a_15_n188#" "a_111_122#" 14.1667
-cap "a_2607_122#" "a_1263_122#" 3.56376
-cap "a_1167_n188#" "a_1071_122#" 14.1667
-cap "a_n1665_n100#" "a_n801_n100#" 16.0389
-cap "a_n2097_n188#" "a_n1137_n188#" 5.07915
-cap "a_n2337_n100#" "a_n2241_n100#" 241.535
-cap "a_543_n100#" "a_1695_n100#" 11.882
-cap "a_447_n100#" "a_n417_n100#" 16.0389
-cap "a_n1425_122#" "a_n2577_122#" 4.18859
-cap "a_n1617_122#" "a_n1233_122#" 14.0338
-cap "a_399_n188#" "a_1743_n188#" 3.56376
-cap "a_543_n100#" "a_n801_n100#" 10.1315
-cap "a_1983_n100#" "a_1215_n100#" 18.1562
-cap "a_1263_122#" "a_n177_n188#" 0.628198
-cap "a_495_122#" "a_n369_n188#" 1.06355
-cap "a_975_n188#" "a_n369_n188#" 3.56376
-cap "a_1887_n100#" "a_735_n100#" 11.882
-cap "a_1455_122#" "a_15_n188#" 0.628198
-cap "a_1263_122#" "a_1167_n188#" 14.1667
-cap "a_n1857_n100#" "a_n1953_n100#" 241.535
-cap "a_1887_n100#" "a_1935_n188#" 6.25956
-cap "a_351_n100#" "a_255_n100#" 241.535
-cap "a_n2049_n100#" "a_n2721_n100#" 20.9177
-cap "a_n1281_n100#" "a_n1761_n100#" 30.0629
-cap "a_n225_n100#" "a_n1473_n100#" 10.9371
-cap "a_n1617_122#" "a_n2577_122#" 5.07915
-cap "a_207_n188#" "a_n465_122#" 1.38306
-cap "a_n273_122#" "a_n81_122#" 34.1645
-cap "a_n2625_n100#" "a_n1377_n100#" 10.9371
-cap "a_2271_n100#" "a_1023_n100#" 10.9371
-cap "a_399_n188#" "a_2031_122#" 0.0858586
-cap "a_63_n100#" "a_639_n100#" 24.6699
-cap "a_159_n100#" "a_111_122#" 6.25956
-cap "a_n1425_122#" "a_n2193_122#" 6.45081
-cap "a_n2289_n188#" "a_n753_n188#" 3.10116
-cap "a_n2001_122#" "a_n2865_n188#" 1.06355
-cap "a_975_n188#" "a_495_122#" 1.97704
-cap "a_n1377_n100#" "a_n993_n100#" 38.4743
-cap "a_n1857_n100#" "a_n1473_n100#" 38.4743
-cap "a_n1425_122#" "a_n849_122#" 8.83801
-cap "a_927_n100#" "a_447_n100#" 30.0629
-cap "a_2463_n100#" "a_2847_n100#" 38.4743
-cap "a_n1425_122#" "a_n177_n188#" 0.727455
-cap "a_303_122#" "a_1839_122#" 3.10116
-cap "a_687_122#" "a_783_n188#" 14.1667
-cap "a_n897_n100#" "a_639_n100#" 8.83052
-cap "a_n2049_n100#" "a_n705_n100#" 10.1315
-cap "a_1551_n188#" "a_879_122#" 1.38306
-cap "a_1215_n100#" "a_735_n100#" 30.0629
-cap "a_1887_n100#" "a_1119_n100#" 18.1562
-cap "a_207_n188#" "a_n369_n188#" 8.83801
-cap "a_n705_n100#" "a_n33_n100#" 20.9177
-cap "a_879_122#" "a_1935_n188#" 0.863965
-cap "a_2463_n100#" "a_1791_n100#" 20.9177
-cap "a_2559_n100#" "a_2847_n100#" 53.425
-cap "a_831_n100#" "a_255_n100#" 24.6699
-cap "a_n1425_122#" "a_n2769_122#" 3.56376
-cap "a_n2145_n100#" "a_n2909_n100#" 18.1562
-cap "a_n1617_122#" "a_n2193_122#" 8.83801
-cap "a_n465_122#" "a_1071_122#" 3.10116
-cap "a_1983_n100#" "a_447_n100#" 8.83052
-cap "a_2511_n188#" "a_1071_122#" 0.628198
-cap "a_n2337_n100#" "a_n1761_n100#" 24.6699
-cap "a_2319_n188#" "a_2223_122#" 14.1667
-cap "a_n1137_n188#" "a_n2289_n188#" 4.18859
-cap "a_351_n100#" "a_1407_n100#" 13.0056
-cap "a_2607_122#" "a_2799_122#" 34.1645
-cap "a_1743_n188#" "a_2223_122#" 1.97704
-cap "a_n1617_122#" "a_n849_122#" 6.45081
-cap "a_n1281_n100#" "a_n129_n100#" 11.882
-cap "a_n465_122#" "a_n417_n100#" 6.25956
-cap "a_2319_n188#" "a_1839_122#" 1.97704
-cap "a_n657_122#" "a_687_122#" 3.56376
-cap "a_2463_n100#" "a_2175_n100#" 53.425
-cap "a_1743_n188#" "a_1839_122#" 14.1667
-cap "a_n1617_122#" "a_n177_n188#" 0.628198
-cap "a_591_n188#" "a_n81_122#" 1.38306
-cap "a_1791_n100#" "a_2559_n100#" 18.1562
-cap "a_n705_n100#" "a_159_n100#" 16.0389
-cap "a_n417_n100#" "a_n1761_n100#" 10.1315
-cap "a_n81_122#" "a_n1521_n188#" 0.628198
-cap "a_687_122#" "a_111_122#" 8.83801
-cap "a_1791_n100#" "a_159_n100#" 8.29777
-cap "a_1023_n100#" "a_63_n100#" 14.3638
-cap "a_n2433_n100#" "a_n2817_n100#" 38.4743
-cap "a_n2001_122#" "a_n945_n188#" 0.863965
-cap "a_n273_122#" "a_15_n188#" 3.46589
-cap "a_495_122#" "a_207_n188#" 3.46589
-cap "a_n1809_122#" "a_n2673_n188#" 1.06355
-cap "a_n2241_n100#" "a_n1377_n100#" 16.0389
-cap "a_2511_n188#" "a_1263_122#" 0.727455
-cap "a_975_n188#" "a_207_n188#" 6.45081
-cap "a_1599_n100#" "a_255_n100#" 10.1315
-cap "a_63_n100#" "a_n1185_n100#" 10.9371
-cap "a_n1809_122#" "a_n2481_n188#" 1.38306
-cap "a_n2001_122#" "a_n1905_n188#" 14.1667
-cap "a_2463_n100#" "a_2751_n100#" 53.425
-cap "a_1311_n100#" "a_1263_122#" 6.25956
-cap "a_n369_n188#" "a_1071_122#" 0.628198
-cap "a_1167_n188#" "a_2799_122#" 0.0858586
-cap "a_2175_n100#" "a_2559_n100#" 38.4743
-cap "a_n1617_122#" "a_n2769_122#" 4.18859
-cap "a_n1665_n100#" "a_n2049_n100#" 38.4743
-cap "a_n2337_n100#" "a_n2385_122#" 6.25956
-cap "a_n2625_n100#" "a_n1089_n100#" 8.83052
-cap "a_1119_n100#" "a_1215_n100#" 241.535
-cap "a_n1569_n100#" "a_63_n100#" 8.29777
-cap "a_n2673_n188#" "a_n1521_n188#" 4.18859
-cap "a_n369_n188#" "a_n417_n100#" 6.25956
-cap "a_n1665_n100#" "a_n33_n100#" 8.29777
-cap "a_1551_n188#" "a_2607_122#" 0.863965
-cap "a_687_122#" "a_1455_122#" 6.45081
-cap "a_1887_n100#" "a_1695_n100#" 87.4087
-cap "a_n993_n100#" "a_n1089_n100#" 241.535
-cap "a_n897_n100#" "a_n1185_n100#" 53.425
-cap "a_n2529_n100#" "a_n897_n100#" 8.29777
-cap "a_n2481_n188#" "a_n1521_n188#" 5.07915
-cap "a_543_n100#" "a_n33_n100#" 24.6699
-cap "a_2367_n100#" "a_1311_n100#" 13.0056
-cap "a_2223_122#" "a_2031_122#" 34.1645
-cap "a_2751_n100#" "a_2559_n100#" 87.4087
-cap "a_2607_122#" "a_1935_n188#" 1.38306
-cap "a_n369_n188#" "a_1263_122#" 0.0858586
-cap "a_n1713_n188#" "a_n81_122#" 0.0858586
-cap "a_n1569_n100#" "a_n897_n100#" 20.9177
-cap "a_1839_122#" "a_2031_122#" 34.1645
-cap "a_831_n100#" "a_1407_n100#" 24.6699
-cap "a_255_n100#" "a_1503_n100#" 10.9371
-cap "a_447_n100#" "a_735_n100#" 53.425
-cap "a_n657_122#" "a_n945_n188#" 3.46589
-cap "a_495_122#" "a_1071_122#" 8.83801
-cap "a_1551_n188#" "a_1167_n188#" 14.0338
-cap "a_543_n100#" "a_159_n100#" 38.4743
-cap "a_n2001_122#" "a_n1041_122#" 5.07915
-cap "a_975_n188#" "a_1071_122#" 14.1667
-cap "a_927_n100#" "a_1311_n100#" 38.4743
-cap "a_n2433_n100#" "a_n1953_n100#" 30.0629
-cap "a_n657_122#" "a_n1905_n188#" 0.727455
-cap "a_n1425_122#" "a_n465_122#" 5.07915
-cap "a_n945_n188#" "a_111_122#" 0.863965
-cap "a_591_n188#" "a_15_n188#" 8.83801
-cap "a_n129_n100#" "a_n417_n100#" 53.425
-cap "a_15_n188#" "a_n1521_n188#" 3.10116
-cap "a_1167_n188#" "a_1935_n188#" 6.45081
-cap "a_2079_n100#" "a_639_n100#" 9.43637
-cap "a_351_n100#" "a_n321_n100#" 20.9177
-cap "a_n705_n100#" "a_n513_n100#" 87.4087
-cap "a_303_122#" "a_783_n188#" 1.97704
-cap "a_63_n100#" "a_n609_n100#" 20.9177
-cap "a_n1713_n188#" "a_n2673_n188#" 5.07915
-cap "a_n1713_n188#" "a_n2481_n188#" 6.45081
-cap "a_495_122#" "a_1263_122#" 6.45081
-cap "a_399_n188#" "a_1359_n188#" 5.07915
-cap "a_n2145_n100#" "a_n2529_n100#" 38.4743
-cap "a_1599_n100#" "a_1407_n100#" 87.4087
-cap "a_n2145_n100#" "a_n1185_n100#" 14.3638
-cap "a_447_n100#" "a_n1089_n100#" 8.83052
-cap "a_975_n188#" "a_1263_122#" 3.46589
-cap "a_n609_n100#" "a_n897_n100#" 53.425
-cap "a_1695_n100#" "a_1215_n100#" 30.0629
-cap "a_1983_n100#" "a_1311_n100#" 20.9177
-cap "a_n2145_n100#" "a_n1569_n100#" 24.6699
-cap "a_n2241_n100#" "a_n1089_n100#" 11.882
-cap "a_n2433_n100#" "a_n1473_n100#" 14.3638
-cap "a_n1425_122#" "a_n2385_122#" 5.07915
-cap "a_n1617_122#" "a_n465_122#" 4.18859
-cap "a_n1425_122#" "a_n369_n188#" 0.863965
-cap "a_n1377_n100#" "a_n1761_n100#" 38.4743
-cap "a_n2097_n188#" "a_n2289_n188#" 34.1645
-cap "a_n1233_122#" "a_n81_122#" 4.18859
-cap "a_n657_122#" "a_303_122#" 5.07915
-cap "a_2319_n188#" "a_783_n188#" 3.10116
-cap "a_n2337_n100#" "a_n1281_n100#" 13.0056
-cap "a_1743_n188#" "a_783_n188#" 5.07915
-cap "a_879_122#" "a_2415_122#" 3.10116
-cap "a_447_n100#" "a_1119_n100#" 20.9177
-cap "a_n657_122#" "a_n1041_122#" 14.0338
-cap "a_2079_n100#" "a_2031_122#" 6.25956
-cap "a_2511_n188#" "a_2799_122#" 3.46589
-cap "a_303_122#" "a_111_122#" 34.1645
-cap "a_1119_n100#" "a_1167_n188#" 6.25956
-cap "a_1407_n100#" "a_1503_n100#" 241.535
-cap "a_n1281_n100#" "a_n417_n100#" 16.0389
-cap "a_n1041_122#" "a_111_122#" 4.18859
-cap "a_207_n188#" "a_1071_122#" 1.06355
-cap "a_n1665_n100#" "a_n513_n100#" 11.882
-cap "a_2319_n188#" "a_2703_n188#" 14.0338
-cap "a_927_n100#" "a_n129_n100#" 13.0056
-cap "a_831_n100#" "a_n321_n100#" 11.882
-cap "a_1647_122#" "a_1599_n100#" 6.25956
-cap "a_1743_n188#" "a_2703_n188#" 5.07915
-cap "a_n273_122#" "a_687_122#" 5.07915
-cap "a_2079_n100#" "a_1023_n100#" 13.0056
-cap "a_n993_n100#" "a_n801_n100#" 87.4087
-cap "a_879_122#" "a_n81_122#" 5.07915
-cap "a_975_n188#" "a_927_n100#" 6.25956
-cap "a_543_n100#" "a_n513_n100#" 13.0056
-cap "a_n1233_122#" "a_n2673_n188#" 0.628198
-cap "a_n2721_n100#" "a_n2909_n100#" 87.4087
-cap "a_399_n188#" "a_n561_n188#" 5.07915
-cap "a_n2145_n100#" "a_n609_n100#" 8.83052
-cap "a_n1617_122#" "a_n369_n188#" 0.727455
-cap "a_n1617_122#" "a_n2385_122#" 6.45081
-cap "a_n1233_122#" "a_n2481_n188#" 0.727455
-cap "a_n1953_n100#" "a_n321_n100#" 8.29777
-cap "a_2127_n188#" "a_2223_122#" 14.1667
-cap "a_303_122#" "a_1455_122#" 4.18859
-cap "a_207_n188#" "a_1263_122#" 0.863965
-cap "a_2655_n100#" "a_1407_n100#" 10.9371
-cap "a_2127_n188#" "a_1839_122#" 3.46589
-cap "a_1743_n188#" "a_111_122#" 0.0858586
-cap "a_2511_n188#" "a_1551_n188#" 5.07915
-cap "a_2031_122#" "a_783_n188#" 0.727455
-cap "a_63_n100#" "a_n225_n100#" 53.425
-cap "a_1311_n100#" "a_735_n100#" 24.6699
-cap "a_2511_n188#" "a_1935_n188#" 8.83801
-cap "a_351_n100#" "a_831_n100#" 30.0629
-cap "a_n849_122#" "a_n801_n100#" 6.25956
-cap "a_n1809_122#" "a_n2865_n188#" 0.863965
-cap "a_2463_n100#" "a_1887_n100#" 24.6699
-cap "a_2703_n188#" "a_2031_122#" 1.38306
-cap "a_n2577_122#" "a_n2673_n188#" 14.1667
-cap "a_n1377_n100#" "a_n129_n100#" 10.9371
-cap "a_n1233_122#" "a_15_n188#" 0.727455
-cap "a_2223_122#" "a_1359_n188#" 1.06355
-cap "a_447_n100#" "a_1695_n100#" 10.9371
-cap "a_n225_n100#" "a_n897_n100#" 20.9177
-cap "a_n321_n100#" "a_n1473_n100#" 11.882
-cap "a_2607_122#" "a_2415_122#" 34.1645
-cap "a_2319_n188#" "a_1455_122#" 1.06355
-cap "a_n2577_122#" "a_n2481_n188#" 14.1667
-cap "a_447_n100#" "a_n801_n100#" 10.9371
-cap "a_n2625_n100#" "a_n2673_n188#" 6.25956
-cap "a_1743_n188#" "a_1455_122#" 3.46589
-cap "a_1839_122#" "a_1359_n188#" 1.97704
-cap "a_399_n188#" "a_n753_n188#" 4.18859
-cap "a_591_n188#" "a_687_122#" 14.1667
-cap "a_n273_122#" "a_n945_n188#" 1.38306
-cap "a_n1089_n100#" "a_n1761_n100#" 20.9177
-cap "a_n2865_n188#" "a_n1521_n188#" 3.56376
-cap "a_n2241_n100#" "a_n801_n100#" 9.43637
-cap "a_1263_122#" "a_1071_122#" 34.1645
-cap "a_1887_n100#" "a_2559_n100#" 20.9177
-cap "a_n1905_n188#" "a_n273_122#" 0.0858586
-cap "a_n1857_n100#" "a_n897_n100#" 14.3638
-cap "a_879_122#" "a_15_n188#" 1.06355
-cap "a_n2817_n100#" "a_n1953_n100#" 16.0389
-cap "a_351_n100#" "a_1599_n100#" 10.9371
-cap "a_n1425_122#" "a_207_n188#" 0.0858586
-cap "a_n849_122#" "a_n81_122#" 6.45081
-cap "a_2415_122#" "a_1167_n188#" 0.727455
-cap "a_n705_n100#" "a_639_n100#" 10.1315
-cap "a_n81_122#" "a_n177_n188#" 14.1667
-cap "a_1743_n188#" "a_1791_n100#" 6.25956
-cap "a_1791_n100#" "a_639_n100#" 11.882
-cap "a_2079_n100#" "a_2127_n188#" 6.25956
-cap "a_1167_n188#" "a_n81_122#" 0.727455
-cap "a_1119_n100#" "a_1311_n100#" 87.4087
-cap "a_n129_n100#" "a_735_n100#" 16.0389
-cap "a_n2193_122#" "a_n2673_n188#" 1.97704
-cap "a_1551_n188#" "a_495_122#" 0.863965
-cap "a_n1281_n100#" "a_n1377_n100#" 241.535
-cap "a_399_n188#" "a_n1137_n188#" 3.10116
-cap "a_n1809_122#" "a_n945_n188#" 1.06355
-cap "a_1455_122#" "a_2031_122#" 8.83801
-cap "a_2463_n100#" "a_1215_n100#" 10.9371
-cap "a_n2481_n188#" "a_n2193_122#" 3.46589
-cap "a_975_n188#" "a_1551_n188#" 8.83801
-cap "a_2175_n100#" "a_639_n100#" 8.83052
-cap "a_n33_n100#" "a_1215_n100#" 10.9371
-cap "a_n1665_n100#" "a_n2909_n100#" 10.9371
-cap "a_n1713_n188#" "a_n2865_n188#" 4.18859
-cap "a_495_122#" "a_1935_n188#" 0.628198
-cap "a_n2721_n100#" "a_n1185_n100#" 8.83052
-cap "a_n2721_n100#" "a_n2529_n100#" 87.4087
-cap "a_n2817_n100#" "a_n1473_n100#" 10.1315
-cap "a_n2481_n188#" "a_n849_122#" 0.0858586
-cap "a_n1809_122#" "a_n1905_n188#" 14.1667
-cap "a_303_122#" "a_n273_122#" 8.83801
-cap "a_351_n100#" "a_1503_n100#" 11.882
-cap "a_975_n188#" "a_1935_n188#" 5.07915
-cap "a_n1041_122#" "a_n273_122#" 6.45081
-cap "a_591_n188#" "a_n945_n188#" 3.10116
-cap "a_n2145_n100#" "a_n1857_n100#" 53.425
-cap "a_n1569_n100#" "a_n2721_n100#" 11.882
-cap "a_n945_n188#" "a_n1521_n188#" 8.83801
-cap "a_2559_n100#" "a_1215_n100#" 10.1315
-cap "a_927_n100#" "a_n417_n100#" 10.1315
-cap "a_n2049_n100#" "a_n2625_n100#" 24.6699
-cap "a_255_n100#" "a_63_n100#" 87.4087
-cap "a_n129_n100#" "a_n1089_n100#" 14.3638
-cap "a_n1905_n188#" "a_n1521_n188#" 14.0338
-cap "a_831_n100#" "a_1599_n100#" 18.1562
-cap "a_159_n100#" "a_1215_n100#" 13.0056
-cap "a_n2673_n188#" "a_n2769_122#" 14.1667
-cap "a_n2049_n100#" "a_n993_n100#" 13.0056
-cap "a_2127_n188#" "a_783_n188#" 3.56376
-cap "a_2271_n100#" "a_1407_n100#" 16.0389
-cap "a_n2481_n188#" "a_n2769_122#" 3.46589
-cap "a_n2001_122#" "a_n1329_n188#" 1.38306
-cap "a_n33_n100#" "a_n993_n100#" 14.3638
-cap "a_543_n100#" "a_639_n100#" 241.535
-cap "a_n657_122#" "a_n609_n100#" 6.25956
-cap "a_n849_122#" "a_15_n188#" 1.06355
-cap "a_1023_n100#" "a_1791_n100#" 18.1562
-cap "a_255_n100#" "a_n897_n100#" 11.882
-cap "a_n177_n188#" "a_15_n188#" 34.1645
-cap "a_n705_n100#" "a_n1185_n100#" 30.0629
-cap "a_2127_n188#" "a_2703_n188#" 8.83801
-cap "a_927_n100#" "a_2367_n100#" 9.43637
-cap "a_1119_n100#" "a_n129_n100#" 10.9371
-cap "a_n801_n100#" "a_n1761_n100#" 14.3638
-cap "a_1311_n100#" "a_1695_n100#" 38.4743
-cap "a_n1809_122#" "a_n1041_122#" 6.45081
-cap "a_n1569_n100#" "a_n705_n100#" 16.0389
-cap "a_n2337_n100#" "a_n1377_n100#" 14.3638
-cap "a_1167_n188#" "a_15_n188#" 4.18859
-cap "a_1551_n188#" "a_207_n188#" 3.56376
-cap "a_n1953_n100#" "a_n1473_n100#" 30.0629
-cap "a_n1713_n188#" "a_n945_n188#" 6.45081
-cap "a_1359_n188#" "a_783_n188#" 8.83801
-cap "a_1023_n100#" "a_2175_n100#" 11.882
-cap "a_159_n100#" "a_n993_n100#" 11.882
-cap "a_303_122#" "a_591_n188#" 3.46589
-cap "a_831_n100#" "a_1503_n100#" 20.9177
-cap "a_n1233_122#" "a_n2865_n188#" 0.0858586
-cap "a_n1377_n100#" "a_n417_n100#" 14.3638
-cap "a_2511_n188#" "a_2415_122#" 14.1667
-cap "a_n1713_n188#" "a_n1905_n188#" 34.1645
-cap "a_591_n188#" "a_n1041_122#" 0.0858586
-cap "a_n1041_122#" "a_n1521_n188#" 1.97704
-cap "a_2703_n188#" "a_1359_n188#" 3.56376
-cap "a_n1281_n100#" "a_n1089_n100#" 87.4087
-cap "a_1983_n100#" "a_2367_n100#" 38.4743
-cap "a_n657_122#" "a_n1329_n188#" 1.38306
-cap "a_n465_122#" "a_n81_122#" 14.0338
-cap "a_2607_122#" "a_2559_n100#" 6.25956
-cap "a_879_122#" "a_687_122#" 34.1645
-cap "a_447_n100#" "a_n33_n100#" 30.0629
-cap "a_399_n188#" "a_1647_122#" 0.727455
-cap "a_n2241_n100#" "a_n2049_n100#" 87.4087
-cap "a_1263_122#" "a_2799_122#" 3.10116
-cap "a_n1329_n188#" "a_111_122#" 0.628198
-cap "a_1407_n100#" "a_63_n100#" 10.1315
-cap "a_1599_n100#" "a_1503_n100#" 241.535
-cap "a_591_n188#" "a_639_n100#" 6.25956
-cap "a_1023_n100#" "a_543_n100#" 30.0629
-cap "a_n1665_n100#" "a_n2529_n100#" 16.0389
-cap "a_1743_n188#" "a_591_n188#" 4.18859
-cap "a_n705_n100#" "a_n609_n100#" 241.535
-cap "a_n1665_n100#" "a_n1185_n100#" 30.0629
-cap "a_2127_n188#" "a_1455_122#" 1.38306
-cap "a_n2865_n188#" "a_n2577_122#" 3.46589
-cap "a_n2001_122#" "a_n561_n188#" 0.628198
-cap "a_1359_n188#" "a_111_122#" 0.727455
-cap "a_1551_n188#" "a_1071_122#" 1.97704
-cap "a_927_n100#" "a_1983_n100#" 13.0056
-cap "a_n2433_n100#" "a_n897_n100#" 8.83052
-cap "a_447_n100#" "a_159_n100#" 53.425
-cap "a_n1569_n100#" "a_n1665_n100#" 241.535
-cap "a_n1713_n188#" "a_n1041_122#" 1.38306
-cap "a_1935_n188#" "a_1071_122#" 1.06355
-cap "a_n417_n100#" "a_735_n100#" 11.882
-cap "a_n561_n188#" "a_783_n188#" 3.56376
-cap "a_n369_n188#" "a_n81_122#" 3.46589
-cap "a_n129_n100#" "a_n801_n100#" 20.9177
-cap "a_n1233_122#" "a_n945_n188#" 3.46589
-cap "a_2655_n100#" "a_1599_n100#" 13.0056
-cap "a_1551_n188#" "a_1263_122#" 3.46589
-cap "a_n513_n100#" "a_n993_n100#" 30.0629
-cap "a_n1905_n188#" "a_n1233_122#" 1.38306
-cap "a_1359_n188#" "a_1455_122#" 14.1667
-cap "a_n2337_n100#" "a_n1089_n100#" 10.9371
-cap "a_n1425_122#" "a_n1377_n100#" 6.25956
-cap "a_n1425_122#" "a_n1617_122#" 34.1645
-cap "a_1263_122#" "a_1935_n188#" 1.38306
-cap "a_975_n188#" "a_2415_122#" 0.628198
-cap "a_n2385_122#" "a_n2673_n188#" 3.46589
-cap "a_591_n188#" "a_2031_122#" 0.628198
-cap "a_2367_n100#" "a_735_n100#" 8.29777
-cap "a_n417_n100#" "a_n1089_n100#" 20.9177
-cap "a_n2001_122#" "a_n753_n188#" 0.727455
-cap "a_n465_122#" "a_15_n188#" 1.97704
-cap "a_2127_n188#" "a_2175_n100#" 6.25956
-cap "a_n2865_n188#" "a_n2193_122#" 1.38306
-cap "a_n81_122#" "a_n129_n100#" 6.25956
-cap "a_n2385_122#" "a_n2481_n188#" 14.1667
-cap "a_n657_122#" "a_n561_n188#" 14.1667
-cap "a_495_122#" "a_n81_122#" 8.83801
-cap "a_n1665_n100#" "a_n609_n100#" 13.0056
-cap "a_687_122#" "a_n849_122#" 3.10116
-cap "a_n2145_n100#" "a_n2433_n100#" 53.425
-cap "a_n2577_122#" "a_n945_n188#" 0.0858586
-cap "a_975_n188#" "a_n81_122#" 0.863965
-cap "a_2655_n100#" "a_1503_n100#" 11.882
-cap "a_n561_n188#" "a_111_122#" 1.38306
-cap "a_687_122#" "a_n177_n188#" 1.06355
-cap "a_399_n188#" "a_351_n100#" 6.25956
-cap "a_543_n100#" "a_n609_n100#" 11.882
-cap "a_1119_n100#" "a_1071_122#" 6.25956
-cap "a_n753_n188#" "a_783_n188#" 3.10116
-cap "a_n2721_n100#" "a_n1857_n100#" 16.0389
-cap "a_n1905_n188#" "a_n2577_122#" 1.38306
-cap "a_63_n100#" "a_n321_n100#" 38.4743
-cap "a_n1281_n100#" "a_n801_n100#" 30.0629
-cap "a_303_122#" "a_n1233_122#" 3.10116
-cap "a_927_n100#" "a_735_n100#" 87.4087
-cap "a_1119_n100#" "a_n417_n100#" 8.83052
-cap "a_1167_n188#" "a_687_122#" 1.97704
-cap "a_1887_n100#" "a_639_n100#" 10.9371
-cap "a_n1041_122#" "a_n1233_122#" 34.1645
-cap "a_n945_n188#" "a_n993_n100#" 6.25956
-cap "a_447_n100#" "a_n513_n100#" 14.3638
-cap "a_n2049_n100#" "a_n1761_n100#" 53.425
-cap "a_1647_122#" "a_2223_122#" 8.83801
-cap "a_2511_n188#" "a_2463_n100#" 6.25956
-cap "a_n1569_n100#" "a_n1521_n188#" 6.25956
-cap "a_n369_n188#" "a_15_n188#" 14.0338
-cap "a_n705_n100#" "a_n225_n100#" 30.0629
-cap "a_n2865_n188#" "a_n2769_122#" 14.1667
-cap "a_n321_n100#" "a_n897_n100#" 24.6699
-cap "a_1647_122#" "a_1839_122#" 34.1645
-cap "a_2463_n100#" "a_1311_n100#" 11.882
-cap "a_n1137_n188#" "a_n2001_122#" 1.06355
-cap "a_303_122#" "a_879_122#" 8.83801
-cap "a_1311_n100#" "a_n33_n100#" 10.1315
-cap "a_n657_122#" "a_n753_n188#" 14.1667
-cap "a_2271_n100#" "a_831_n100#" 9.43637
-cap "a_1983_n100#" "a_735_n100#" 10.9371
-cap "a_2511_n188#" "a_2559_n100#" 6.25956
-cap "a_2367_n100#" "a_1119_n100#" 10.9371
-cap "a_n705_n100#" "a_n1857_n100#" 11.882
-cap "a_n753_n188#" "a_111_122#" 1.06355
-cap "a_n945_n188#" "a_n2193_122#" 0.727455
-cap "a_351_n100#" "a_63_n100#" 53.425
-cap "a_n1329_n188#" "a_n273_122#" 0.863965
-cap "a_1983_n100#" "a_1935_n188#" 6.25956
-cap "a_1311_n100#" "a_2559_n100#" 10.9371
-cap "a_n1041_122#" "a_n2577_122#" 3.10116
-cap "a_n945_n188#" "a_n849_122#" 14.1667
-cap "a_2079_n100#" "a_1407_n100#" 20.9177
-cap "a_n1905_n188#" "a_n2193_122#" 3.46589
-cap "a_207_n188#" "a_n81_122#" 3.46589
-cap "a_159_n100#" "a_1311_n100#" 11.882
-cap "a_n945_n188#" "a_n177_n188#" 6.45081
-cap "a_495_122#" "a_15_n188#" 1.97704
-cap "a_n273_122#" "a_1359_n188#" 0.0858586
-cap "a_n1905_n188#" "a_n849_122#" 0.863965
-cap "a_975_n188#" "a_15_n188#" 5.07915
-cap "a_n2145_n100#" "a_n2097_n188#" 6.25956
-cap "a_n2625_n100#" "a_n2909_n100#" 53.425
-cap "a_351_n100#" "a_n897_n100#" 10.9371
-cap "a_2319_n188#" "a_879_122#" 0.628198
-cap "a_927_n100#" "a_1119_n100#" 87.4087
-cap "a_1215_n100#" "a_639_n100#" 24.6699
-cap "a_n2337_n100#" "a_n801_n100#" 8.83052
-cap "a_1551_n188#" "a_2799_122#" 0.727455
-cap "a_1743_n188#" "a_879_122#" 1.06355
-cap "a_n1041_122#" "a_n993_n100#" 6.25956
-cap "a_1023_n100#" "a_1887_n100#" 16.0389
-cap "a_2271_n100#" "a_1599_n100#" 20.9177
-cap "a_n1665_n100#" "a_n225_n100#" 9.43637
-cap "a_1935_n188#" "a_2799_122#" 1.06355
-cap "a_n1137_n188#" "a_n657_122#" 1.97704
-cap "a_n417_n100#" "a_n801_n100#" 38.4743
-cap "a_2415_122#" "a_1071_122#" 3.56376
-cap "a_543_n100#" "a_n225_n100#" 18.1562
-cap "a_n1137_n188#" "a_111_122#" 0.727455
-cap "a_2127_n188#" "a_591_n188#" 3.10116
-cap "a_n1377_n100#" "a_n1089_n100#" 53.425
-cap "a_n273_122#" "a_n225_n100#" 6.25956
-cap "a_n1905_n188#" "a_n2769_122#" 1.06355
-cap "a_n1809_122#" "a_n1329_n188#" 1.97704
-cap "a_n33_n100#" "a_n129_n100#" 241.535
-cap "a_n705_n100#" "a_n753_n188#" 6.25956
-cap "a_n1665_n100#" "a_n1857_n100#" 87.4087
-cap "a_1983_n100#" "a_1119_n100#" 16.0389
-cap "a_n1041_122#" "a_n2193_122#" 4.18859
-cap "a_303_122#" "a_n849_122#" 4.18859
-cap "a_n81_122#" "a_1071_122#" 4.18859
-cap "a_831_n100#" "a_63_n100#" 18.1562
-cap "a_n993_n100#" "a_639_n100#" 8.29777
-cap "a_n465_122#" "a_687_122#" 4.18859
-cap "a_2367_n100#" "a_1695_n100#" 20.9177
-cap "a_2415_122#" "a_1263_122#" 4.18859
-cap "a_n1329_n188#" "a_n1521_n188#" 34.1645
-cap "a_n1041_122#" "a_n849_122#" 34.1645
-cap "a_303_122#" "a_n177_n188#" 1.97704
-cap "a_2271_n100#" "a_1503_n100#" 18.1562
-cap "a_n1041_122#" "a_n177_n188#" 1.06355
-cap "a_n465_122#" "a_n513_n100#" 6.25956
-cap "a_n1233_122#" "a_n1185_n100#" 6.25956
-cap "a_879_122#" "a_2031_122#" 4.18859
-cap "a_159_n100#" "a_n129_n100#" 53.425
-cap "a_1551_n188#" "a_1935_n188#" 14.0338
-cap "a_591_n188#" "a_1359_n188#" 6.45081
-cap "a_n513_n100#" "a_n1761_n100#" 10.9371
-cap "a_n561_n188#" "a_n273_122#" 3.46589
-cap "a_303_122#" "a_1167_n188#" 1.06355
-cap "a_n705_n100#" "a_255_n100#" 14.3638
-cap "a_207_n188#" "a_15_n188#" 34.1645
-cap "a_2367_n100#" "a_2415_122#" 6.25956
-cap "a_1263_122#" "a_n81_122#" 3.56376
-cap "a_1023_n100#" "a_1215_n100#" 87.4087
-cap "a_1791_n100#" "a_255_n100#" 8.83052
-cap "a_n2145_n100#" "a_n2817_n100#" 20.9177
-cap "a_2319_n188#" "a_2607_122#" 3.46589
-cap "a_927_n100#" "a_1695_n100#" 18.1562
-cap "a_n2241_n100#" "a_n2909_n100#" 20.9177
-cap "a_1743_n188#" "a_2607_122#" 1.06355
-cap "a_1647_122#" "a_783_n188#" 1.06355
-cap "a_2271_n100#" "a_2655_n100#" 38.4743
-cap "a_1599_n100#" "a_63_n100#" 8.83052
-cap "a_n1953_n100#" "a_n897_n100#" 13.0056
-cap "a_n2049_n100#" "a_n1281_n100#" 18.1562
-cap "a_n369_n188#" "a_687_122#" 0.863965
-cap "a_n1281_n100#" "a_n33_n100#" 10.9371
-cap "a_n2385_122#" "a_n2865_n188#" 1.97704
-cap "a_n2433_n100#" "a_n2721_n100#" 53.425
-cap "a_n2097_n188#" "a_n2001_122#" 14.1667
-cap "a_2703_n188#" "a_1647_122#" 0.863965
-cap "a_n1809_122#" "a_n1857_n100#" 6.25956
-cap "a_n2529_n100#" "a_n2577_122#" 6.25956
-cap "a_n1713_n188#" "a_n1329_n188#" 14.0338
-cap "a_447_n100#" "a_639_n100#" 87.4087
-cap "a_63_n100#" "a_n1473_n100#" 8.83052
-cap "a_2319_n188#" "a_1167_n188#" 4.18859
-cap "a_1743_n188#" "a_1167_n188#" 8.83801
-cap "a_n2625_n100#" "a_n2529_n100#" 241.535
-cap "a_n1809_122#" "a_n561_n188#" 0.727455
-cap "a_1983_n100#" "a_1695_n100#" 53.425
-cap "a_n2625_n100#" "a_n1185_n100#" 9.43637
-cap "a_n465_122#" "a_n945_n188#" 1.97704
-cap "a_n273_122#" "a_n753_n188#" 1.97704
-cap "a_1407_n100#" "a_1455_122#" 6.25956
-cap "a_1119_n100#" "a_735_n100#" 38.4743
-cap "a_159_n100#" "a_n1281_n100#" 9.43637
-cap "a_15_n188#" "a_1071_122#" 0.863965
-cap "a_n2529_n100#" "a_n993_n100#" 8.83052
-cap "a_63_n100#" "a_1503_n100#" 9.43637
-cap "a_n993_n100#" "a_n1185_n100#" 87.4087
-cap "a_n897_n100#" "a_n1473_n100#" 24.6699
-cap "a_n1905_n188#" "a_n465_122#" 0.628198
-cap "a_n1569_n100#" "a_n2625_n100#" 13.0056
-cap "a_591_n188#" "a_n561_n188#" 4.18859
-cap "a_495_122#" "a_687_122#" 34.1645
-cap "a_1407_n100#" "a_2847_n100#" 9.43637
-cap "a_1647_122#" "a_111_122#" 3.10116
-cap "a_2607_122#" "a_2031_122#" 8.83801
-cap "a_n561_n188#" "a_n1521_n188#" 5.07915
-cap "a_975_n188#" "a_687_122#" 3.46589
-cap "a_207_n188#" "a_159_n100#" 6.25956
-cap "a_543_n100#" "a_255_n100#" 53.425
-cap "a_n1377_n100#" "a_n801_n100#" 24.6699
-cap "a_n1569_n100#" "a_n993_n100#" 24.6699
-cap "a_n1425_122#" "a_n81_122#" 3.56376
-cap "a_n513_n100#" "a_n129_n100#" 38.4743
-cap "a_n2145_n100#" "a_n1953_n100#" 87.4087
-cap "a_n2097_n188#" "a_n657_122#" 0.628198
-cap "a_1263_122#" "a_15_n188#" 0.727455
-cap "a_1791_n100#" "a_1407_n100#" 38.4743
-cap "a_n2337_n100#" "a_n2049_n100#" 53.425
-cap "a_n369_n188#" "a_n945_n188#" 8.83801
-cap "a_n2385_122#" "a_n945_n188#" 0.628198
-cap "a_n1809_122#" "a_n753_n188#" 0.863965
-cap "a_1167_n188#" "a_2031_122#" 1.06355
-cap "a_1647_122#" "a_1455_122#" 34.1645
-cap "a_n1905_n188#" "a_n369_n188#" 3.10116
-cap "a_n1137_n188#" "a_n273_122#" 1.06355
-cap "a_n2049_n100#" "a_n417_n100#" 8.29777
-cap "a_2415_122#" "a_2799_122#" 14.0338
-cap "a_n2385_122#" "a_n1905_n188#" 1.97704
-cap "a_n1425_122#" "a_n2673_n188#" 0.727455
-cap "a_303_122#" "a_n465_122#" 6.45081
-cap "a_1023_n100#" "a_447_n100#" 24.6699
-cap "a_n1233_122#" "a_n1329_n188#" 14.1667
-cap "a_1407_n100#" "a_2175_n100#" 18.1562
-cap "a_n33_n100#" "a_n417_n100#" 38.4743
-cap "a_n1425_122#" "a_n2481_n188#" 0.863965
-cap "a_n1041_122#" "a_n465_122#" 8.83801
-cap "a_2127_n188#" "a_879_122#" 0.727455
-cap "a_n2145_n100#" "a_n1473_n100#" 20.9177
-cap "a_n1617_122#" "a_n81_122#" 3.10116
-cap "a_n1713_n188#" "a_n561_n188#" 4.18859
-cap "a_591_n188#" "a_n753_n188#" 3.56376
-cap "a_447_n100#" "a_n1185_n100#" 8.29777
-cap "a_n753_n188#" "a_n1521_n188#" 6.45081
-cap "a_2079_n100#" "a_831_n100#" 10.9371
-cap "a_n609_n100#" "a_n993_n100#" 38.4743
-cap "a_n2909_n100#" "a_n1761_n100#" 11.882
-cap "a_1695_n100#" "a_735_n100#" 14.3638
-cap "a_n2241_n100#" "a_n2529_n100#" 53.425
-cap "a_n2241_n100#" "a_n1185_n100#" 13.0056
-cap "a_n801_n100#" "a_735_n100#" 8.83052
-cap "a_495_122#" "a_n945_n188#" 0.628198
-cap "a_2751_n100#" "a_1407_n100#" 10.1315
-cap "a_n1281_n100#" "a_n513_n100#" 18.1562
-cap "a_207_n188#" "a_687_122#" 1.97704
-cap "a_2463_n100#" "a_2367_n100#" 241.535
-cap "a_159_n100#" "a_n417_n100#" 24.6699
-cap "a_n2433_n100#" "a_n1665_n100#" 18.1562
-cap "a_n2289_n188#" "a_n2001_122#" 3.46589
-cap "a_n2241_n100#" "a_n1569_n100#" 20.9177
-cap "a_303_122#" "a_n369_n188#" 1.38306
-cap "a_1551_n188#" "a_2415_122#" 1.06355
-cap "a_n1617_122#" "a_n2673_n188#" 0.863965
-cap "a_n1809_122#" "a_n1137_n188#" 1.38306
-cap "a_879_122#" "a_1359_n188#" 1.97704
-cap "a_n2577_122#" "a_n1329_n188#" 0.727455
-cap "a_n1425_122#" "a_15_n188#" 0.628198
-cap "a_543_n100#" "a_1407_n100#" 16.0389
-cap "a_2511_n188#" "a_2319_n188#" 34.1645
-cap "a_n1041_122#" "a_n369_n188#" 1.38306
-cap "a_2511_n188#" "a_1743_n188#" 6.45081
-cap "a_n2385_122#" "a_n1041_122#" 3.56376
-cap "a_n1617_122#" "a_n2481_n188#" 1.06355
-cap "a_2415_122#" "a_1935_n188#" 1.97704
-cap "a_2079_n100#" "a_1599_n100#" 30.0629
-cap "a_1311_n100#" "a_639_n100#" 20.9177
-cap "a_2367_n100#" "a_2559_n100#" 87.4087
-cap "a_831_n100#" "a_783_n188#" 6.25956
-cap "a_n705_n100#" "a_n321_n100#" 38.4743
-cap "a_n1089_n100#" "a_n801_n100#" 53.425
-cap "a_n2001_122#" "a_n1953_n100#" 6.25956
-cap "a_n1713_n188#" "a_n753_n188#" 5.07915
-cap "a_n1137_n188#" "a_n1521_n188#" 14.0338
-cap "a_1551_n188#" "a_n81_122#" 0.0858586
-cap "a_927_n100#" "a_2463_n100#" 8.83052
-cap "a_927_n100#" "a_n33_n100#" 14.3638
-cap "a_n225_n100#" "a_1215_n100#" 9.43637
-cap "a_447_n100#" "a_n609_n100#" 13.0056
-cap "a_n2721_n100#" "a_n2817_n100#" 241.535
-cap "a_2607_122#" "a_2127_n188#" 1.97704
-cap "a_n1233_122#" "a_n561_n188#" 1.38306
-cap "a_303_122#" "a_495_122#" 34.1645
-cap "a_687_122#" "a_1071_122#" 14.0338
-cap "a_n2241_n100#" "a_n609_n100#" 8.29777
-cap "a_n1617_122#" "a_15_n188#" 0.0858586
-cap "a_1119_n100#" "a_1695_n100#" 24.6699
-cap "a_n657_122#" "a_n2289_n188#" 0.0858586
-cap "a_495_122#" "a_n1041_122#" 3.10116
-cap "a_975_n188#" "a_303_122#" 1.38306
-cap "a_927_n100#" "a_2559_n100#" 8.29777
-cap "a_2079_n100#" "a_1503_n100#" 24.6699
-cap "a_2463_n100#" "a_1983_n100#" 30.0629
-cap "a_927_n100#" "a_159_n100#" 18.1562
-cap "a_n1329_n188#" "a_n2193_122#" 1.06355
-cap "a_207_n188#" "a_n945_n188#" 4.18859
-cap "a_2511_n188#" "a_2031_122#" 1.97704
-cap "a_351_n100#" "a_n705_n100#" 13.0056
-cap "a_879_122#" "a_n561_n188#" 0.628198
-cap "a_n1137_n188#" "a_n1713_n188#" 8.83801
-cap "a_n1329_n188#" "a_n849_122#" 1.97704
-cap "a_2127_n188#" "a_1167_n188#" 5.07915
-cap "a_n513_n100#" "a_n417_n100#" 241.535
-cap "a_1263_122#" "a_687_122#" 8.83801
-cap "a_351_n100#" "a_1791_n100#" 9.43637
-cap "a_n225_n100#" "a_n993_n100#" 18.1562
-cap "a_n2049_n100#" "a_n1377_n100#" 20.9177
-cap "a_n1329_n188#" "a_n177_n188#" 4.18859
-cap "a_2607_122#" "a_1359_n188#" 0.727455
-cap "a_n1665_n100#" "a_n321_n100#" 10.1315
-cap "a_1887_n100#" "a_255_n100#" 8.29777
-cap "a_n1377_n100#" "a_n33_n100#" 10.1315
-cap "a_1743_n188#" "a_495_122#" 0.727455
-cap "a_2079_n100#" "a_2655_n100#" 24.6699
-cap "a_1983_n100#" "a_2559_n100#" 24.6699
-cap "a_1023_n100#" "a_1311_n100#" 53.425
-cap "a_n129_n100#" "a_639_n100#" 18.1562
-cap "a_n2625_n100#" "a_n1857_n100#" 18.1562
-cap "a_n1185_n100#" "a_n1761_n100#" 24.6699
-cap "a_n2529_n100#" "a_n1761_n100#" 18.1562
-cap "a_1359_n188#" "a_n177_n188#" 3.10116
-cap "a_975_n188#" "a_2319_n188#" 3.56376
-cap "a_543_n100#" "a_n321_n100#" 16.0389
-cap "a_1743_n188#" "a_975_n188#" 6.45081
-cap "a_n1233_122#" "a_n753_n188#" 1.97704
-cap "a_n1857_n100#" "a_n993_n100#" 16.0389
-cap "a_n273_122#" "a_n321_n100#" 6.25956
-cap "a_1551_n188#" "a_15_n188#" 3.10116
-cap "a_n1569_n100#" "a_n1761_n100#" 87.4087
-cap "a_n2721_n100#" "a_n1953_n100#" 18.1562
-cap "a_n1329_n188#" "a_n2769_122#" 0.628198
-cap "a_1167_n188#" "a_1359_n188#" 34.1645
-cap "a_159_n100#" "a_n1377_n100#" 8.83052
-cap "a_n2909_n100#" "a_n1281_n100#" 8.29777
-cap "a_303_122#" "a_207_n188#" 14.1667
-cap "a_879_122#" "a_n753_n188#" 0.0858586
-cap "a_n177_n188#" "a_n225_n100#" 6.25956
-cap "a_n1041_122#" "a_207_n188#" 0.727455
-cap "a_591_n188#" "a_1647_122#" 0.863965
-cap "a_831_n100#" "a_n705_n100#" 8.83052
-cap "a_2271_n100#" "a_2223_122#" 6.25956
-cap "a_447_n100#" "a_n225_n100#" 20.9177
-cap "a_n1809_122#" "a_n2097_n188#" 3.46589
-cap "a_927_n100#" "a_n513_n100#" 9.43637
-cap "a_1791_n100#" "a_831_n100#" 14.3638
-cap "a_351_n100#" "a_543_n100#" 87.4087
-cap "a_495_122#" "a_2031_122#" 3.10116
-cap "a_n33_n100#" "a_735_n100#" 18.1562
-cap "a_n2721_n100#" "a_n1473_n100#" 10.9371
-cap "a_n1425_122#" "a_n2865_n188#" 0.628198
-cap "a_n561_n188#" "a_n2193_122#" 0.0858586
-cap "a_n1137_n188#" "a_n1233_122#" 14.1667
-cap "a_975_n188#" "a_2031_122#" 0.863965
-cap "a_n1665_n100#" "a_n2817_n100#" 11.882
-cap "a_2655_n100#" "a_2703_n188#" 6.25956
-cap "a_255_n100#" "a_1215_n100#" 14.3638
-cap "a_n561_n188#" "a_n849_122#" 3.46589
-cap "a_1023_n100#" "a_n129_n100#" 11.882
-cap "a_n609_n100#" "a_n1761_n100#" 11.882
-cap "a_n705_n100#" "a_n1953_n100#" 10.9371
-cap "a_399_n188#" "a_1839_122#" 0.628198
-cap "a_1599_n100#" "a_2847_n100#" 10.9371
-cap "a_n2097_n188#" "a_n1521_n188#" 8.83801
-cap "a_n561_n188#" "a_n177_n188#" 14.0338
-cap "a_975_n188#" "a_1023_n100#" 6.25956
-cap "a_831_n100#" "a_2175_n100#" 10.1315
-cap "a_1743_n188#" "a_207_n188#" 3.10116
-cap "a_1887_n100#" "a_1407_n100#" 30.0629
-cap "a_n129_n100#" "a_n1185_n100#" 13.0056
-cap "a_n2241_n100#" "a_n1857_n100#" 38.4743
-cap "a_63_n100#" "a_n897_n100#" 14.3638
-cap "a_159_n100#" "a_735_n100#" 24.6699
-cap "a_n2049_n100#" "a_n1089_n100#" 14.3638
-cap "a_303_122#" "a_1071_122#" 6.45081
-cap "a_1791_n100#" "a_1599_n100#" 87.4087
-cap "a_n33_n100#" "a_n1089_n100#" 13.0056
-cap "a_n1569_n100#" "a_n129_n100#" 9.43637
-cap "a_2511_n188#" "a_2127_n188#" 14.0338
-cap "a_n2337_n100#" "a_n2909_n100#" 24.6699
-cap "a_1455_122#" "a_1503_n100#" 6.25956
-cap "a_n1617_122#" "a_n2865_n188#" 0.727455
-cap "a_255_n100#" "a_n993_n100#" 10.9371
-cap "a_n1137_n188#" "a_n2577_122#" 0.628198
-cap "a_n705_n100#" "a_n1473_n100#" 18.1562
-cap "a_n513_n100#" "a_n1377_n100#" 16.0389
-cap "a_n753_n188#" "a_n2193_122#" 0.628198
-cap "a_n1329_n188#" "a_n465_122#" 1.06355
-cap "a_2847_n100#" "a_1503_n100#" 10.1315
-cap "a_303_122#" "a_1263_122#" 5.07915
-cap "a_1599_n100#" "a_2175_n100#" 24.6699
-cap "a_2463_n100#" "a_1119_n100#" 10.1315
-cap "a_543_n100#" "a_831_n100#" 53.425
-cap "a_n849_122#" "a_n753_n188#" 14.1667
-cap "a_n2097_n188#" "a_n1713_n188#" 14.0338
-cap "a_1119_n100#" "a_n33_n100#" 11.882
-cap "a_159_n100#" "a_n1089_n100#" 10.9371
-cap "a_n1425_122#" "a_n945_n188#" 1.97704
-cap "a_n753_n188#" "a_n177_n188#" 8.83801
-cap "a_2319_n188#" "a_1071_122#" 0.727455
-cap "a_n1665_n100#" "a_n1953_n100#" 53.425
-cap "a_1743_n188#" "a_1071_122#" 1.38306
-cap "a_2511_n188#" "a_1359_n188#" 4.18859
-cap "a_2271_n100#" "a_2079_n100#" 87.4087
-cap "a_n1425_122#" "a_n1905_n188#" 1.97704
-cap "a_1791_n100#" "a_1503_n100#" 53.425
-cap "a_1311_n100#" "a_1359_n188#" 6.25956
-cap "a_n1281_n100#" "a_n1185_n100#" 241.535
-cap "a_n2529_n100#" "a_n1281_n100#" 10.9371
-cap "a_2751_n100#" "a_1599_n100#" 11.882
-cap "a_2655_n100#" "a_2847_n100#" 87.4087
-cap "a_1407_n100#" "a_1215_n100#" 87.4087
-cap "a_1119_n100#" "a_2559_n100#" 9.43637
-cap "a_n417_n100#" "a_639_n100#" 13.0056
-cap "a_n129_n100#" "a_n609_n100#" 30.0629
-cap "a_1551_n188#" "a_687_122#" 1.06355
-cap "a_1119_n100#" "a_159_n100#" 14.3638
-cap "a_n1569_n100#" "a_n1281_n100#" 53.425
-cap "a_687_122#" "a_735_n100#" 6.25956
-cap "a_n369_n188#" "a_n1329_n188#" 5.07915
-cap "a_2319_n188#" "a_1263_122#" 0.863965
-cap "a_n2385_122#" "a_n1329_n188#" 0.863965
-cap "a_1743_n188#" "a_1263_122#" 1.97704
-cap "a_2175_n100#" "a_1503_n100#" 20.9177
-cap "a_543_n100#" "a_1599_n100#" 13.0056
-cap "a_n1137_n188#" "a_n2193_122#" 0.863965
-cap "a_447_n100#" "a_255_n100#" 87.4087
-cap "a_n2145_n100#" "a_n897_n100#" 10.9371
-cap "a_n1809_122#" "a_n2289_n188#" 1.97704
-cap "a_687_122#" "a_1935_n188#" 0.727455
-cap "a_2655_n100#" "a_1791_n100#" 16.0389
-cap "a_n513_n100#" "a_735_n100#" 10.9371
-cap "a_n1665_n100#" "a_n1473_n100#" 87.4087
-cap "a_n225_n100#" "a_n1761_n100#" 8.83052
-cap "a_n1617_122#" "a_n945_n188#" 1.38306
-cap "a_n1137_n188#" "a_n849_122#" 3.46589
-cap "a_n2433_n100#" "a_n2625_n100#" 87.4087
+cap "a_303_122#" "a_639_n100#" 0.158349
+cap "a_n2433_n100#" "a_n1665_n100#" 0.746023
+cap "a_447_n100#" "a_63_n100#" 0.941673
+cap "a_n2241_n100#" "a_n2289_n188#" 3.72042
+cap "a_n1569_n100#" "a_n1329_n188#" 0.162433
+cap "a_n1089_n100#" "a_n1905_n188#" 0.117997
+cap "a_n369_n188#" "a_n1857_n100#" 0.0213968
+cap "a_2655_n100#" "a_2127_n188#" 0.146013
+cap "a_2655_n100#" "a_2079_n100#" 0.859064
+cap "a_447_n100#" "a_111_122#" 0.158349
+cap "a_927_n100#" "a_351_n100#" 0.859064
+cap "a_1215_n100#" "a_2127_n188#" 0.106443
+cap "a_639_n100#" "a_1311_n100#" 0.806121
+cap "a_1215_n100#" "a_2079_n100#" 0.679303
+cap "a_2607_122#" "a_2367_n100#" 0.162433
+cap "a_1743_n188#" "a_1167_n188#" 0.600386
+cap "a_255_n100#" "a_n1377_n100#" 0.00232329
+cap "a_n2193_122#" "a_n705_n100#" 0.0213968
+cap "a_n2673_n188#" "a_n2817_n100#" 0.165075
+cap "a_n1569_n100#" "a_n705_n100#" 0.679303
+cap "a_n561_n188#" "a_207_n188#" 0.523066
+cap "a_n177_n188#" "a_831_n100#" 0.093944
+cap "a_1071_122#" "a_2559_n100#" 0.0213968
+cap "a_n1857_n100#" "a_n1377_n100#" 0.904382
+cap "a_n513_n100#" "a_n1521_n188#" 0.093944
+cap "a_n1665_n100#" "a_n2865_n188#" 0.0665629
+cap "a_n2337_n100#" "a_n1233_122#" 0.0806112
+cap "a_2511_n188#" "a_1599_n100#" 0.106443
+cap "a_1983_n100#" "a_639_n100#" 0.268853
+cap "a_n1233_122#" "a_n417_n100#" 0.117997
+cap "a_831_n100#" "a_1215_n100#" 0.941673
+cap "a_2703_n188#" "a_2367_n100#" 0.158349
+cap "a_1743_n188#" "a_2847_n100#" 0.0806112
+cap "a_1503_n100#" "a_2223_122#" 0.128504
+cap "a_n1713_n188#" "a_n1329_n188#" 0.656437
+cap "a_n1233_122#" "a_n609_n100#" 0.13787
+cap "a_1647_122#" "a_159_n100#" 0.0213968
+cap "a_n2673_n188#" "a_n2289_n188#" 0.656437
+cap "a_n2145_n100#" "a_n1377_n100#" 0.746023
+cap "a_n993_n100#" "a_n2097_n188#" 0.0806112
+cap "a_n2529_n100#" "a_n2817_n100#" 0.970605
+cap "a_n1089_n100#" "a_n801_n100#" 0.970605
+cap "a_n1713_n188#" "a_n705_n100#" 0.093944
+cap "a_2511_n188#" "a_2175_n100#" 0.158349
+cap "a_1551_n188#" "a_927_n100#" 0.13787
+cap "a_n1473_n100#" "a_n1857_n100#" 0.941673
+cap "a_n1761_n100#" "a_n2337_n100#" 0.859064
+cap "a_n1281_n100#" "a_n33_n100#" 0.358817
+cap "a_n1761_n100#" "a_n417_n100#" 0.268853
+cap "a_n2577_122#" "a_n1281_n100#" 0.0519237
+cap "a_n1857_n100#" "a_n2625_n100#" 0.746023
+cap "a_n1953_n100#" "a_n1329_n188#" 0.13787
+cap "a_255_n100#" "a_351_n100#" 147.936
+cap "a_n1761_n100#" "a_n609_n100#" 0.445596
+cap "a_n657_122#" "a_879_122#" 0.0649833
+cap "a_1071_122#" "a_2223_122#" 0.316232
+cap "a_n2145_n100#" "a_n1473_n100#" 0.806121
+cap "a_1935_n188#" "a_2127_n188#" 25.1921
+cap "a_n2529_n100#" "a_n2289_n188#" 0.162433
+cap "a_1935_n188#" "a_2079_n100#" 0.165075
+cap "a_n2577_122#" "a_n2385_122#" 25.1921
+cap "a_591_n188#" "a_159_n100#" 0.152859
+cap "a_1167_n188#" "a_399_n188#" 0.523066
+cap "a_n1953_n100#" "a_n705_n100#" 0.358817
+cap "a_63_n100#" "a_639_n100#" 0.859064
+cap "a_n1329_n188#" "a_n2241_n100#" 0.106443
+cap "a_n2909_n100#" "a_n2001_122#" 0.106443
+cap "a_n2769_122#" "a_n2001_122#" 0.523066
+cap "a_n81_122#" "a_n129_n100#" 3.72042
+cap "a_n2145_n100#" "a_n2625_n100#" 0.904382
+cap "a_543_n100#" "a_n129_n100#" 0.806121
+cap "a_1119_n100#" "a_2463_n100#" 0.268853
+cap "a_n1905_n188#" "a_n2721_n100#" 0.117997
+cap "a_n945_n188#" "a_n1137_n188#" 25.1921
+cap "a_639_n100#" "a_111_122#" 0.146013
+cap "a_n2433_n100#" "a_n1377_n100#" 0.52842
+cap "a_1599_n100#" "a_303_122#" 0.0519237
+cap "a_n2241_n100#" "a_n705_n100#" 0.0825857
+cap "a_n2049_n100#" "a_n1809_122#" 0.162433
+cap "a_159_n100#" "a_879_122#" 0.128504
+cap "a_n225_n100#" "a_n1617_122#" 0.0368237
+cap "a_n1185_n100#" "a_n1809_122#" 0.13787
+cap "a_1935_n188#" "a_831_n100#" 0.0806112
+cap "a_n177_n188#" "a_1407_n100#" 0.00578002
+cap "a_n945_n188#" "a_399_n188#" 0.194045
+cap "a_n993_n100#" "a_303_122#" 0.0519237
+cap "a_1599_n100#" "a_1311_n100#" 0.970605
+cap "a_2655_n100#" "a_1407_n100#" 0.358817
+cap "a_1215_n100#" "a_1407_n100#" 0.990922
+cap "a_1743_n188#" "a_2463_n100#" 0.128504
+cap "a_2079_n100#" "a_2127_n188#" 3.72042
+cap "a_1551_n188#" "a_255_n100#" 0.0519237
+cap "a_n561_n188#" "a_975_n188#" 0.0649833
+cap "a_735_n100#" "a_687_122#" 3.72042
+cap "a_n1377_n100#" "a_n2865_n188#" 0.0213968
+cap "a_735_n100#" "a_1119_n100#" 0.941673
+cap "a_n2433_n100#" "a_n1473_n100#" 0.606553
+cap "a_n945_n188#" "a_n849_122#" 13.3333
+cap "a_447_n100#" "a_n369_n188#" 0.117997
+cap "a_n945_n188#" "a_n897_n100#" 3.72042
+cap "a_n2673_n188#" "a_n1329_n188#" 0.194045
+cap "a_1887_n100#" "a_2751_n100#" 0.679303
+cap "a_2751_n100#" "a_1695_n100#" 0.52842
+cap "a_2175_n100#" "a_1311_n100#" 0.679303
+cap "a_n2433_n100#" "a_n2625_n100#" 0.990922
+cap "a_1599_n100#" "a_1983_n100#" 0.941673
+cap "a_1455_122#" "a_2415_122#" 0.427216
+cap "a_831_n100#" "a_2127_n188#" 0.0519237
+cap "a_831_n100#" "a_2079_n100#" 0.358817
+cap "a_n561_n188#" "a_n753_n188#" 25.1921
+cap "a_n81_122#" "a_495_122#" 0.600386
+cap "a_735_n100#" "a_1743_n188#" 0.093944
+cap "a_n1473_n100#" "a_n2865_n188#" 0.0368237
+cap "a_543_n100#" "a_495_122#" 3.72042
+cap "a_1983_n100#" "a_2175_n100#" 0.990922
+cap "a_1791_n100#" "a_2415_122#" 0.13787
+cap "a_n2625_n100#" "a_n2865_n188#" 0.162433
+cap "a_2799_122#" "a_1887_n100#" 0.106443
+cap "a_n2529_n100#" "a_n1329_n188#" 0.0665629
+cap "a_2799_122#" "a_1695_n100#" 0.0806112
+cap "a_n177_n188#" "a_n417_n100#" 0.162433
+cap "a_n1569_n100#" "a_n129_n100#" 0.176503
+cap "a_687_122#" "a_783_n188#" 13.3333
+cap "a_n801_n100#" "a_687_122#" 0.0213968
+cap "a_303_122#" "a_1839_122#" 0.0649833
+cap "a_1119_n100#" "a_783_n188#" 0.158349
+cap "a_n1425_122#" "a_n801_n100#" 0.13787
+cap "a_n81_122#" "a_n33_n100#" 3.72042
+cap "a_1647_122#" "a_1455_122#" 25.1921
+cap "a_543_n100#" "a_n33_n100#" 0.859064
+cap "a_n177_n188#" "a_n609_n100#" 0.152859
+cap "a_n417_n100#" "a_1215_n100#" 0.00232329
+cap "a_1023_n100#" "a_159_n100#" 0.679303
+cap "a_n273_122#" "a_303_122#" 0.600386
+cap "a_1311_n100#" "a_1839_122#" 0.146013
+cap "a_1599_n100#" "a_63_n100#" 0.0825857
+cap "a_1935_n188#" "a_1407_n100#" 0.146013
+cap "a_n513_n100#" "a_n945_n188#" 0.152859
+cap "a_1599_n100#" "a_111_122#" 0.0213968
+cap "a_n273_122#" "a_1311_n100#" 0.00578002
+cap "a_1647_122#" "a_1791_n100#" 0.165075
+cap "a_n993_n100#" "a_63_n100#" 0.52842
+cap "a_927_n100#" "a_15_n188#" 0.106443
+cap "a_303_122#" "a_n321_n100#" 0.13787
+cap "a_n1905_n188#" "a_n1137_n188#" 0.523066
+cap "a_1743_n188#" "a_783_n188#" 0.427216
+cap "a_255_n100#" "a_n1185_n100#" 0.176503
+cap "a_447_n100#" "a_351_n100#" 147.936
+cap "a_543_n100#" "a_1359_n188#" 0.117997
+cap "a_n993_n100#" "a_111_122#" 0.0806112
+cap "a_2031_122#" "a_2415_122#" 0.656437
+cap "a_n1713_n188#" "a_n129_n100#" 0.00578002
+cap "a_n369_n188#" "a_639_n100#" 0.093944
+cap "a_1983_n100#" "a_1839_122#" 0.165075
+cap "a_n1857_n100#" "a_n2049_n100#" 0.990922
+cap "a_207_n188#" "a_159_n100#" 3.72042
+cap "a_1311_n100#" "a_n321_n100#" 0.00232329
+cap "a_n1857_n100#" "a_n1185_n100#" 0.806121
+cap "a_n1281_n100#" "a_n2097_n188#" 0.117997
+cap "a_735_n100#" "a_399_n188#" 0.158349
+cap "a_n1089_n100#" "a_n1233_122#" 0.165075
+cap "a_2079_n100#" "a_1407_n100#" 0.806121
+cap "a_2127_n188#" "a_1407_n100#" 0.128504
+cap "a_1791_n100#" "a_591_n188#" 0.0665629
+cap "a_1455_122#" "a_879_122#" 0.600386
+cap "a_n2145_n100#" "a_n2049_n100#" 147.936
+cap "a_n2145_n100#" "a_n1185_n100#" 0.606553
+cap "a_n897_n100#" "a_n1905_n188#" 0.093944
+cap "a_1503_n100#" "a_2751_n100#" 0.358817
+cap "a_735_n100#" "a_n849_122#" 0.00578002
+cap "a_735_n100#" "a_n897_n100#" 0.00232329
+cap "a_1647_122#" "a_2031_122#" 0.656437
+cap "a_n993_n100#" "a_n1665_n100#" 0.806121
+cap "a_n801_n100#" "a_n1137_n188#" 0.158349
+cap "a_n1761_n100#" "a_n1089_n100#" 0.806121
+cap "a_831_n100#" "a_1407_n100#" 0.859064
+cap "a_1791_n100#" "a_879_122#" 0.106443
+cap "a_447_n100#" "a_1551_n188#" 0.0806112
+cap "a_n1569_n100#" "a_n33_n100#" 0.0825857
+cap "a_n2577_122#" "a_n2193_122#" 0.656437
+cap "a_n1569_n100#" "a_n2577_122#" 0.093944
+cap "a_255_n100#" "a_15_n188#" 0.162433
+cap "a_687_122#" "a_1263_122#" 0.600386
+cap "a_1119_n100#" "a_1263_122#" 0.165075
+cap "a_n561_n188#" "a_n1329_n188#" 0.523066
+cap "a_n801_n100#" "a_399_n188#" 0.0665629
+cap "a_783_n188#" "a_399_n188#" 0.656437
+cap "a_n273_122#" "a_63_n100#" 0.158349
+cap "a_2799_122#" "a_1503_n100#" 0.0519237
+cap "a_n561_n188#" "a_n705_n100#" 0.165075
+cap "a_n1281_n100#" "a_303_122#" 0.00578002
+cap "a_n273_122#" "a_111_122#" 0.656437
+cap "a_159_n100#" "a_1695_n100#" 0.0825857
+cap "a_n2433_n100#" "a_n2049_n100#" 0.941673
+cap "a_n2433_n100#" "a_n1185_n100#" 0.358817
+cap "a_351_n100#" "a_639_n100#" 0.970605
+cap "a_n801_n100#" "a_n849_122#" 3.72042
+cap "a_63_n100#" "a_n321_n100#" 0.941673
+cap "a_2271_n100#" "a_2559_n100#" 0.970605
+cap "a_n801_n100#" "a_n897_n100#" 147.936
+cap "a_111_122#" "a_n321_n100#" 0.152859
+cap "a_1119_n100#" "a_2319_n188#" 0.0665629
+cap "a_2847_n100#" "a_2415_122#" 0.152859
+cap "a_n513_n100#" "a_n1905_n188#" 0.0368237
+cap "a_2031_122#" "a_879_122#" 0.316232
+cap "a_735_n100#" "a_n513_n100#" 0.358817
+cap "a_n1233_122#" "a_n2721_n100#" 0.0213968
+cap "a_n2049_n100#" "a_n2865_n188#" 0.117997
+cap "a_1023_n100#" "a_1455_122#" 0.152859
+cap "a_n417_n100#" "a_831_n100#" 0.358817
+cap "a_159_n100#" "a_975_n188#" 0.117997
+cap "a_n657_122#" "a_n753_n188#" 13.3333
+cap "a_n273_122#" "a_n1665_n100#" 0.0368237
+cap "a_n369_n188#" "a_n993_n100#" 0.13787
+cap "a_831_n100#" "a_n609_n100#" 0.176503
+cap "a_1743_n188#" "a_2319_n188#" 0.600386
+cap "a_n2577_122#" "a_n1953_n100#" 0.13787
+cap "a_1791_n100#" "a_1023_n100#" 0.746023
+cap "a_447_n100#" "a_n1185_n100#" 0.00232329
+cap "a_1647_122#" "a_2847_n100#" 0.0665629
+cap "a_n1761_n100#" "a_n2721_n100#" 0.606553
+cap "a_1551_n188#" "a_639_n100#" 0.106443
+cap "a_n1041_122#" "a_n705_n100#" 0.158349
+cap "a_n1665_n100#" "a_n321_n100#" 0.268853
+cap "a_n1425_122#" "a_n1233_122#" 25.1921
+cap "a_2271_n100#" "a_2223_122#" 3.72042
+cap "a_n2577_122#" "a_n2241_n100#" 0.158349
+cap "a_1359_n188#" "a_2559_n100#" 0.0665629
+cap "a_n993_n100#" "a_n1377_n100#" 0.941673
+cap "a_159_n100#" "a_n753_n188#" 0.106443
+cap "a_591_n188#" "a_1167_n188#" 0.600386
+cap "a_n513_n100#" "a_783_n188#" 0.0519237
+cap "a_n513_n100#" "a_n801_n100#" 0.970605
+cap "a_n1089_n100#" "a_n177_n188#" 0.106443
+cap "a_n2481_n188#" "a_n2337_n100#" 0.165075
+cap "a_1791_n100#" "a_207_n188#" 0.00578002
+cap "a_63_n100#" "a_n1281_n100#" 0.268853
+cap "a_n1425_122#" "a_n1761_n100#" 0.158349
+cap "a_n465_122#" "a_735_n100#" 0.0665629
+cap "a_n1281_n100#" "a_111_122#" 0.0368237
+cap "a_591_n188#" "a_n945_n188#" 0.0649833
+cap "a_n1905_n188#" "a_n2001_122#" 13.3333
+cap "a_n993_n100#" "a_n1473_n100#" 0.904382
+cap "a_2415_122#" "a_2463_n100#" 3.72042
+cap "a_2031_122#" "a_1023_n100#" 0.093944
+cap "a_n993_n100#" "a_n2625_n100#" 0.00232329
+cap "a_n2577_122#" "a_n2673_n188#" 13.3333
+cap "a_1503_n100#" "a_159_n100#" 0.268853
+cap "a_1599_n100#" "a_351_n100#" 0.358817
+cap "a_n81_122#" "a_303_122#" 0.656437
+cap "a_543_n100#" "a_303_122#" 0.162433
+cap "a_n369_n188#" "a_n273_122#" 13.3333
+cap "a_447_n100#" "a_15_n188#" 0.152859
+cap "a_n225_n100#" "a_n1809_122#" 0.00578002
+cap "a_n993_n100#" "a_351_n100#" 0.268853
+cap "a_n81_122#" "a_1311_n100#" 0.0368237
+cap "a_1887_n100#" "a_1455_122#" 0.152859
+cap "a_543_n100#" "a_1311_n100#" 0.746023
+cap "a_1455_122#" "a_1695_n100#" 0.162433
+cap "a_n465_122#" "a_n801_n100#" 0.158349
+cap "a_n369_n188#" "a_n321_n100#" 3.72042
+cap "a_n1569_n100#" "a_n2097_n188#" 0.146013
+cap "a_n2097_n188#" "a_n2193_122#" 13.3333
+cap "a_n273_122#" "a_n1377_n100#" 0.0806112
+cap "a_n1281_n100#" "a_n1665_n100#" 0.941673
+cap "a_1647_122#" "a_2463_n100#" 0.117997
+cap "a_n1233_122#" "a_n1137_n188#" 13.3333
+cap "a_1071_122#" "a_159_n100#" 0.106443
+cap "a_n2577_122#" "a_n2529_n100#" 3.72042
+cap "a_n801_n100#" "a_n2001_122#" 0.0665629
+cap "a_1887_n100#" "a_1791_n100#" 147.936
+cap "a_n561_n188#" "a_n129_n100#" 0.152859
+cap "a_1791_n100#" "a_1695_n100#" 147.936
+cap "a_543_n100#" "a_1983_n100#" 0.176503
+cap "a_n2385_122#" "a_n1665_n100#" 0.128504
+cap "a_n1377_n100#" "a_n321_n100#" 0.52842
+cap "a_927_n100#" "a_n225_n100#" 0.445596
+cap "a_1551_n188#" "a_1599_n100#" 3.72042
+cap "a_1023_n100#" "a_1167_n188#" 0.165075
+cap "a_n657_122#" "a_n705_n100#" 3.72042
+cap "a_n273_122#" "a_n1473_n100#" 0.0665629
+cap "a_n1761_n100#" "a_n1137_n188#" 0.13787
+cap "a_1647_122#" "a_735_n100#" 0.106443
+cap "a_n1713_n188#" "a_n2097_n188#" 0.656437
+cap "a_n1233_122#" "a_n849_122#" 0.656437
+cap "a_n1233_122#" "a_n897_n100#" 0.158349
+cap "a_n2769_122#" "a_n2817_n100#" 3.72042
+cap "a_n2909_n100#" "a_n2817_n100#" 147.917
+cap "a_159_n100#" "a_n1329_n188#" 0.0213968
+cap "a_1551_n188#" "a_2175_n100#" 0.13787
+cap "a_351_n100#" "a_1839_122#" 0.0213968
+cap "a_n1473_n100#" "a_n321_n100#" 0.445596
+cap "a_1791_n100#" "a_975_n188#" 0.117997
+cap "a_n417_n100#" "a_n609_n100#" 0.990922
+cap "a_2511_n188#" "a_2559_n100#" 3.72042
+cap "a_1119_n100#" "a_n177_n188#" 0.0519237
+cap "a_159_n100#" "a_n705_n100#" 0.679303
+cap "a_n2817_n100#" "a_n1521_n188#" 0.0519237
+cap "a_n273_122#" "a_351_n100#" 0.13787
+cap "a_2463_n100#" "a_879_122#" 0.00578002
+cap "a_n1521_n188#" "a_n753_n188#" 0.523066
+cap "a_1887_n100#" "a_2031_122#" 0.165075
+cap "a_1119_n100#" "a_2655_n100#" 0.0825857
+cap "a_2031_122#" "a_1695_n100#" 0.158349
+cap "a_n81_122#" "a_63_n100#" 0.165075
+cap "a_15_n188#" "a_639_n100#" 0.13787
+cap "a_207_n188#" "a_1167_n188#" 0.427216
+cap "a_543_n100#" "a_63_n100#" 0.904382
+cap "a_n1617_122#" "a_n1809_122#" 25.1921
+cap "a_n1953_n100#" "a_n2097_n188#" 0.165075
+cap "a_687_122#" "a_1215_n100#" 0.146013
+cap "a_1119_n100#" "a_1215_n100#" 147.936
+cap "a_n1761_n100#" "a_n849_122#" 0.106443
+cap "a_n1761_n100#" "a_n897_n100#" 0.679303
+cap "a_n2909_n100#" "a_n2289_n188#" 0.13787
+cap "a_n81_122#" "a_111_122#" 25.1921
+cap "a_543_n100#" "a_111_122#" 0.152859
+cap "a_735_n100#" "a_591_n188#" 0.165075
+cap "a_n1041_122#" "a_n129_n100#" 0.106443
+cap "a_n369_n188#" "a_n1281_n100#" 0.106443
+cap "a_351_n100#" "a_n321_n100#" 0.806121
+cap "a_n2097_n188#" "a_n2241_n100#" 0.165075
+cap "a_n225_n100#" "a_255_n100#" 0.904382
+cap "a_n1521_n188#" "a_n2289_n188#" 0.523066
+cap "a_207_n188#" "a_n945_n188#" 0.316232
+cap "a_735_n100#" "a_879_122#" 0.165075
+cap "a_1743_n188#" "a_2655_n100#" 0.106443
+cap "a_n225_n100#" "a_n1857_n100#" 0.00232329
+cap "a_n1281_n100#" "a_n1377_n100#" 147.936
+cap "a_1743_n188#" "a_1215_n100#" 0.146013
+cap "a_1503_n100#" "a_1455_122#" 3.72042
+cap "a_n561_n188#" "a_n33_n100#" 0.146013
+cap "a_n1233_122#" "a_n513_n100#" 0.128504
+cap "a_n2385_122#" "a_n1377_n100#" 0.093944
+cap "a_n81_122#" "a_n1665_n100#" 0.00578002
+cap "a_n993_n100#" "a_n2049_n100#" 0.52842
+cap "a_n993_n100#" "a_n1185_n100#" 0.990922
+cap "a_591_n188#" "a_n801_n100#" 0.0368237
+cap "a_591_n188#" "a_783_n188#" 25.1921
+cap "a_2271_n100#" "a_2751_n100#" 0.904382
+cap "a_1791_n100#" "a_1503_n100#" 0.970605
+cap "a_n2673_n188#" "a_n2097_n188#" 0.600386
+cap "a_1887_n100#" "a_1167_n188#" 0.128504
+cap "a_n1281_n100#" "a_n1473_n100#" 0.990922
+cap "a_1167_n188#" "a_1695_n100#" 0.146013
+cap "a_2559_n100#" "a_1311_n100#" 0.358817
+cap "a_n2481_n188#" "a_n1089_n100#" 0.0368237
+cap "a_n1281_n100#" "a_n2625_n100#" 0.268853
+cap "a_n1761_n100#" "a_n513_n100#" 0.358817
+cap "a_1023_n100#" "a_2463_n100#" 0.176503
+cap "a_1071_122#" "a_1455_122#" 0.656437
+cap "a_1119_n100#" "a_1935_n188#" 0.117997
+cap "a_n177_n188#" "a_n1137_n188#" 0.427216
+cap "a_783_n188#" "a_879_122#" 13.3333
+cap "a_2415_122#" "a_1263_122#" 0.316232
+cap "a_n2385_122#" "a_n1473_n100#" 0.106443
+cap "a_n1041_122#" "a_495_122#" 0.0649833
+cap "a_n1569_n100#" "a_63_n100#" 0.00232329
+cap "a_2847_n100#" "a_1887_n100#" 0.595638
+cap "a_n2385_122#" "a_n2625_n100#" 0.162433
+cap "a_2847_n100#" "a_1695_n100#" 0.437756
+cap "a_n1281_n100#" "a_351_n100#" 0.00232329
+cap "a_n177_n188#" "a_399_n188#" 0.600386
+cap "a_1983_n100#" "a_2559_n100#" 0.859064
+cap "a_n1329_n188#" "a_n2909_n100#" 0.00578002
+cap "a_1071_122#" "a_1791_n100#" 0.128504
+cap "a_927_n100#" "a_2367_n100#" 0.176503
+cap "a_2799_122#" "a_2271_n100#" 0.146013
+cap "a_n2529_n100#" "a_n2097_n188#" 0.152859
+cap "a_n1041_122#" "a_n33_n100#" 0.093944
+cap "a_1215_n100#" "a_399_n188#" 0.117997
+cap "a_1167_n188#" "a_975_n188#" 25.1921
+cap "a_n465_122#" "a_n1233_122#" 0.523066
+cap "a_1599_n100#" "a_15_n188#" 0.00578002
+cap "a_1743_n188#" "a_1935_n188#" 25.1921
+cap "a_2319_n188#" "a_2415_122#" 13.3333
+cap "a_n2577_122#" "a_n1041_122#" 0.0649833
+cap "a_735_n100#" "a_1023_n100#" 0.970605
+cap "a_n1329_n188#" "a_n1521_n188#" 25.1921
+cap "a_2031_122#" "a_1503_n100#" 0.146013
+cap "a_n177_n188#" "a_n897_n100#" 0.128504
+cap "a_687_122#" "a_2079_n100#" 0.0368237
+cap "a_1119_n100#" "a_2079_n100#" 0.606553
+cap "a_1119_n100#" "a_2127_n188#" 0.093944
+cap "a_n1617_122#" "a_n1857_n100#" 0.162433
+cap "a_n1233_122#" "a_n2001_122#" 0.523066
+cap "a_1647_122#" "a_1263_122#" 0.656437
+cap "a_n993_n100#" "a_15_n188#" 0.093944
+cap "a_1359_n188#" "a_2751_n100#" 0.0368237
+cap "a_n1521_n188#" "a_n705_n100#" 0.117997
+cap "a_n657_122#" "a_n129_n100#" 0.146013
+cap "a_2223_122#" "a_1311_n100#" 0.106443
+cap "a_n273_122#" "a_n1185_n100#" 0.106443
+cap "a_543_n100#" "a_n369_n188#" 0.106443
+cap "a_n465_122#" "a_n1761_n100#" 0.0519237
+cap "a_n1665_n100#" "a_n2193_122#" 0.146013
+cap "a_n1569_n100#" "a_n1665_n100#" 147.936
+cap "a_n2145_n100#" "a_n1617_122#" 0.146013
+cap "a_831_n100#" "a_687_122#" 0.165075
+cap "a_1119_n100#" "a_831_n100#" 0.970605
+cap "a_735_n100#" "a_207_n188#" 0.146013
+cap "a_n1761_n100#" "a_n2001_122#" 0.162433
+cap "a_1071_122#" "a_2031_122#" 0.427216
+cap "a_1743_n188#" "a_2079_n100#" 0.158349
+cap "a_1743_n188#" "a_2127_n188#" 0.656437
+cap "a_n321_n100#" "a_n1185_n100#" 0.679303
+cap "a_159_n100#" "a_n129_n100#" 0.970605
+cap "a_n81_122#" "a_n1377_n100#" 0.0519237
+cap "a_1983_n100#" "a_2223_122#" 0.162433
+cap "a_1023_n100#" "a_783_n188#" 0.162433
+cap "a_447_n100#" "a_n225_n100#" 0.806121
+cap "a_n2481_n188#" "a_n2721_n100#" 0.162433
+cap "a_n945_n188#" "a_n753_n188#" 25.1921
+cap "a_n2337_n100#" "a_n1089_n100#" 0.358817
+cap "a_1887_n100#" "a_2463_n100#" 0.859064
+cap "a_1743_n188#" "a_831_n100#" 0.106443
+cap "a_n1089_n100#" "a_n417_n100#" 0.806121
+cap "a_2463_n100#" "a_1695_n100#" 0.746023
+cap "a_879_122#" "a_1263_122#" 0.656437
+cap "a_1935_n188#" "a_399_n188#" 0.0649833
+cap "a_n177_n188#" "a_n513_n100#" 0.158349
+cap "a_n1713_n188#" "a_n1665_n100#" 3.72042
+cap "a_1503_n100#" "a_1167_n188#" 0.158349
+cap "a_n81_122#" "a_n1473_n100#" 0.0368237
+cap "a_n1089_n100#" "a_n609_n100#" 0.904382
+cap "a_n2433_n100#" "a_n1617_122#" 0.117997
+cap "a_207_n188#" "a_n801_n100#" 0.093944
+cap "a_n945_n188#" "a_n2289_n188#" 0.194045
+cap "a_207_n188#" "a_783_n188#" 0.600386
+cap "a_n657_122#" "a_495_122#" 0.316232
+cap "a_1599_n100#" "a_2607_122#" 0.093944
+cap "a_n561_n188#" "a_n2097_n188#" 0.0649833
+cap "a_2847_n100#" "a_1503_n100#" 0.264366
+cap "a_n1953_n100#" "a_n1665_n100#" 0.970605
+cap "a_735_n100#" "a_1887_n100#" 0.445596
+cap "a_n81_122#" "a_351_n100#" 0.152859
+cap "a_543_n100#" "a_351_n100#" 0.990922
+cap "a_735_n100#" "a_1695_n100#" 0.606553
+cap "a_15_n188#" "a_n321_n100#" 0.158349
+cap "a_2463_n100#" "a_975_n188#" 0.0213968
+cap "a_1071_122#" "a_1167_n188#" 13.3333
+cap "a_n1569_n100#" "a_n369_n188#" 0.0665629
+cap "a_n657_122#" "a_n33_n100#" 0.13787
+cap "a_159_n100#" "a_495_122#" 0.158349
+cap "a_2607_122#" "a_2175_n100#" 0.152859
+cap "a_n1665_n100#" "a_n2241_n100#" 0.859064
+cap "a_1599_n100#" "a_2703_n188#" 0.0806112
+cap "a_687_122#" "a_1407_n100#" 0.128504
+cap "a_n1281_n100#" "a_n2049_n100#" 0.746023
+cap "a_1119_n100#" "a_1407_n100#" 0.970605
+cap "a_n1281_n100#" "a_n1185_n100#" 147.936
+cap "a_n1377_n100#" "a_n2193_122#" 0.117997
+cap "a_n1569_n100#" "a_n1377_n100#" 0.990922
+cap "a_159_n100#" "a_n33_n100#" 0.990922
+cap "a_n2385_122#" "a_n2049_n100#" 0.158349
+cap "a_831_n100#" "a_399_n188#" 0.152859
+cap "a_n225_n100#" "a_639_n100#" 0.679303
+cap "a_n2385_122#" "a_n1185_n100#" 0.0665629
+cap "a_2703_n188#" "a_2175_n100#" 0.146013
+cap "a_735_n100#" "a_975_n188#" 0.162433
+cap "a_2511_n188#" "a_2751_n100#" 0.162433
+cap "a_1023_n100#" "a_1263_122#" 0.162433
+cap "a_n2337_n100#" "a_n2721_n100#" 0.941673
+cap "a_1887_n100#" "a_783_n188#" 0.0806112
+cap "a_n1713_n188#" "a_n369_n188#" 0.194045
+cap "a_1743_n188#" "a_1407_n100#" 0.158349
+cap "a_783_n188#" "a_1695_n100#" 0.106443
+cap "a_543_n100#" "a_1551_n188#" 0.093944
+cap "a_n1473_n100#" "a_n2193_122#" 0.128504
+cap "a_n1665_n100#" "a_n2673_n188#" 0.093944
+cap "a_n1569_n100#" "a_n1473_n100#" 147.936
+cap "a_159_n100#" "a_1359_n188#" 0.0665629
+cap "a_2607_122#" "a_1839_122#" 0.523066
+cap "a_n2625_n100#" "a_n2193_122#" 0.152859
+cap "a_n2481_n188#" "a_n1137_n188#" 0.194045
+cap "a_n1905_n188#" "a_n753_n188#" 0.316232
+cap "a_n1569_n100#" "a_n2625_n100#" 0.52842
+cap "a_n1905_n188#" "a_n2817_n100#" 0.106443
+cap "a_n1713_n188#" "a_n1377_n100#" 0.158349
+cap "a_n1521_n188#" "a_n129_n100#" 0.0368237
+cap "a_n945_n188#" "a_n1329_n188#" 0.656437
+cap "a_735_n100#" "a_n753_n188#" 0.0213968
+cap "a_n369_n188#" "a_n1953_n100#" 0.00578002
+cap "a_1455_122#" "a_n129_n100#" 0.00578002
+cap "a_2319_n188#" "a_1023_n100#" 0.0519237
+cap "a_1503_n100#" "a_2463_n100#" 0.606553
+cap "a_n1425_122#" "a_n2337_n100#" 0.106443
+cap "a_n417_n100#" "a_687_122#" 0.0806112
+cap "a_1119_n100#" "a_n417_n100#" 0.0825857
+cap "a_n945_n188#" "a_n705_n100#" 0.162433
+cap "a_n1425_122#" "a_n417_n100#" 0.093944
+cap "a_n1281_n100#" "a_15_n188#" 0.0519237
+cap "a_2655_n100#" "a_2415_122#" 0.162433
+cap "a_783_n188#" "a_975_n188#" 25.1921
+cap "a_687_122#" "a_n609_n100#" 0.0519237
+cap "a_n1425_122#" "a_n609_n100#" 0.117997
+cap "a_1215_n100#" "a_2415_122#" 0.0665629
+cap "a_n1665_n100#" "a_n2529_n100#" 0.679303
+cap "a_n1953_n100#" "a_n1377_n100#" 0.859064
+cap "a_n1905_n188#" "a_n2289_n188#" 0.656437
+cap "a_n1713_n188#" "a_n1473_n100#" 0.162433
+cap "a_n2481_n188#" "a_n897_n100#" 0.00578002
+cap "a_n1713_n188#" "a_n2625_n100#" 0.106443
+cap "a_n1377_n100#" "a_n2241_n100#" 0.679303
+cap "a_1071_122#" "a_2463_n100#" 0.0368237
+cap "a_735_n100#" "a_1503_n100#" 0.746023
+cap "a_n1041_122#" "a_303_122#" 0.194045
+cap "a_n801_n100#" "a_n753_n188#" 3.72042
+cap "a_783_n188#" "a_n753_n188#" 0.0649833
+cap "a_2751_n100#" "a_1311_n100#" 0.176503
+cap "a_399_n188#" "a_1407_n100#" 0.093944
+cap "a_n513_n100#" "a_831_n100#" 0.268853
+cap "a_1647_122#" "a_2655_n100#" 0.093944
+cap "a_n1953_n100#" "a_n1473_n100#" 0.904382
+cap "a_1647_122#" "a_1215_n100#" 0.152859
+cap "a_n1953_n100#" "a_n2625_n100#" 0.806121
+cap "a_n81_122#" "a_n1185_n100#" 0.0806112
+cap "a_2271_n100#" "a_1455_122#" 0.117997
+cap "a_n1473_n100#" "a_n2241_n100#" 0.746023
+cap "a_1983_n100#" "a_2751_n100#" 0.746023
+cap "a_1455_122#" "a_495_122#" 0.427216
+cap "a_n561_n188#" "a_63_n100#" 0.13787
+cap "a_1887_n100#" "a_1263_122#" 0.13787
+cap "a_735_n100#" "a_1071_122#" 0.158349
+cap "a_1263_122#" "a_1695_n100#" 0.152859
+cap "a_n801_n100#" "a_n2289_n188#" 0.0213968
+cap "a_n2625_n100#" "a_n2241_n100#" 0.941673
+cap "a_n225_n100#" "a_n993_n100#" 0.746023
+cap "a_n2577_122#" "a_n2909_n100#" 0.158349
+cap "a_n2577_122#" "a_n2769_122#" 25.1921
+cap "a_2799_122#" "a_1311_n100#" 0.0213968
+cap "a_n2673_n188#" "a_n1377_n100#" 0.0519237
+cap "a_n177_n188#" "a_591_n188#" 0.523066
+cap "a_2271_n100#" "a_1791_n100#" 0.904382
+cap "a_n2337_n100#" "a_n1137_n188#" 0.0665629
+cap "a_n1521_n188#" "a_n33_n100#" 0.0213968
+cap "a_1503_n100#" "a_783_n188#" 0.128504
+cap "a_n417_n100#" "a_n1137_n188#" 0.128504
+cap "a_1791_n100#" "a_495_122#" 0.0519237
+cap "a_1455_122#" "a_n33_n100#" 0.0213968
+cap "a_591_n188#" "a_1215_n100#" 0.13787
+cap "a_n609_n100#" "a_n1137_n188#" 0.146013
+cap "a_2319_n188#" "a_1887_n100#" 0.152859
+cap "a_2319_n188#" "a_1695_n100#" 0.13787
+cap "a_2799_122#" "a_1983_n100#" 0.117997
+cap "a_n465_122#" "a_831_n100#" 0.0519237
+cap "a_n417_n100#" "a_399_n188#" 0.117997
+cap "a_n1905_n188#" "a_n1329_n188#" 0.600386
+cap "a_n1473_n100#" "a_n2673_n188#" 0.0665629
+cap "a_1215_n100#" "a_879_122#" 0.158349
+cap "a_n2529_n100#" "a_n1377_n100#" 0.445596
+cap "a_n609_n100#" "a_399_n188#" 0.093944
+cap "a_n1905_n188#" "a_n705_n100#" 0.0665629
+cap "a_n2673_n188#" "a_n2625_n100#" 3.72042
+cap "a_1455_122#" "a_1359_n188#" 13.3333
+cap "a_n561_n188#" "a_n1665_n100#" 0.0806112
+cap "a_1551_n188#" "a_2559_n100#" 0.093944
+cap "a_n2337_n100#" "a_n849_122#" 0.0213968
+cap "a_n81_122#" "a_15_n188#" 13.3333
+cap "a_543_n100#" "a_15_n188#" 0.146013
+cap "a_735_n100#" "a_n705_n100#" 0.176503
+cap "a_n417_n100#" "a_n849_122#" 0.152859
+cap "a_n2337_n100#" "a_n897_n100#" 0.176503
+cap "a_2079_n100#" "a_2415_122#" 0.158349
+cap "a_n417_n100#" "a_n897_n100#" 0.904382
+cap "a_63_n100#" "a_n1041_122#" 0.0806112
+cap "a_2271_n100#" "a_2031_122#" 0.162433
+cap "a_n609_n100#" "a_n849_122#" 0.162433
+cap "a_1167_n188#" "a_n129_n100#" 0.0519237
+cap "a_n1857_n100#" "a_n1809_122#" 3.72042
+cap "a_n897_n100#" "a_n609_n100#" 0.970605
+cap "a_2031_122#" "a_495_122#" 0.0649833
+cap "a_2319_n188#" "a_975_n188#" 0.194045
+cap "a_n1041_122#" "a_111_122#" 0.316232
+cap "a_1791_n100#" "a_1359_n188#" 0.152859
+cap "a_n2049_n100#" "a_n2193_122#" 0.165075
+cap "a_n1185_n100#" "a_n2193_122#" 0.093944
+cap "a_n1569_n100#" "a_n2049_n100#" 0.904382
+cap "a_n1569_n100#" "a_n1185_n100#" 0.941673
+cap "a_n1473_n100#" "a_n2529_n100#" 0.52842
+cap "a_n273_122#" "a_n225_n100#" 3.72042
+cap "a_303_122#" "a_n657_122#" 0.427216
+cap "a_831_n100#" "a_2415_122#" 0.00578002
+cap "a_n2529_n100#" "a_n2625_n100#" 147.936
+cap "a_n801_n100#" "a_n1329_n188#" 0.146013
+cap "a_n993_n100#" "a_n1617_122#" 0.13787
+cap "a_n2145_n100#" "a_n1809_122#" 0.158349
+cap "a_927_n100#" "a_255_n100#" 0.806121
+cap "a_n945_n188#" "a_n129_n100#" 0.117997
+cap "a_591_n188#" "a_1935_n188#" 0.194045
+cap "a_n1089_n100#" "a_n2721_n100#" 0.00232329
+cap "a_n225_n100#" "a_n321_n100#" 147.936
+cap "a_1647_122#" "a_2079_n100#" 0.152859
+cap "a_783_n188#" "a_n705_n100#" 0.0213968
+cap "a_n801_n100#" "a_n705_n100#" 147.936
+cap "a_159_n100#" "a_303_122#" 0.165075
+cap "a_n177_n188#" "a_1023_n100#" 0.0665629
+cap "a_n1665_n100#" "a_n1041_122#" 0.13787
+cap "a_n1713_n188#" "a_n2049_n100#" 0.158349
+cap "a_1503_n100#" "a_1263_122#" 0.162433
+cap "a_n1713_n188#" "a_n1185_n100#" 0.146013
+cap "a_1023_n100#" "a_2655_n100#" 0.00232329
+cap "a_n513_n100#" "a_n417_n100#" 147.936
+cap "a_159_n100#" "a_1311_n100#" 0.445596
+cap "a_1023_n100#" "a_1215_n100#" 0.990922
+cap "a_1647_122#" "a_831_n100#" 0.117997
+cap "a_n369_n188#" "a_n561_n188#" 25.1921
+cap "a_n1425_122#" "a_n1089_n100#" 0.158349
+cap "a_2271_n100#" "a_1167_n188#" 0.0806112
+cap "a_n513_n100#" "a_n609_n100#" 147.936
+cap "a_1599_n100#" "a_2367_n100#" 0.746023
+cap "a_591_n188#" "a_2079_n100#" 0.0213968
+cap "a_591_n188#" "a_2127_n188#" 0.0649833
+cap "a_n2433_n100#" "a_n1809_122#" 0.13787
+cap "a_n1569_n100#" "a_15_n188#" 0.00578002
+cap "a_n1953_n100#" "a_n2049_n100#" 147.936
+cap "a_n1953_n100#" "a_n1185_n100#" 0.746023
+cap "a_2319_n188#" "a_1503_n100#" 0.117997
+cap "a_n1233_122#" "a_n2817_n100#" 0.00578002
+cap "a_n177_n188#" "a_207_n188#" 0.656437
+cap "a_1071_122#" "a_1263_122#" 25.1921
+cap "a_n561_n188#" "a_n1377_n100#" 0.117997
+cap "a_2271_n100#" "a_2847_n100#" 0.843253
+cap "a_2367_n100#" "a_2175_n100#" 0.990922
+cap "a_2079_n100#" "a_879_122#" 0.0665629
+cap "a_n2241_n100#" "a_n2049_n100#" 0.990922
+cap "a_207_n188#" "a_1215_n100#" 0.093944
+cap "a_1167_n188#" "a_n33_n100#" 0.0665629
+cap "a_n2241_n100#" "a_n1185_n100#" 0.52842
+cap "a_591_n188#" "a_831_n100#" 0.162433
+cap "a_n273_122#" "a_n1617_122#" 0.194045
+cap "a_n2097_n188#" "a_n2909_n100#" 0.117997
+cap "a_2415_122#" "a_1407_n100#" 0.093944
+cap "a_63_n100#" "a_n657_122#" 0.128504
+cap "a_n1761_n100#" "a_n753_n188#" 0.093944
+cap "a_n1761_n100#" "a_n2817_n100#" 0.52842
+cap "a_n225_n100#" "a_n1281_n100#" 0.52842
+cap "a_n2097_n188#" "a_n1521_n188#" 0.600386
+cap "a_n561_n188#" "a_n1473_n100#" 0.106443
+cap "a_n657_122#" "a_111_122#" 0.523066
+cap "a_n1617_122#" "a_n321_n100#" 0.0519237
+cap "a_831_n100#" "a_879_122#" 3.72042
+cap "a_n945_n188#" "a_n33_n100#" 0.106443
+cap "a_n465_122#" "a_n417_n100#" 3.72042
+cap "a_1359_n188#" "a_1167_n188#" 25.1921
+cap "a_n2337_n100#" "a_n2001_122#" 0.158349
+cap "a_1023_n100#" "a_1935_n188#" 0.106443
+cap "a_n465_122#" "a_n609_n100#" 0.165075
+cap "a_n417_n100#" "a_n2001_122#" 0.00578002
+cap "a_63_n100#" "a_159_n100#" 147.936
+cap "a_2511_n188#" "a_1791_n100#" 0.128504
+cap "a_n2673_n188#" "a_n2049_n100#" 0.13787
+cap "a_n2673_n188#" "a_n1185_n100#" 0.0213968
+cap "a_n1761_n100#" "a_n2289_n188#" 0.146013
+cap "a_n2145_n100#" "a_n1857_n100#" 0.970605
+cap "a_n609_n100#" "a_n2001_122#" 0.0368237
+cap "a_159_n100#" "a_111_122#" 3.72042
+cap "a_n561_n188#" "a_351_n100#" 0.106443
+cap "a_2367_n100#" "a_1839_122#" 0.146013
+cap "a_1647_122#" "a_1407_n100#" 0.162433
+cap "a_735_n100#" "a_n129_n100#" 0.679303
+cap "a_n1041_122#" "a_n1377_n100#" 0.158349
+cap "a_n1089_n100#" "a_n1137_n188#" 3.72042
+cap "a_2847_n100#" "a_1359_n188#" 0.0213968
+cap "a_n1425_122#" "a_n2721_n100#" 0.0519237
+cap "a_1887_n100#" "a_2655_n100#" 0.746023
+cap "a_2655_n100#" "a_1695_n100#" 0.606553
+cap "a_1887_n100#" "a_1215_n100#" 0.806121
+cap "a_1215_n100#" "a_1695_n100#" 0.904382
+cap "a_n1665_n100#" "a_n657_122#" 0.093944
+cap "a_447_n100#" "a_927_n100#" 0.904382
+cap "a_n1089_n100#" "a_399_n188#" 0.0213968
+cap "a_1023_n100#" "a_2127_n188#" 0.0806112
+cap "a_1023_n100#" "a_2079_n100#" 0.52842
+cap "a_n2529_n100#" "a_n2049_n100#" 0.904382
+cap "a_2271_n100#" "a_2463_n100#" 0.990922
+cap "a_n2529_n100#" "a_n1185_n100#" 0.268853
+cap "a_n1473_n100#" "a_n1041_122#" 0.152859
+cap "a_303_122#" "a_1455_122#" 0.316232
+cap "a_1119_n100#" "a_687_122#" 0.152859
+cap "a_591_n188#" "a_1407_n100#" 0.117997
+cap "a_n1089_n100#" "a_n849_122#" 0.162433
+cap "a_n177_n188#" "a_975_n188#" 0.316232
+cap "a_n2625_n100#" "a_n1041_122#" 0.00578002
+cap "a_n1089_n100#" "a_n897_n100#" 0.990922
+cap "a_n2433_n100#" "a_n1857_n100#" 0.859064
+cap "a_783_n188#" "a_n129_n100#" 0.106443
+cap "a_1455_122#" "a_1311_n100#" 0.165075
+cap "a_n801_n100#" "a_n129_n100#" 0.806121
+cap "a_n1281_n100#" "a_n1617_122#" 0.158349
+cap "a_1023_n100#" "a_831_n100#" 0.990922
+cap "a_n1233_122#" "a_n1329_n188#" 13.3333
+cap "a_1215_n100#" "a_975_n188#" 0.162433
+cap "a_1791_n100#" "a_303_122#" 0.0213968
+cap "a_879_122#" "a_1407_n100#" 0.146013
+cap "a_351_n100#" "a_n1041_122#" 0.0368237
+cap "a_n1233_122#" "a_n705_n100#" 0.146013
+cap "a_n2145_n100#" "a_n2433_n100#" 0.970605
+cap "a_735_n100#" "a_2271_n100#" 0.0825857
+cap "a_n2385_122#" "a_n1617_122#" 0.523066
+cap "a_1743_n188#" "a_1119_n100#" 0.13787
+cap "a_1791_n100#" "a_1311_n100#" 0.904382
+cap "a_735_n100#" "a_495_122#" 0.162433
+cap "a_n177_n188#" "a_n753_n188#" 0.600386
+cap "a_n1857_n100#" "a_n2865_n188#" 0.093944
+cap "a_1983_n100#" "a_1455_122#" 0.146013
+cap "a_2607_122#" "a_2559_n100#" 3.72042
+cap "a_n1761_n100#" "a_n1329_n188#" 0.152859
+cap "a_447_n100#" "a_255_n100#" 0.990922
+cap "a_n81_122#" "a_n225_n100#" 0.165075
+cap "a_1887_n100#" "a_1935_n188#" 3.72042
+cap "a_543_n100#" "a_n225_n100#" 0.746023
+cap "a_207_n188#" "a_831_n100#" 0.13787
+cap "a_1359_n188#" "a_2463_n100#" 0.0806112
+cap "a_1935_n188#" "a_1695_n100#" 0.162433
+cap "a_n1137_n188#" "a_n2721_n100#" 0.00578002
+cap "a_n1761_n100#" "a_n705_n100#" 0.52842
+cap "a_735_n100#" "a_n33_n100#" 0.746023
+cap "a_1983_n100#" "a_1791_n100#" 0.990922
+cap "a_n2145_n100#" "a_n2865_n188#" 0.128504
+cap "a_n417_n100#" "a_591_n188#" 0.093944
+cap "a_1551_n188#" "a_2751_n100#" 0.0665629
+cap "a_927_n100#" "a_639_n100#" 0.970605
+cap "a_2559_n100#" "a_2703_n188#" 0.165075
+cap "a_n1089_n100#" "a_n513_n100#" 0.859064
+cap "a_2511_n188#" "a_1167_n188#" 0.194045
+cap "a_591_n188#" "a_n609_n100#" 0.0665629
+cap "a_n657_122#" "a_n1377_n100#" 0.128504
+cap "a_2271_n100#" "a_783_n188#" 0.0213968
+cap "a_n369_n188#" "a_159_n100#" 0.146013
+cap "a_n801_n100#" "a_495_122#" 0.0519237
+cap "a_63_n100#" "a_n1521_n188#" 0.00578002
+cap "a_n417_n100#" "a_879_122#" 0.0519237
+cap "a_2031_122#" "a_1311_n100#" 0.128504
+cap "a_735_n100#" "a_1359_n188#" 0.13787
+cap "a_1503_n100#" "a_2655_n100#" 0.445596
+cap "a_63_n100#" "a_1455_122#" 0.0368237
+cap "a_1935_n188#" "a_975_n188#" 0.427216
+cap "a_1887_n100#" "a_2079_n100#" 0.990922
+cap "a_1887_n100#" "a_2127_n188#" 0.162433
+cap "a_2079_n100#" "a_1695_n100#" 0.941673
+cap "a_2127_n188#" "a_1695_n100#" 0.152859
+cap "a_1503_n100#" "a_1215_n100#" 0.970605
+cap "a_n609_n100#" "a_879_122#" 0.0213968
+cap "a_n561_n188#" "a_n2049_n100#" 0.0213968
+cap "a_1455_122#" "a_111_122#" 0.194045
+cap "a_2511_n188#" "a_2847_n100#" 0.158349
+cap "a_n561_n188#" "a_n1185_n100#" 0.13787
+cap "a_2223_122#" "a_2607_122#" 0.656437
+cap "a_n945_n188#" "a_n2097_n188#" 0.316232
+cap "a_1023_n100#" "a_1407_n100#" 0.941673
+cap "a_1119_n100#" "a_399_n188#" 0.128504
+cap "a_159_n100#" "a_n1377_n100#" 0.0825857
+cap "a_783_n188#" "a_n33_n100#" 0.117997
+cap "a_n801_n100#" "a_n33_n100#" 0.746023
+cap "a_n2433_n100#" "a_n2865_n188#" 0.152859
+cap "a_n1473_n100#" "a_n657_122#" 0.117997
+cap "a_1983_n100#" "a_2031_122#" 3.72042
+cap "a_1263_122#" "a_n129_n100#" 0.0368237
+cap "a_1887_n100#" "a_831_n100#" 0.52842
+cap "a_831_n100#" "a_1695_n100#" 0.679303
+cap "a_687_122#" "a_n849_122#" 0.0649833
+cap "a_n1665_n100#" "a_n2769_122#" 0.0806112
+cap "a_n1665_n100#" "a_n2909_n100#" 0.352626
+cap "a_n1425_122#" "a_n849_122#" 0.600386
+cap "a_n897_n100#" "a_687_122#" 0.00578002
+cap "a_1071_122#" "a_2655_n100#" 0.00578002
+cap "a_n1425_122#" "a_n897_n100#" 0.146013
+cap "a_1071_122#" "a_1215_n100#" 0.165075
+cap "a_2127_n188#" "a_975_n188#" 0.316232
+cap "a_2079_n100#" "a_975_n188#" 0.0806112
+cap "a_n81_122#" "a_n1617_122#" 0.0649833
+cap "a_n465_122#" "a_n1089_n100#" 0.13787
+cap "a_1743_n188#" "a_399_n188#" 0.194045
+cap "a_n1569_n100#" "a_n225_n100#" 0.268853
+cap "a_255_n100#" "a_639_n100#" 0.941673
+cap "a_1359_n188#" "a_783_n188#" 0.600386
+cap "a_n1473_n100#" "a_159_n100#" 0.00232329
+cap "a_n1665_n100#" "a_n1521_n188#" 0.165075
+cap "a_351_n100#" "a_n657_122#" 0.093944
+cap "a_207_n188#" "a_1407_n100#" 0.0665629
+cap "a_n1089_n100#" "a_n2001_122#" 0.106443
+cap "a_n993_n100#" "a_n1809_122#" 0.117997
+cap "a_1167_n188#" "a_1311_n100#" 0.165075
+cap "a_n177_n188#" "a_n1329_n188#" 0.316232
+cap "a_831_n100#" "a_975_n188#" 0.165075
+cap "a_n1041_122#" "a_n2049_n100#" 0.093944
+cap "a_n1041_122#" "a_n1185_n100#" 0.165075
+cap "a_n561_n188#" "a_15_n188#" 0.600386
+cap "a_159_n100#" "a_351_n100#" 0.990922
+cap "a_1503_n100#" "a_1935_n188#" 0.152859
+cap "a_n417_n100#" "a_1023_n100#" 0.176503
+cap "a_n177_n188#" "a_n705_n100#" 0.146013
+cap "a_927_n100#" "a_1599_n100#" 0.806121
+cap "a_1023_n100#" "a_n609_n100#" 0.00232329
+cap "a_n1713_n188#" "a_n225_n100#" 0.0213968
+cap "a_2847_n100#" "a_1311_n100#" 0.0816115
+cap "a_1983_n100#" "a_1167_n188#" 0.117997
+cap "a_2271_n100#" "a_1263_122#" 0.093944
+cap "a_2511_n188#" "a_2463_n100#" 3.72042
+cap "a_495_122#" "a_1263_122#" 0.523066
+cap "a_n1233_122#" "a_n129_n100#" 0.0806112
+cap "a_831_n100#" "a_n753_n188#" 0.00578002
+cap "a_n1137_n188#" "a_399_n188#" 0.0649833
+cap "a_n513_n100#" "a_687_122#" 0.0665629
+cap "a_1119_n100#" "a_n513_n100#" 0.00232329
+cap "a_n1425_122#" "a_n513_n100#" 0.106443
+cap "a_927_n100#" "a_2175_n100#" 0.358817
+cap "a_1887_n100#" "a_1407_n100#" 0.904382
+cap "a_n417_n100#" "a_207_n188#" 0.13787
+cap "a_2847_n100#" "a_1983_n100#" 0.666988
+cap "a_1695_n100#" "a_1407_n100#" 0.970605
+cap "a_1263_122#" "a_n33_n100#" 0.0519237
+cap "a_1503_n100#" "a_2127_n188#" 0.13787
+cap "a_1503_n100#" "a_2079_n100#" 0.859064
+cap "a_2271_n100#" "a_2319_n188#" 3.72042
+cap "a_n897_n100#" "a_n1137_n188#" 0.162433
+cap "a_207_n188#" "a_n609_n100#" 0.117997
+cap "a_n1905_n188#" "a_n2097_n188#" 25.1921
+cap "a_n369_n188#" "a_n1521_n188#" 0.316232
+cap "a_1551_n188#" "a_159_n100#" 0.0368237
+cap "a_n1761_n100#" "a_n129_n100#" 0.00232329
+cap "a_n1617_122#" "a_n2193_122#" 0.600386
+cap "a_n1569_n100#" "a_n1617_122#" 3.72042
+cap "a_n1377_n100#" "a_n2769_122#" 0.0368237
+cap "a_n1377_n100#" "a_n2909_n100#" 0.0816115
+cap "a_n273_122#" "a_n1809_122#" 0.0649833
+cap "a_n897_n100#" "a_399_n188#" 0.0519237
+cap "a_63_n100#" "a_1167_n188#" 0.0806112
+cap "a_1503_n100#" "a_831_n100#" 0.806121
+cap "a_n2001_122#" "a_n2721_n100#" 0.128504
+cap "a_1359_n188#" "a_1263_122#" 13.3333
+cap "a_n1377_n100#" "a_n1521_n188#" 0.165075
+cap "a_n2481_n188#" "a_n2817_n100#" 0.158349
+cap "a_1071_122#" "a_2079_n100#" 0.093944
+cap "a_1599_n100#" "a_255_n100#" 0.268853
+cap "a_1407_n100#" "a_975_n188#" 0.152859
+cap "a_n321_n100#" "a_n1809_122#" 0.0213968
+cap "a_n897_n100#" "a_n849_122#" 3.72042
+cap "a_927_n100#" "a_1839_122#" 0.106443
+cap "a_n465_122#" "a_687_122#" 0.316232
+cap "a_n1473_n100#" "a_n2769_122#" 0.0519237
+cap "a_n1473_n100#" "a_n2909_n100#" 0.17376
+cap "a_n465_122#" "a_n1425_122#" 0.427216
+cap "a_n465_122#" "a_1119_n100#" 0.00578002
+cap "a_n993_n100#" "a_255_n100#" 0.358817
+cap "a_63_n100#" "a_n945_n188#" 0.093944
+cap "a_2463_n100#" "a_1311_n100#" 0.445596
+cap "a_n2625_n100#" "a_n2769_122#" 0.165075
+cap "a_n273_122#" "a_927_n100#" 0.0665629
+cap "a_n2625_n100#" "a_n2909_n100#" 0.952553
+cap "a_n1713_n188#" "a_n1617_122#" 13.3333
+cap "a_2319_n188#" "a_1359_n188#" 0.427216
+cap "a_n1425_122#" "a_n2001_122#" 0.600386
+cap "a_1071_122#" "a_831_n100#" 0.162433
+cap "a_n1473_n100#" "a_n1521_n188#" 3.72042
+cap "a_n993_n100#" "a_n1857_n100#" 0.679303
+cap "a_n801_n100#" "a_n2097_n188#" 0.0519237
+cap "a_n2481_n188#" "a_n2289_n188#" 25.1921
+cap "a_n657_122#" "a_n2049_n100#" 0.0368237
+cap "a_447_n100#" "a_639_n100#" 0.990922
+cap "a_n513_n100#" "a_n1137_n188#" 0.13787
+cap "a_n657_122#" "a_n1185_n100#" 0.146013
+cap "a_n2625_n100#" "a_n1521_n188#" 0.0806112
+cap "a_927_n100#" "a_n321_n100#" 0.358817
+cap "a_n1233_122#" "a_n33_n100#" 0.0665629
+cap "a_735_n100#" "a_303_122#" 0.152859
+cap "a_n2577_122#" "a_n1233_122#" 0.194045
+cap "a_1983_n100#" "a_2463_n100#" 0.904382
+cap "a_n2145_n100#" "a_n993_n100#" 0.445596
+cap "a_n1953_n100#" "a_n1617_122#" 0.158349
+cap "a_n513_n100#" "a_399_n188#" 0.106443
+cap "a_735_n100#" "a_1311_n100#" 0.859064
+cap "a_351_n100#" "a_1455_122#" 0.0806112
+cap "a_1119_n100#" "a_2415_122#" 0.0519237
+cap "a_159_n100#" "a_n1185_n100#" 0.268853
+cap "a_n1617_122#" "a_n2241_n100#" 0.13787
+cap "a_2607_122#" "a_2751_n100#" 0.165075
+cap "a_n417_n100#" "a_975_n188#" 0.0368237
+cap "a_n1665_n100#" "a_n945_n188#" 0.128504
+cap "a_n513_n100#" "a_n849_122#" 0.158349
+cap "a_n2577_122#" "a_n1761_n100#" 0.117997
+cap "a_n513_n100#" "a_n897_n100#" 0.941673
+cap "a_n609_n100#" "a_975_n188#" 0.00578002
+cap "a_255_n100#" "a_1839_122#" 0.00578002
+cap "a_1503_n100#" "a_1407_n100#" 147.936
+cap "a_831_n100#" "a_n705_n100#" 0.0825857
+cap "a_1791_n100#" "a_351_n100#" 0.176503
+cap "a_n1281_n100#" "a_n1809_122#" 0.146013
+cap "a_735_n100#" "a_1983_n100#" 0.358817
+cap "a_n177_n188#" "a_n129_n100#" 3.72042
+cap "a_n273_122#" "a_255_n100#" 0.146013
+cap "a_n801_n100#" "a_303_122#" 0.0806112
+cap "a_2751_n100#" "a_2703_n188#" 3.72042
+cap "a_n2337_n100#" "a_n753_n188#" 0.00578002
+cap "a_n2337_n100#" "a_n2817_n100#" 0.904382
+cap "a_n993_n100#" "a_n2433_n100#" 0.176503
+cap "a_1215_n100#" "a_n129_n100#" 0.268853
+cap "a_2559_n100#" "a_2367_n100#" 0.990922
+cap "a_n417_n100#" "a_n753_n188#" 0.158349
+cap "a_1647_122#" "a_687_122#" 0.427216
+cap "a_n2385_122#" "a_n1809_122#" 0.600386
+cap "a_n273_122#" "a_n1857_n100#" 0.00578002
+cap "a_1647_122#" "a_1119_n100#" 0.146013
+cap "a_2799_122#" "a_2607_122#" 25.1921
+cap "a_783_n188#" "a_1311_n100#" 0.146013
+cap "a_255_n100#" "a_n321_n100#" 0.859064
+cap "a_n609_n100#" "a_n753_n188#" 0.165075
+cap "a_1071_122#" "a_1407_n100#" 0.158349
+cap "a_1551_n188#" "a_1455_122#" 13.3333
+cap "a_n2481_n188#" "a_n1329_n188#" 0.316232
+cap "a_n369_n188#" "a_1167_n188#" 0.0649833
+cap "a_n1857_n100#" "a_n321_n100#" 0.0825857
+cap "a_159_n100#" "a_15_n188#" 0.165075
+cap "a_n2337_n100#" "a_n2289_n188#" 3.72042
+cap "a_2799_122#" "a_2703_n188#" 13.3333
+cap "a_1983_n100#" "a_783_n188#" 0.0665629
+cap "a_1647_122#" "a_1743_n188#" 13.3333
+cap "a_1551_n188#" "a_1791_n100#" 0.162433
+cap "a_735_n100#" "a_63_n100#" 0.806121
+cap "a_n465_122#" "a_n849_122#" 0.656437
+cap "a_591_n188#" "a_687_122#" 13.3333
+cap "a_n465_122#" "a_n897_n100#" 0.152859
+cap "a_447_n100#" "a_1599_n100#" 0.445596
+cap "a_1119_n100#" "a_591_n188#" 0.146013
+cap "a_735_n100#" "a_111_122#" 0.13787
+cap "a_n369_n188#" "a_n945_n188#" 0.600386
+cap "a_n1617_122#" "a_n2529_n100#" 0.106443
+cap "a_n2001_122#" "a_n849_122#" 0.316232
+cap "a_n897_n100#" "a_n2001_122#" 0.0806112
+cap "a_447_n100#" "a_n993_n100#" 0.176503
+cap "a_2223_122#" "a_2367_n100#" 0.165075
+cap "a_2511_n188#" "a_2319_n188#" 25.1921
+cap "a_n1089_n100#" "a_207_n188#" 0.0519237
+cap "a_2271_n100#" "a_2655_n100#" 0.941673
+cap "a_687_122#" "a_879_122#" 25.1921
+cap "a_1119_n100#" "a_879_122#" 0.162433
+cap "a_2271_n100#" "a_1215_n100#" 0.52842
+cap "a_n945_n188#" "a_n1377_n100#" 0.152859
+cap "a_n561_n188#" "a_n225_n100#" 0.158349
+cap "a_495_122#" "a_1215_n100#" 0.128504
+cap "a_1743_n188#" "a_591_n188#" 0.316232
+cap "a_n2769_122#" "a_n2049_n100#" 0.128504
+cap "a_1071_122#" "a_n417_n100#" 0.0213968
+cap "a_n2909_n100#" "a_n2049_n100#" 0.666988
+cap "a_n2769_122#" "a_n1185_n100#" 0.00578002
+cap "a_n177_n188#" "a_n33_n100#" 0.165075
+cap "a_n1665_n100#" "a_n1905_n188#" 0.162433
+cap "a_63_n100#" "a_783_n188#" 0.128504
+cap "a_63_n100#" "a_n801_n100#" 0.679303
+cap "a_n1281_n100#" "a_255_n100#" 0.0825857
+cap "a_n1521_n188#" "a_n2049_n100#" 0.146013
+cap "a_303_122#" "a_1263_122#" 0.427216
+cap "a_n801_n100#" "a_111_122#" 0.106443
+cap "a_1215_n100#" "a_n33_n100#" 0.358817
+cap "a_n1521_n188#" "a_n1185_n100#" 0.158349
+cap "a_n1473_n100#" "a_n945_n188#" 0.146013
+cap "a_351_n100#" "a_1167_n188#" 0.117997
+cap "a_n1281_n100#" "a_n1857_n100#" 0.859064
+cap "a_n465_122#" "a_n513_n100#" 3.72042
+cap "a_1311_n100#" "a_1263_122#" 3.72042
+cap "a_n177_n188#" "a_1359_n188#" 0.0649833
+cap "a_n2337_n100#" "a_n1329_n188#" 0.093944
+cap "a_447_n100#" "a_1839_122#" 0.0368237
+cap "a_n513_n100#" "a_n2001_122#" 0.0213968
+cap "a_2655_n100#" "a_1359_n188#" 0.0519237
+cap "a_n417_n100#" "a_n1329_n188#" 0.106443
+cap "a_n2385_122#" "a_n1857_n100#" 0.146013
+cap "a_1359_n188#" "a_1215_n100#" 0.165075
+cap "a_n2145_n100#" "a_n1281_n100#" 0.679303
+cap "a_n945_n188#" "a_351_n100#" 0.0519237
+cap "a_447_n100#" "a_n273_122#" 0.128504
+cap "a_n2337_n100#" "a_n705_n100#" 0.00232329
+cap "a_1599_n100#" "a_639_n100#" 0.606553
+cap "a_n609_n100#" "a_n1329_n188#" 0.128504
+cap "a_n417_n100#" "a_n705_n100#" 0.970605
+cap "a_n225_n100#" "a_n1041_122#" 0.117997
+cap "a_1983_n100#" "a_1263_122#" 0.128504
+cap "a_n1761_n100#" "a_n2097_n188#" 0.158349
+cap "a_2271_n100#" "a_1935_n188#" 0.158349
+cap "a_n1665_n100#" "a_n801_n100#" 0.679303
+cap "a_2319_n188#" "a_1311_n100#" 0.093944
+cap "a_831_n100#" "a_n129_n100#" 0.606553
+cap "a_n993_n100#" "a_639_n100#" 0.00232329
+cap "a_n2145_n100#" "a_n2385_122#" 0.162433
+cap "a_n81_122#" "a_927_n100#" 0.093944
+cap "a_1023_n100#" "a_687_122#" 0.158349
+cap "a_n609_n100#" "a_n705_n100#" 147.936
+cap "a_543_n100#" "a_927_n100#" 0.941673
+cap "a_1119_n100#" "a_1023_n100#" 147.936
+cap "a_591_n188#" "a_399_n188#" 25.1921
+cap "a_447_n100#" "a_n321_n100#" 0.746023
+cap "a_639_n100#" "a_2175_n100#" 0.0825857
+cap "a_1551_n188#" "a_1167_n188#" 0.656437
+cap "a_15_n188#" "a_n1521_n188#" 0.0649833
+cap "a_n369_n188#" "a_n1905_n188#" 0.0649833
+cap "a_2319_n188#" "a_1983_n100#" 0.158349
+cap "a_735_n100#" "a_n369_n188#" 0.0806112
+cap "a_591_n188#" "a_n897_n100#" 0.0213968
+cap "a_n1233_122#" "a_303_122#" 0.0649833
+cap "a_n2433_n100#" "a_n1281_n100#" 0.445596
+cap "a_1743_n188#" "a_1023_n100#" 0.128504
+cap "a_2271_n100#" "a_2127_n188#" 0.165075
+cap "a_2271_n100#" "a_2079_n100#" 0.990922
+cap "a_1119_n100#" "a_207_n188#" 0.106443
+cap "a_n465_122#" "a_n2001_122#" 0.0649833
+cap "a_2847_n100#" "a_1551_n188#" 0.0519237
+cap "a_n1905_n188#" "a_n1377_n100#" 0.146013
+cap "a_495_122#" "a_2079_n100#" 0.00578002
+cap "a_63_n100#" "a_1263_122#" 0.0665629
+cap "a_n2193_122#" "a_n1809_122#" 0.656437
+cap "a_n2433_n100#" "a_n2385_122#" 3.72042
+cap "a_n1569_n100#" "a_n1809_122#" 0.162433
+cap "a_111_122#" "a_1263_122#" 0.316232
+cap "a_1935_n188#" "a_1359_n188#" 0.600386
+cap "a_n1089_n100#" "a_n753_n188#" 0.158349
+cap "a_639_n100#" "a_1839_122#" 0.0665629
+cap "a_n1281_n100#" "a_n2865_n188#" 0.00578002
+cap "a_2271_n100#" "a_831_n100#" 0.176503
+cap "a_n81_122#" "a_255_n100#" 0.158349
+cap "a_831_n100#" "a_495_122#" 0.158349
+cap "a_1743_n188#" "a_207_n188#" 0.0649833
+cap "a_543_n100#" "a_255_n100#" 0.970605
+cap "a_n1473_n100#" "a_n1905_n188#" 0.152859
+cap "a_n369_n188#" "a_n801_n100#" 0.152859
+cap "a_n273_122#" "a_639_n100#" 0.106443
+cap "a_n369_n188#" "a_783_n188#" 0.316232
+cap "a_n1617_122#" "a_n1041_122#" 0.600386
+cap "a_n2625_n100#" "a_n1905_n188#" 0.128504
+cap "a_n129_n100#" "a_1407_n100#" 0.0825857
+cap "a_n1089_n100#" "a_n2289_n188#" 0.0665629
+cap "a_n513_n100#" "a_591_n188#" 0.0806112
+cap "a_831_n100#" "a_n33_n100#" 0.679303
+cap "a_n1713_n188#" "a_n1809_122#" 13.3333
+cap "a_639_n100#" "a_n321_n100#" 0.606553
+cap "a_1359_n188#" "a_2127_n188#" 0.523066
+cap "a_1359_n188#" "a_2079_n100#" 0.128504
+cap "a_n801_n100#" "a_n1377_n100#" 0.859064
+cap "a_1887_n100#" "a_687_122#" 0.0665629
+cap "a_1119_n100#" "a_1887_n100#" 0.746023
+cap "a_687_122#" "a_1695_n100#" 0.093944
+cap "a_1119_n100#" "a_1695_n100#" 0.859064
+cap "a_n225_n100#" "a_n657_122#" 0.152859
+cap "a_1023_n100#" "a_399_n188#" 0.13787
+cap "a_735_n100#" "a_351_n100#" 0.941673
+cap "a_2511_n188#" "a_2655_n100#" 0.165075
+cap "a_n513_n100#" "a_879_122#" 0.0368237
+cap "a_2511_n188#" "a_1215_n100#" 0.0519237
+cap "a_1599_n100#" "a_2175_n100#" 0.859064
+cap "a_1455_122#" "a_2607_122#" 0.316232
+cap "a_n1233_122#" "a_63_n100#" 0.0519237
+cap "a_n1953_n100#" "a_n1809_122#" 0.165075
+cap "a_2751_n100#" "a_2367_n100#" 0.941673
+cap "a_831_n100#" "a_1359_n188#" 0.146013
+cap "a_n945_n188#" "a_n2049_n100#" 0.0806112
+cap "a_1551_n188#" "a_2463_n100#" 0.106443
+cap "a_207_n188#" "a_n1137_n188#" 0.194045
+cap "a_n945_n188#" "a_n1185_n100#" 0.162433
+cap "a_n1233_122#" "a_111_122#" 0.194045
+cap "a_n1473_n100#" "a_n801_n100#" 0.806121
+cap "a_n225_n100#" "a_159_n100#" 0.941673
+cap "a_1743_n188#" "a_1887_n100#" 0.165075
+cap "a_n2241_n100#" "a_n1809_122#" 0.152859
+cap "a_1743_n188#" "a_1695_n100#" 3.72042
+cap "a_1791_n100#" "a_2607_122#" 0.117997
+cap "a_207_n188#" "a_399_n188#" 25.1921
+cap "a_1119_n100#" "a_975_n188#" 0.165075
+cap "a_n2817_n100#" "a_n2721_n100#" 147.936
+cap "a_n2577_122#" "a_n2481_n188#" 13.3333
+cap "a_n417_n100#" "a_n129_n100#" 0.970605
+cap "a_927_n100#" "a_2559_n100#" 0.00232329
+cap "a_2271_n100#" "a_1407_n100#" 0.679303
+cap "a_351_n100#" "a_783_n188#" 0.152859
+cap "a_n801_n100#" "a_351_n100#" 0.445596
+cap "a_495_122#" "a_1407_n100#" 0.106443
+cap "a_2799_122#" "a_2367_n100#" 0.152859
+cap "a_n609_n100#" "a_n129_n100#" 0.904382
+cap "a_735_n100#" "a_1551_n188#" 0.117997
+cap "a_207_n188#" "a_n897_n100#" 0.0806112
+cap "a_1647_122#" "a_2415_122#" 0.523066
+cap "a_1791_n100#" "a_2703_n188#" 0.106443
+cap "a_15_n188#" "a_1167_n188#" 0.316232
+cap "a_n1857_n100#" "a_n2193_122#" 0.158349
+cap "a_1599_n100#" "a_1839_122#" 0.162433
+cap "a_n1569_n100#" "a_n1857_n100#" 0.970605
+cap "a_n1233_122#" "a_n1665_n100#" 0.152859
+cap "a_n1089_n100#" "a_n1329_n188#" 0.162433
+cap "a_n2721_n100#" "a_n2289_n188#" 0.152859
+cap "a_n465_122#" "a_879_122#" 0.194045
+cap "a_1743_n188#" "a_975_n188#" 0.523066
+cap "a_n1425_122#" "a_n2817_n100#" 0.0368237
+cap "a_303_122#" "a_1215_n100#" 0.106443
+cap "a_n33_n100#" "a_1407_n100#" 0.176503
+cap "a_n177_n188#" "a_1311_n100#" 0.0213968
+cap "a_2511_n188#" "a_1935_n188#" 0.600386
+cap "a_n1089_n100#" "a_n705_n100#" 0.941673
+cap "a_n2145_n100#" "a_n2193_122#" 3.72042
+cap "a_2031_122#" "a_2607_122#" 0.600386
+cap "a_2655_n100#" "a_1311_n100#" 0.268853
+cap "a_n945_n188#" "a_15_n188#" 0.427216
+cap "a_n1569_n100#" "a_n2145_n100#" 0.859064
+cap "a_n1617_122#" "a_n657_122#" 0.427216
+cap "a_2175_n100#" "a_1839_122#" 0.158349
+cap "a_n513_n100#" "a_1023_n100#" 0.0825857
+cap "a_1215_n100#" "a_1311_n100#" 147.936
+cap "a_n273_122#" "a_n993_n100#" 0.128504
+cap "a_n1761_n100#" "a_n1665_n100#" 147.936
+cap "a_927_n100#" "a_2223_122#" 0.0519237
+cap "a_447_n100#" "a_n81_122#" 0.146013
+cap "a_1887_n100#" "a_399_n188#" 0.0213968
+cap "a_447_n100#" "a_543_n100#" 147.936
+cap "a_399_n188#" "a_1695_n100#" 0.0519237
+cap "a_1359_n188#" "a_1407_n100#" 3.72042
+cap "a_1551_n188#" "a_783_n188#" 0.523066
+cap "a_n1713_n188#" "a_n1857_n100#" 0.165075
+cap "a_n993_n100#" "a_n321_n100#" 0.806121
+cap "a_1983_n100#" "a_2655_n100#" 0.806121
+cap "a_n2529_n100#" "a_n1809_122#" 0.128504
+cap "a_1503_n100#" "a_687_122#" 0.117997
+cap "a_1983_n100#" "a_1215_n100#" 0.746023
+cap "a_1119_n100#" "a_1503_n100#" 0.941673
+cap "a_n417_n100#" "a_495_122#" 0.106443
+cap "a_2415_122#" "a_879_122#" 0.0649833
+cap "a_2511_n188#" "a_2127_n188#" 0.656437
+cap "a_2511_n188#" "a_2079_n100#" 0.152859
+cap "a_n513_n100#" "a_207_n188#" 0.128504
+cap "a_n609_n100#" "a_495_122#" 0.0806112
+cap "a_n1713_n188#" "a_n2145_n100#" 0.152859
+cap "a_n2433_n100#" "a_n2193_122#" 0.162433
+cap "a_n1953_n100#" "a_n1857_n100#" 147.936
+cap "a_n1905_n188#" "a_n2049_n100#" 0.165075
+cap "a_n1569_n100#" "a_n2433_n100#" 0.679303
+cap "a_n1905_n188#" "a_n1185_n100#" 0.128504
+cap "a_n417_n100#" "a_n33_n100#" 0.941673
+cap "a_n2577_122#" "a_n2337_n100#" 0.162433
+cap "a_399_n188#" "a_975_n188#" 0.600386
+cap "a_1743_n188#" "a_1503_n100#" 0.162433
+cap "a_1071_122#" "a_687_122#" 0.656437
+cap "a_1071_122#" "a_1119_n100#" 3.72042
+cap "a_n1857_n100#" "a_n2241_n100#" 0.941673
+cap "a_n609_n100#" "a_n33_n100#" 0.859064
+cap "a_n465_122#" "a_1023_n100#" 0.0213968
+cap "a_351_n100#" "a_1263_122#" 0.106443
+cap "a_n177_n188#" "a_63_n100#" 0.162433
+cap "a_n2145_n100#" "a_n1953_n100#" 0.990922
+cap "a_n1137_n188#" "a_n753_n188#" 0.656437
+cap "a_n1329_n188#" "a_n2721_n100#" 0.0368237
+cap "a_n225_n100#" "a_n1521_n188#" 0.0519237
+cap "a_1647_122#" "a_879_122#" 0.523066
+cap "a_1935_n188#" "a_1311_n100#" 0.13787
+cap "a_n1569_n100#" "a_n2865_n188#" 0.0519237
+cap "a_63_n100#" "a_1215_n100#" 0.445596
+cap "a_n1233_122#" "a_n1377_n100#" 0.165075
+cap "a_n2145_n100#" "a_n2241_n100#" 147.936
+cap "a_1215_n100#" "a_111_122#" 0.0806112
+cap "a_399_n188#" "a_n753_n188#" 0.316232
+cap "a_n1761_n100#" "a_n369_n188#" 0.0368237
+cap "a_n1713_n188#" "a_n2433_n100#" 0.128504
+cap "a_2847_n100#" "a_2607_122#" 0.162433
+cap "a_1167_n188#" "a_2703_n188#" 0.0649833
+cap "a_n273_122#" "a_n321_n100#" 3.72042
+cap "a_n801_n100#" "a_n2049_n100#" 0.358817
+cap "a_1983_n100#" "a_1935_n188#" 3.72042
+cap "a_n1137_n188#" "a_n2289_n188#" 0.316232
+cap "a_n81_122#" "a_639_n100#" 0.128504
+cap "a_n993_n100#" "a_n1281_n100#" 0.970605
+cap "a_n801_n100#" "a_n1185_n100#" 0.941673
+cap "a_n1425_122#" "a_n1329_n188#" 13.3333
+cap "a_543_n100#" "a_639_n100#" 147.936
+cap "a_n753_n188#" "a_n849_122#" 13.3333
+cap "a_n1857_n100#" "a_n2673_n188#" 0.117997
+cap "a_n897_n100#" "a_n753_n188#" 0.165075
+cap "a_n1761_n100#" "a_n1377_n100#" 0.941673
+cap "a_1023_n100#" "a_2415_122#" 0.0368237
+cap "a_n1233_122#" "a_n1473_n100#" 0.162433
+cap "a_687_122#" "a_n705_n100#" 0.0368237
+cap "a_n2481_n188#" "a_n2097_n188#" 0.656437
+cap "a_2079_n100#" "a_1311_n100#" 0.746023
+cap "a_n1425_122#" "a_n705_n100#" 0.128504
+cap "a_2127_n188#" "a_1311_n100#" 0.117997
+cap "a_2847_n100#" "a_2703_n188#" 0.165075
+cap "a_n1953_n100#" "a_n2433_n100#" 0.904382
+cap "a_n177_n188#" "a_n1665_n100#" 0.0213968
+cap "a_735_n100#" "a_15_n188#" 0.128504
+cap "a_n993_n100#" "a_n2385_122#" 0.0368237
+cap "a_n1713_n188#" "a_n2865_n188#" 0.316232
+cap "a_n1233_122#" "a_n2625_n100#" 0.0368237
+cap "a_831_n100#" "a_303_122#" 0.146013
+cap "a_n2145_n100#" "a_n2673_n188#" 0.146013
+cap "a_1503_n100#" "a_399_n188#" 0.0806112
+cap "a_n2433_n100#" "a_n2241_n100#" 0.990922
+cap "a_n897_n100#" "a_n2289_n188#" 0.0368237
+cap "a_n1233_122#" "a_351_n100#" 0.00578002
+cap "a_1983_n100#" "a_2079_n100#" 147.936
+cap "a_n1617_122#" "a_n2909_n100#" 0.0519237
+cap "a_1983_n100#" "a_2127_n188#" 0.165075
+cap "a_n1761_n100#" "a_n1473_n100#" 0.970605
+cap "a_831_n100#" "a_1311_n100#" 0.904382
+cap "a_n1617_122#" "a_n2769_122#" 0.316232
+cap "a_n513_n100#" "a_975_n188#" 0.0213968
+cap "a_n2529_n100#" "a_n1857_n100#" 0.806121
+cap "a_n1953_n100#" "a_n2865_n188#" 0.106443
+cap "a_2511_n188#" "a_1407_n100#" 0.0806112
+cap "a_1551_n188#" "a_2319_n188#" 0.523066
+cap "a_n1761_n100#" "a_n2625_n100#" 0.679303
+cap "a_1647_122#" "a_1023_n100#" 0.13787
+cap "a_n1089_n100#" "a_n129_n100#" 0.606553
+cap "a_n1617_122#" "a_n1521_n188#" 13.3333
+cap "a_n2241_n100#" "a_n2865_n188#" 0.13787
+cap "a_n2145_n100#" "a_n2529_n100#" 0.941673
+cap "a_n801_n100#" "a_15_n188#" 0.117997
+cap "a_15_n188#" "a_783_n188#" 0.523066
+cap "a_1983_n100#" "a_831_n100#" 0.445596
+cap "a_n561_n188#" "a_927_n100#" 0.0213968
+cap "a_n513_n100#" "a_n753_n188#" 0.162433
+cap "a_2607_122#" "a_2463_n100#" 0.165075
+cap "a_n273_122#" "a_n1281_n100#" 0.093944
+cap "a_n2433_n100#" "a_n2673_n188#" 0.162433
+cap "a_n1329_n188#" "a_n1137_n188#" 25.1921
+cap "a_591_n188#" "a_1023_n100#" 0.152859
+cap "a_n1281_n100#" "a_n321_n100#" 0.606553
+cap "a_n1041_122#" "a_n1809_122#" 0.523066
+cap "a_n369_n188#" "a_n177_n188#" 25.1921
+cap "a_n1137_n188#" "a_n705_n100#" 0.152859
+cap "a_2703_n188#" "a_2463_n100#" 0.162433
+cap "a_n2673_n188#" "a_n2865_n188#" 25.1921
+cap "a_n369_n188#" "a_1215_n100#" 0.00578002
+cap "a_543_n100#" "a_1599_n100#" 0.52842
+cap "a_n2337_n100#" "a_n2097_n188#" 0.162433
+cap "a_1023_n100#" "a_879_122#" 0.165075
+cap "a_1887_n100#" "a_2415_122#" 0.146013
+cap "a_303_122#" "a_1407_n100#" 0.0806112
+cap "a_2415_122#" "a_1695_n100#" 0.128504
+cap "a_n2433_n100#" "a_n2529_n100#" 147.936
+cap "a_n225_n100#" "a_1167_n188#" 0.0368237
+cap "a_n177_n188#" "a_n1377_n100#" 0.0665629
+cap "a_399_n188#" "a_n705_n100#" 0.0806112
+cap "a_1455_122#" "a_2367_n100#" 0.106443
+cap "a_63_n100#" "a_831_n100#" 0.746023
+cap "a_n81_122#" "a_n993_n100#" 0.106443
+cap "a_543_n100#" "a_n993_n100#" 0.0825857
+cap "a_n609_n100#" "a_n2097_n188#" 0.0213968
+cap "a_n1089_n100#" "a_495_122#" 0.00578002
+cap "a_831_n100#" "a_111_122#" 0.128504
+cap "a_591_n188#" "a_207_n188#" 0.656437
+cap "a_1311_n100#" "a_1407_n100#" 147.936
+cap "a_n897_n100#" "a_n1329_n188#" 0.152859
+cap "a_543_n100#" "a_2175_n100#" 0.00232329
+cap "a_n561_n188#" "a_255_n100#" 0.117997
+cap "a_n705_n100#" "a_n849_122#" 0.165075
+cap "a_n897_n100#" "a_n705_n100#" 0.990922
+cap "a_1791_n100#" "a_2367_n100#" 0.859064
+cap "a_n225_n100#" "a_n945_n188#" 0.128504
+cap "a_n2529_n100#" "a_n2865_n188#" 0.158349
+cap "a_n1089_n100#" "a_n33_n100#" 0.52842
+cap "a_n561_n188#" "a_n1857_n100#" 0.0519237
+cap "a_n177_n188#" "a_n1473_n100#" 0.0519237
+cap "a_n2817_n100#" "a_n2001_122#" 0.117997
+cap "a_n2577_122#" "a_n1089_n100#" 0.0213968
+cap "a_1071_122#" "a_n513_n100#" 0.00578002
+cap "a_1647_122#" "a_1887_n100#" 0.162433
+cap "a_1983_n100#" "a_1407_n100#" 0.859064
+cap "a_1647_122#" "a_1695_n100#" 3.72042
+cap "a_n2145_n100#" "a_n561_n188#" 0.00578002
+cap "a_687_122#" "a_n129_n100#" 0.117997
+cap "a_1119_n100#" "a_n129_n100#" 0.358817
+cap "a_n1425_122#" "a_n129_n100#" 0.0519237
+cap "a_n1233_122#" "a_n2049_n100#" 0.117997
+cap "a_n417_n100#" "a_303_122#" 0.128504
+cap "a_n1233_122#" "a_n1185_n100#" 3.72042
+cap "a_n177_n188#" "a_351_n100#" 0.146013
+cap "a_543_n100#" "a_1839_122#" 0.0519237
+cap "a_n609_n100#" "a_303_122#" 0.106443
+cap "a_351_n100#" "a_1215_n100#" 0.679303
+cap "a_1887_n100#" "a_591_n188#" 0.0519237
+cap "a_2031_122#" "a_2367_n100#" 0.158349
+cap "a_n513_n100#" "a_n1329_n188#" 0.117997
+cap "a_n2385_122#" "a_n1281_n100#" 0.0806112
+cap "a_591_n188#" "a_1695_n100#" 0.0806112
+cap "a_n81_122#" "a_n273_122#" 25.1921
+cap "a_543_n100#" "a_n273_122#" 0.117997
+cap "a_255_n100#" "a_n1041_122#" 0.0519237
+cap "a_2223_122#" "a_639_n100#" 0.00578002
+cap "a_n1761_n100#" "a_n2049_n100#" 0.970605
+cap "a_n513_n100#" "a_n705_n100#" 0.990922
+cap "a_63_n100#" "a_1407_n100#" 0.268853
+cap "a_n993_n100#" "a_n2193_122#" 0.0665629
+cap "a_n1761_n100#" "a_n1185_n100#" 0.859064
+cap "a_n1569_n100#" "a_n993_n100#" 0.859064
+cap "a_n657_122#" "a_n1809_122#" 0.316232
+cap "a_1887_n100#" "a_879_122#" 0.093944
+cap "a_n1857_n100#" "a_n1041_122#" 0.117997
+cap "a_111_122#" "a_1407_n100#" 0.0519237
+cap "a_879_122#" "a_1695_n100#" 0.117997
+cap "a_n465_122#" "a_1071_122#" 0.0649833
+cap "a_n81_122#" "a_n321_n100#" 0.162433
+cap "a_543_n100#" "a_n321_n100#" 0.679303
+cap "a_n2481_n188#" "a_n1665_n100#" 0.117997
+cap "a_1023_n100#" "a_207_n188#" 0.117997
+cap "a_1503_n100#" "a_2415_122#" 0.106443
+cap "a_591_n188#" "a_975_n188#" 0.656437
+cap "a_n2145_n100#" "a_n1041_122#" 0.0806112
+cap "a_2271_n100#" "a_687_122#" 0.00578002
+cap "a_2271_n100#" "a_1119_n100#" 0.445596
+cap "a_n2577_122#" "a_n2721_n100#" 0.165075
+cap "a_1551_n188#" "a_2655_n100#" 0.0806112
+cap "a_1551_n188#" "a_1215_n100#" 0.158349
+cap "a_687_122#" "a_495_122#" 25.1921
+cap "a_927_n100#" "a_n657_122#" 0.00578002
+cap "a_1119_n100#" "a_495_122#" 0.13787
+cap "a_n1713_n188#" "a_n993_n100#" 0.128504
+cap "a_n369_n188#" "a_831_n100#" 0.0665629
+cap "a_879_122#" "a_975_n188#" 13.3333
+cap "a_1599_n100#" "a_2559_n100#" 0.606553
+cap "a_n1137_n188#" "a_n129_n100#" 0.093944
+cap "a_2607_122#" "a_1263_122#" 0.194045
+cap "a_1167_n188#" "a_2367_n100#" 0.0665629
+cap "a_1071_122#" "a_2415_122#" 0.194045
+cap "a_1935_n188#" "a_351_n100#" 0.00578002
+cap "a_735_n100#" "a_n225_n100#" 0.606553
+cap "a_591_n188#" "a_n753_n188#" 0.194045
+cap "a_687_122#" "a_n33_n100#" 0.128504
+cap "a_n1425_122#" "a_n33_n100#" 0.0368237
+cap "a_n465_122#" "a_n705_n100#" 0.162433
+cap "a_1119_n100#" "a_n33_n100#" 0.445596
+cap "a_1647_122#" "a_1503_n100#" 0.165075
+cap "a_63_n100#" "a_n417_n100#" 0.904382
+cap "a_2271_n100#" "a_1743_n188#" 0.146013
+cap "a_927_n100#" "a_159_n100#" 0.746023
+cap "a_n2577_122#" "a_n1425_122#" 0.316232
+cap "a_447_n100#" "a_n561_n188#" 0.093944
+cap "a_n417_n100#" "a_111_122#" 0.146013
+cap "a_399_n188#" "a_n129_n100#" 0.146013
+cap "a_n993_n100#" "a_n1953_n100#" 0.606553
+cap "a_2559_n100#" "a_2175_n100#" 0.941673
+cap "a_63_n100#" "a_n609_n100#" 0.806121
+cap "a_n2001_122#" "a_n705_n100#" 0.0519237
+cap "a_n1569_n100#" "a_n273_122#" 0.0519237
+cap "a_n2433_n100#" "a_n1041_122#" 0.0368237
+cap "a_2847_n100#" "a_2367_n100#" 0.887673
+cap "a_n609_n100#" "a_111_122#" 0.128504
+cap "a_n993_n100#" "a_n2241_n100#" 0.358817
+cap "a_1887_n100#" "a_1023_n100#" 0.679303
+cap "a_n129_n100#" "a_n849_122#" 0.128504
+cap "a_n81_122#" "a_n1281_n100#" 0.0665629
+cap "a_1023_n100#" "a_1695_n100#" 0.806121
+cap "a_1119_n100#" "a_1359_n188#" 0.162433
+cap "a_n897_n100#" "a_n129_n100#" 0.746023
+cap "a_n1569_n100#" "a_n321_n100#" 0.358817
+cap "a_1647_122#" "a_1071_122#" 0.600386
+cap "a_591_n188#" "a_1503_n100#" 0.106443
+cap "a_n225_n100#" "a_783_n188#" 0.093944
+cap "a_1599_n100#" "a_2223_122#" 0.13787
+cap "a_n225_n100#" "a_n801_n100#" 0.859064
+cap "a_n1089_n100#" "a_n2097_n188#" 0.093944
+cap "a_255_n100#" "a_n657_122#" 0.106443
+cap "a_n177_n188#" "a_n1185_n100#" 0.093944
+cap "a_1551_n188#" "a_1935_n188#" 0.656437
+cap "a_2319_n188#" "a_2703_n188#" 0.656437
+cap "a_n2337_n100#" "a_n1665_n100#" 0.806121
+cap "a_n2481_n188#" "a_n1377_n100#" 0.0806112
+cap "a_n417_n100#" "a_n1665_n100#" 0.358817
+cap "a_n1857_n100#" "a_n657_122#" 0.0665629
+cap "a_1743_n188#" "a_1359_n188#" 0.656437
+cap "a_1503_n100#" "a_879_122#" 0.13787
+cap "a_2559_n100#" "a_1839_122#" 0.128504
+cap "a_831_n100#" "a_351_n100#" 0.904382
+cap "a_207_n188#" "a_1695_n100#" 0.0213968
+cap "a_n1665_n100#" "a_n609_n100#" 0.52842
+cap "a_1023_n100#" "a_975_n188#" 3.72042
+cap "a_2223_122#" "a_2175_n100#" 3.72042
+cap "a_447_n100#" "a_n1041_122#" 0.0213968
+cap "a_159_n100#" "a_255_n100#" 147.936
+cap "a_n1713_n188#" "a_n321_n100#" 0.0368237
+cap "a_n2145_n100#" "a_n657_122#" 0.0213968
+cap "a_495_122#" "a_399_n188#" 13.3333
+cap "a_n2909_n100#" "a_n1809_122#" 0.0806112
+cap "a_n2481_n188#" "a_n1473_n100#" 0.093944
+cap "a_n1137_n188#" "a_n33_n100#" 0.0806112
+cap "a_n2769_122#" "a_n1809_122#" 0.427216
+cap "a_1551_n188#" "a_2079_n100#" 0.146013
+cap "a_1551_n188#" "a_2127_n188#" 0.600386
+cap "a_n2481_n188#" "a_n2625_n100#" 0.165075
+cap "a_n561_n188#" "a_639_n100#" 0.0665629
+cap "a_1071_122#" "a_879_122#" 25.1921
+cap "a_n513_n100#" "a_n129_n100#" 0.941673
+cap "a_495_122#" "a_n849_122#" 0.194045
+cap "a_207_n188#" "a_975_n188#" 0.523066
+cap "a_2367_n100#" "a_2463_n100#" 147.936
+cap "a_n33_n100#" "a_399_n188#" 0.152859
+cap "a_n897_n100#" "a_495_122#" 0.0368237
+cap "a_n1953_n100#" "a_n321_n100#" 0.00232329
+cap "a_n1089_n100#" "a_303_122#" 0.0368237
+cap "a_n993_n100#" "a_n2529_n100#" 0.0825857
+cap "a_n177_n188#" "a_15_n188#" 25.1921
+cap "a_n1281_n100#" "a_n2193_122#" 0.106443
+cap "a_n1569_n100#" "a_n1281_n100#" 0.970605
+cap "a_1551_n188#" "a_831_n100#" 0.128504
+cap "a_2223_122#" "a_1839_122#" 0.656437
+cap "a_n33_n100#" "a_n849_122#" 0.117997
+cap "a_15_n188#" "a_1215_n100#" 0.0665629
+cap "a_n897_n100#" "a_n33_n100#" 0.679303
+cap "a_591_n188#" "a_n705_n100#" 0.0519237
+cap "a_1887_n100#" "a_1695_n100#" 0.990922
+cap "a_n1617_122#" "a_n801_n100#" 0.117997
+cap "a_n2385_122#" "a_n2193_122#" 25.1921
+cap "a_n2097_n188#" "a_n2721_n100#" 0.13787
+cap "a_n1569_n100#" "a_n2385_122#" 0.117997
+cap "a_n369_n188#" "a_n417_n100#" 3.72042
+cap "a_1359_n188#" "a_399_n188#" 0.427216
+cap "a_207_n188#" "a_n753_n188#" 0.427216
+cap "a_735_n100#" "a_2367_n100#" 0.00232329
+cap "a_927_n100#" "a_1455_122#" 0.146013
+cap "a_1023_n100#" "a_1503_n100#" 0.904382
+cap "a_n369_n188#" "a_n609_n100#" 0.162433
+cap "a_n225_n100#" "a_1263_122#" 0.0213968
+cap "a_543_n100#" "a_n81_122#" 0.13787
+cap "a_879_122#" "a_n705_n100#" 0.00578002
+cap "a_351_n100#" "a_1407_n100#" 0.52842
+cap "a_n2337_n100#" "a_n1377_n100#" 0.606553
+cap "a_n417_n100#" "a_n1377_n100#" 0.606553
+cap "a_n1713_n188#" "a_n1281_n100#" 0.152859
+cap "a_n465_122#" "a_n129_n100#" 0.158349
+cap "a_2511_n188#" "a_1119_n100#" 0.0368237
+cap "a_927_n100#" "a_1791_n100#" 0.679303
+cap "a_n609_n100#" "a_n1377_n100#" 0.746023
+cap "a_1887_n100#" "a_975_n188#" 0.106443
+cap "a_n513_n100#" "a_495_122#" 0.093944
+cap "a_1695_n100#" "a_975_n188#" 0.128504
+cap "a_1071_122#" "a_1023_n100#" 3.72042
+cap "a_1503_n100#" "a_207_n188#" 0.0519237
+cap "a_447_n100#" "a_n657_122#" 0.0806112
+cap "a_n2337_n100#" "a_n1473_n100#" 0.679303
+cap "a_n1953_n100#" "a_n1281_n100#" 0.806121
+cap "a_n417_n100#" "a_n1473_n100#" 0.52842
+cap "a_783_n188#" "a_2367_n100#" 0.00578002
+cap "a_n2337_n100#" "a_n2625_n100#" 0.970605
+cap "a_n513_n100#" "a_n33_n100#" 0.904382
+cap "a_2511_n188#" "a_1743_n188#" 0.523066
+cap "a_n1473_n100#" "a_n609_n100#" 0.679303
+cap "a_n1089_n100#" "a_63_n100#" 0.445596
+cap "a_n1281_n100#" "a_n2241_n100#" 0.606553
+cap "a_n1953_n100#" "a_n2385_122#" 0.152859
+cap "a_n1857_n100#" "a_n2769_122#" 0.106443
+cap "a_n1857_n100#" "a_n2909_n100#" 0.519
+cap "a_1551_n188#" "a_1407_n100#" 0.165075
+cap "a_n1089_n100#" "a_111_122#" 0.0665629
+cap "a_255_n100#" "a_1455_122#" 0.0665629
+cap "a_447_n100#" "a_159_n100#" 0.970605
+cap "a_n561_n188#" "a_n993_n100#" 0.152859
+cap "a_927_n100#" "a_2031_122#" 0.0806112
+cap "a_n417_n100#" "a_351_n100#" 0.746023
+cap "a_2655_n100#" "a_2607_122#" 3.72042
+cap "a_n1857_n100#" "a_n1521_n188#" 0.158349
+cap "a_n2385_122#" "a_n2241_n100#" 0.165075
+cap "a_2607_122#" "a_1215_n100#" 0.0368237
+cap "a_n225_n100#" "a_n1233_122#" 0.093944
+cap "a_n2145_n100#" "a_n2909_n100#" 0.732419
+cap "a_n2145_n100#" "a_n2769_122#" 0.13787
+cap "a_687_122#" "a_303_122#" 0.656437
+cap "a_n609_n100#" "a_351_n100#" 0.606553
+cap "a_1119_n100#" "a_303_122#" 0.117997
+cap "a_1791_n100#" "a_255_n100#" 0.0825857
+cap "a_n465_122#" "a_495_122#" 0.427216
+cap "a_n1569_n100#" "a_n81_122#" 0.0213968
+cap "a_n2145_n100#" "a_n1521_n188#" 0.13787
+cap "a_687_122#" "a_1311_n100#" 0.13787
+cap "a_2655_n100#" "a_2703_n188#" 3.72042
+cap "a_1119_n100#" "a_1311_n100#" 0.990922
+cap "a_1887_n100#" "a_1503_n100#" 0.941673
+cap "a_1215_n100#" "a_2703_n188#" 0.0213968
+cap "a_1503_n100#" "a_1695_n100#" 0.990922
+cap "a_n1281_n100#" "a_n2673_n188#" 0.0368237
+cap "a_n2481_n188#" "a_n2049_n100#" 0.152859
+cap "a_n1761_n100#" "a_n225_n100#" 0.0825857
+cap "a_n2097_n188#" "a_n1137_n188#" 0.427216
+cap "a_1599_n100#" "a_2751_n100#" 0.445596
+cap "a_n2481_n188#" "a_n1185_n100#" 0.0519237
+cap "a_n1089_n100#" "a_n1665_n100#" 0.859064
+cap "a_207_n188#" "a_n1329_n188#" 0.0649833
+cap "a_n465_122#" "a_n33_n100#" 0.152859
+cap "a_831_n100#" "a_15_n188#" 0.117997
+cap "a_207_n188#" "a_n705_n100#" 0.106443
+cap "a_1983_n100#" "a_687_122#" 0.0519237
+cap "a_1119_n100#" "a_1983_n100#" 0.679303
+cap "a_n2577_122#" "a_n2001_122#" 0.600386
+cap "a_n657_122#" "a_639_n100#" 0.0519237
+cap "a_2751_n100#" "a_2175_n100#" 0.859064
+cap "a_n2433_n100#" "a_n2909_n100#" 0.887673
+cap "a_n2433_n100#" "a_n2769_122#" 0.158349
+cap "a_1743_n188#" "a_1311_n100#" 0.152859
+cap "a_2271_n100#" "a_2415_122#" 0.165075
+cap "a_n993_n100#" "a_n1041_122#" 3.72042
+cap "a_1071_122#" "a_1887_n100#" 0.117997
+cap "a_1071_122#" "a_1695_n100#" 0.13787
+cap "a_927_n100#" "a_1167_n188#" 0.162433
+cap "a_n1281_n100#" "a_n2529_n100#" 0.358817
+cap "a_1503_n100#" "a_975_n188#" 0.146013
+cap "a_591_n188#" "a_n129_n100#" 0.128504
+cap "a_2799_122#" "a_1599_n100#" 0.0665629
+cap "a_n2433_n100#" "a_n1521_n188#" 0.106443
+cap "a_2367_n100#" "a_1263_122#" 0.0806112
+cap "a_n897_n100#" "a_n2097_n188#" 0.0665629
+cap "a_159_n100#" "a_639_n100#" 0.904382
+cap "a_1743_n188#" "a_1983_n100#" 0.162433
+cap "a_n2385_122#" "a_n2529_n100#" 0.165075
+cap "a_n2817_n100#" "a_n2289_n188#" 0.146013
+cap "a_n2769_122#" "a_n2865_n188#" 13.3333
+cap "a_n2909_n100#" "a_n2865_n188#" 3.75179
+cap "a_n753_n188#" "a_n2289_n188#" 0.0649833
+cap "a_n1233_122#" "a_n1617_122#" 0.656437
+cap "a_n561_n188#" "a_n321_n100#" 0.162433
+cap "a_879_122#" "a_n129_n100#" 0.093944
+cap "a_2799_122#" "a_2175_n100#" 0.13787
+cap "a_1647_122#" "a_2271_n100#" 0.13787
+cap "a_1935_n188#" "a_2703_n188#" 0.523066
+cap "a_63_n100#" "a_687_122#" 0.13787
+cap "a_1071_122#" "a_975_n188#" 13.3333
+cap "a_2319_n188#" "a_2367_n100#" 3.72042
+cap "a_n1521_n188#" "a_n2865_n188#" 0.194045
+cap "a_1119_n100#" "a_63_n100#" 0.52842
+cap "a_n1425_122#" "a_63_n100#" 0.0213968
+cap "a_n1569_n100#" "a_n2193_122#" 0.13787
+cap "a_1647_122#" "a_495_122#" 0.316232
+cap "a_687_122#" "a_111_122#" 0.600386
+cap "a_1119_n100#" "a_111_122#" 0.093944
+cap "a_2751_n100#" "a_1839_122#" 0.106443
+cap "a_n1425_122#" "a_111_122#" 0.0649833
+cap "a_303_122#" "a_399_n188#" 13.3333
+cap "a_n369_n188#" "a_n1089_n100#" 0.128504
+cap "a_2607_122#" "a_2079_n100#" 0.146013
+cap "a_n1761_n100#" "a_n1617_122#" 0.165075
+cap "a_n1665_n100#" "a_n2721_n100#" 0.52842
+cap "a_1311_n100#" "a_399_n188#" 0.106443
+cap "a_447_n100#" "a_1455_122#" 0.093944
+cap "a_n2337_n100#" "a_n2049_n100#" 0.970605
+cap "a_303_122#" "a_n849_122#" 0.316232
+cap "a_255_n100#" "a_1167_n188#" 0.106443
+cap "a_n2337_n100#" "a_n1185_n100#" 0.445596
+cap "a_n417_n100#" "a_n2049_n100#" 0.00232329
+cap "a_n897_n100#" "a_303_122#" 0.0665629
+cap "a_n1089_n100#" "a_n1377_n100#" 0.970605
+cap "a_n417_n100#" "a_n1185_n100#" 0.746023
+cap "a_n273_122#" "a_n1041_122#" 0.523066
+cap "a_15_n188#" "a_1407_n100#" 0.0368237
+cap "a_n513_n100#" "a_n2097_n188#" 0.00578002
+cap "a_n177_n188#" "a_n225_n100#" 3.72042
+cap "a_2703_n188#" "a_2127_n188#" 0.600386
+cap "a_591_n188#" "a_495_122#" 13.3333
+cap "a_2079_n100#" "a_2703_n188#" 0.13787
+cap "a_n609_n100#" "a_n2049_n100#" 0.176503
+cap "a_2799_122#" "a_1839_122#" 0.427216
+cap "a_n609_n100#" "a_n1185_n100#" 0.859064
+cap "a_n1569_n100#" "a_n1713_n188#" 0.165075
+cap "a_447_n100#" "a_1791_n100#" 0.268853
+cap "a_1983_n100#" "a_399_n188#" 0.00578002
+cap "a_n225_n100#" "a_1215_n100#" 0.176503
+cap "a_n1041_122#" "a_n321_n100#" 0.128504
+cap "a_n1425_122#" "a_n1665_n100#" 0.162433
+cap "a_2271_n100#" "a_879_122#" 0.0368237
+cap "a_255_n100#" "a_n945_n188#" 0.0665629
+cap "a_495_122#" "a_879_122#" 0.656437
+cap "a_591_n188#" "a_n33_n100#" 0.13787
+cap "a_n1089_n100#" "a_n1473_n100#" 0.941673
+cap "a_n1905_n188#" "a_n1809_122#" 13.3333
+cap "a_n561_n188#" "a_n1281_n100#" 0.128504
+cap "a_n945_n188#" "a_n1857_n100#" 0.106443
+cap "a_1023_n100#" "a_n129_n100#" 0.445596
+cap "a_n1953_n100#" "a_n2193_122#" 0.162433
+cap "a_n993_n100#" "a_n657_122#" 0.158349
+cap "a_n1329_n188#" "a_n2817_n100#" 0.0213968
+cap "a_n1329_n188#" "a_n753_n188#" 0.600386
+cap "a_n1089_n100#" "a_n2625_n100#" 0.0825857
+cap "a_n1569_n100#" "a_n1953_n100#" 0.941673
+cap "a_927_n100#" "a_2463_n100#" 0.0825857
+cap "a_1071_122#" "a_1503_n100#" 0.152859
+cap "a_879_122#" "a_n33_n100#" 0.106443
+cap "a_63_n100#" "a_n1137_n188#" 0.0665629
+cap "a_n753_n188#" "a_n705_n100#" 3.72042
+cap "a_1599_n100#" "a_159_n100#" 0.176503
+cap "a_n2241_n100#" "a_n2193_122#" 3.72042
+cap "a_n1569_n100#" "a_n2241_n100#" 0.806121
+cap "a_n2145_n100#" "a_n945_n188#" 0.0665629
+cap "a_591_n188#" "a_1359_n188#" 0.523066
+cap "a_n1089_n100#" "a_351_n100#" 0.176503
+cap "a_n417_n100#" "a_15_n188#" 0.152859
+cap "a_n993_n100#" "a_159_n100#" 0.445596
+cap "a_n513_n100#" "a_303_122#" 0.117997
+cap "a_447_n100#" "a_2031_122#" 0.00578002
+cap "a_63_n100#" "a_399_n188#" 0.158349
+cap "a_n1329_n188#" "a_n2289_n188#" 0.427216
+cap "a_207_n188#" "a_n129_n100#" 0.158349
+cap "a_n609_n100#" "a_15_n188#" 0.13787
+cap "a_735_n100#" "a_927_n100#" 0.990922
+cap "a_n2097_n188#" "a_n2001_122#" 13.3333
+cap "a_n1713_n188#" "a_n1953_n100#" 0.162433
+cap "a_n2289_n188#" "a_n705_n100#" 0.00578002
+cap "a_1455_122#" "a_639_n100#" 0.117997
+cap "a_n1377_n100#" "a_n2721_n100#" 0.268853
+cap "a_n801_n100#" "a_n1809_122#" 0.093944
+cap "a_63_n100#" "a_n849_122#" 0.106443
+cap "a_63_n100#" "a_n897_n100#" 0.606553
+cap "a_2607_122#" "a_1407_n100#" 0.0665629
+cap "a_111_122#" "a_n849_122#" 0.427216
+cap "a_n1713_n188#" "a_n2241_n100#" 0.146013
+cap "a_1119_n100#" "a_n369_n188#" 0.0213968
+cap "a_n897_n100#" "a_111_122#" 0.093944
+cap "a_n1281_n100#" "a_n1041_122#" 0.162433
+cap "a_n1569_n100#" "a_n2673_n188#" 0.0806112
+cap "a_2271_n100#" "a_1023_n100#" 0.358817
+cap "a_1791_n100#" "a_639_n100#" 0.445596
+cap "a_n1665_n100#" "a_n1137_n188#" 0.146013
+cap "a_n2433_n100#" "a_n945_n188#" 0.0213968
+cap "a_1023_n100#" "a_495_122#" 0.146013
+cap "a_2511_n188#" "a_2415_122#" 13.3333
+cap "a_n273_122#" "a_n657_122#" 0.656437
+cap "a_n2385_122#" "a_n1041_122#" 0.194045
+cap "a_n1473_n100#" "a_n2721_n100#" 0.358817
+cap "a_n1425_122#" "a_n1377_n100#" 3.72042
+cap "a_2703_n188#" "a_1407_n100#" 0.0519237
+cap "a_n1953_n100#" "a_n2241_n100#" 0.970605
+cap "a_927_n100#" "a_783_n188#" 0.165075
+cap "a_n2625_n100#" "a_n2721_n100#" 147.936
+cap "a_n465_122#" "a_303_122#" 0.523066
+cap "a_1023_n100#" "a_n33_n100#" 0.52842
+cap "a_n657_122#" "a_n321_n100#" 0.158349
+cap "a_447_n100#" "a_1167_n188#" 0.128504
+cap "a_n2529_n100#" "a_n2193_122#" 0.158349
+cap "a_n1569_n100#" "a_n2529_n100#" 0.606553
+cap "a_n273_122#" "a_159_n100#" 0.152859
+cap "a_n1665_n100#" "a_n849_122#" 0.117997
+cap "a_n1713_n188#" "a_n2673_n188#" 0.427216
+cap "a_735_n100#" "a_255_n100#" 0.904382
+cap "a_n1665_n100#" "a_n897_n100#" 0.746023
+cap "a_2223_122#" "a_2559_n100#" 0.158349
+cap "a_n1425_122#" "a_n1473_n100#" 3.72042
+cap "a_n1857_n100#" "a_n1905_n188#" 3.72042
+cap "a_2031_122#" "a_639_n100#" 0.0368237
+cap "a_543_n100#" "a_n561_n188#" 0.0806112
+cap "a_63_n100#" "a_n513_n100#" 0.859064
+cap "a_2655_n100#" "a_2367_n100#" 0.970605
+cap "a_159_n100#" "a_n321_n100#" 0.904382
+cap "a_n225_n100#" "a_831_n100#" 0.52842
+cap "a_n1425_122#" "a_n2625_n100#" 0.0665629
+cap "a_1023_n100#" "a_1359_n188#" 0.158349
+cap "a_447_n100#" "a_n945_n188#" 0.0368237
+cap "a_1215_n100#" "a_2367_n100#" 0.445596
+cap "a_n513_n100#" "a_111_122#" 0.13787
+cap "a_207_n188#" "a_n33_n100#" 0.162433
+cap "a_n1953_n100#" "a_n2673_n188#" 0.128504
+cap "a_n1329_n188#" "a_n705_n100#" 0.13787
+cap "a_n2145_n100#" "a_n1905_n188#" 0.162433
+cap "a_687_122#" "a_351_n100#" 0.158349
+cap "a_n129_n100#" "a_975_n188#" 0.0806112
+cap "a_1119_n100#" "a_351_n100#" 0.746023
+cap "a_n1713_n188#" "a_n2529_n100#" 0.117997
+cap "a_n369_n188#" "a_n1137_n188#" 0.523066
+cap "a_n2673_n188#" "a_n2241_n100#" 0.152859
+cap "a_1599_n100#" "a_1455_122#" 0.165075
+cap "a_2415_122#" "a_1311_n100#" 0.0806112
+cap "a_255_n100#" "a_783_n188#" 0.146013
+cap "a_255_n100#" "a_n801_n100#" 0.52842
+cap "a_n1089_n100#" "a_n2049_n100#" 0.606553
+cap "a_207_n188#" "a_1359_n188#" 0.316232
+cap "a_n993_n100#" "a_n1521_n188#" 0.146013
+cap "a_n1089_n100#" "a_n1185_n100#" 147.936
+cap "a_n369_n188#" "a_399_n188#" 0.523066
+cap "a_2271_n100#" "a_1887_n100#" 0.941673
+cap "a_n1137_n188#" "a_n1377_n100#" 0.162433
+cap "a_2271_n100#" "a_1695_n100#" 0.859064
+cap "a_n801_n100#" "a_n1857_n100#" 0.52842
+cap "a_n1953_n100#" "a_n2529_n100#" 0.859064
+cap "a_1599_n100#" "a_1791_n100#" 0.990922
+cap "a_1887_n100#" "a_495_122#" 0.0368237
+cap "a_1743_n188#" "a_351_n100#" 0.0368237
+cap "a_n753_n188#" "a_n129_n100#" 0.13787
+cap "a_1455_122#" "a_2175_n100#" 0.128504
+cap "a_n513_n100#" "a_n1665_n100#" 0.445596
+cap "a_495_122#" "a_1695_n100#" 0.0665629
+cap "a_n1281_n100#" "a_n657_122#" 0.13787
+cap "a_1647_122#" "a_303_122#" 0.194045
+cap "a_1983_n100#" "a_2415_122#" 0.152859
+cap "a_n81_122#" "a_n1041_122#" 0.427216
+cap "a_543_n100#" "a_n1041_122#" 0.00578002
+cap "a_n465_122#" "a_63_n100#" 0.146013
+cap "a_n2433_n100#" "a_n1905_n188#" 0.146013
+cap "a_1167_n188#" "a_639_n100#" 0.146013
+cap "a_n2529_n100#" "a_n2241_n100#" 0.970605
+cap "a_n369_n188#" "a_n897_n100#" 0.146013
+cap "a_927_n100#" "a_1263_122#" 0.158349
+cap "a_n2145_n100#" "a_n801_n100#" 0.268853
+cap "a_n465_122#" "a_111_122#" 0.600386
+cap "a_1791_n100#" "a_2175_n100#" 0.941673
+cap "a_1119_n100#" "a_1551_n188#" 0.152859
+cap "a_1647_122#" "a_1311_n100#" 0.158349
+cap "a_1935_n188#" "a_2367_n100#" 0.152859
+cap "a_n1473_n100#" "a_n1137_n188#" 0.158349
+cap "a_n1281_n100#" "a_159_n100#" 0.176503
+cap "a_n1377_n100#" "a_n849_122#" 0.146013
+cap "a_n1569_n100#" "a_n561_n188#" 0.093944
+cap "a_n2625_n100#" "a_n1137_n188#" 0.0213968
+cap "a_2271_n100#" "a_975_n188#" 0.0519237
+cap "a_n897_n100#" "a_n1377_n100#" 0.904382
+cap "a_n225_n100#" "a_1407_n100#" 0.00232329
+cap "a_n945_n188#" "a_639_n100#" 0.00578002
+cap "a_n1905_n188#" "a_n2865_n188#" 0.427216
+cap "a_1503_n100#" "a_n129_n100#" 0.00232329
+cap "a_927_n100#" "a_2319_n188#" 0.0368237
+cap "a_1647_122#" "a_1983_n100#" 0.158349
+cap "a_n1089_n100#" "a_15_n188#" 0.0806112
+cap "a_1887_n100#" "a_1359_n188#" 0.146013
+cap "a_1599_n100#" "a_2031_122#" 0.152859
+cap "a_1455_122#" "a_1839_122#" 0.656437
+cap "a_1359_n188#" "a_1695_n100#" 0.158349
+cap "a_1743_n188#" "a_1551_n188#" 25.1921
+cap "a_351_n100#" "a_n1137_n188#" 0.0213968
+cap "a_n1233_122#" "a_n1809_122#" 0.600386
+cap "a_n2529_n100#" "a_n2673_n188#" 0.165075
+cap "a_591_n188#" "a_1311_n100#" 0.128504
+cap "a_n33_n100#" "a_975_n188#" 0.093944
+cap "a_n1473_n100#" "a_n849_122#" 0.13787
+cap "a_n465_122#" "a_n1665_n100#" 0.0665629
+cap "a_2079_n100#" "a_2367_n100#" 0.970605
+cap "a_303_122#" "a_879_122#" 0.600386
+cap "a_2127_n188#" "a_2367_n100#" 0.162433
+cap "a_n2433_n100#" "a_n801_n100#" 0.00232329
+cap "a_n1473_n100#" "a_n897_n100#" 0.859064
+cap "a_447_n100#" "a_735_n100#" 0.970605
+cap "a_351_n100#" "a_399_n188#" 3.72042
+cap "a_n2721_n100#" "a_n2049_n100#" 0.806121
+cap "a_2031_122#" "a_2175_n100#" 0.165075
+cap "a_n1713_n188#" "a_n561_n188#" 0.316232
+cap "a_n2721_n100#" "a_n1185_n100#" 0.0825857
+cap "a_n1665_n100#" "a_n2001_122#" 0.158349
+cap "a_1791_n100#" "a_1839_122#" 3.72042
+cap "a_n369_n188#" "a_n513_n100#" 0.165075
+cap "a_1071_122#" "a_n129_n100#" 0.0665629
+cap "a_n1521_n188#" "a_n321_n100#" 0.0665629
+cap "a_1311_n100#" "a_879_122#" 0.152859
+cap "a_2511_n188#" "a_1023_n100#" 0.0213968
+cap "a_255_n100#" "a_1263_122#" 0.093944
+cap "a_n1761_n100#" "a_n1809_122#" 3.72042
+cap "a_1983_n100#" "a_591_n188#" 0.0368237
+cap "a_351_n100#" "a_n849_122#" 0.0665629
+cap "a_1359_n188#" "a_975_n188#" 0.656437
+cap "a_831_n100#" "a_2367_n100#" 0.0825857
+cap "a_n897_n100#" "a_351_n100#" 0.358817
+cap "a_n1041_122#" "a_n2193_122#" 0.316232
+cap "a_n33_n100#" "a_n753_n188#" 0.128504
+cap "a_n1569_n100#" "a_n1041_122#" 0.146013
+cap "a_n225_n100#" "a_n417_n100#" 0.990922
+cap "a_n2577_122#" "a_n2817_n100#" 0.162433
+cap "a_1647_122#" "a_63_n100#" 0.00578002
+cap "a_n513_n100#" "a_n1377_n100#" 0.679303
+cap "a_n561_n188#" "a_n1953_n100#" 0.0368237
+cap "a_n1425_122#" "a_n2049_n100#" 0.13787
+cap "a_n1425_122#" "a_n1185_n100#" 0.162433
+cap "a_1647_122#" "a_111_122#" 0.0649833
+cap "a_1983_n100#" "a_879_122#" 0.0806112
+cap "a_2271_n100#" "a_1503_n100#" 0.746023
+cap "a_n225_n100#" "a_n609_n100#" 0.941673
+cap "a_1503_n100#" "a_495_122#" 0.093944
+cap "a_n1329_n188#" "a_n129_n100#" 0.0665629
+cap "a_447_n100#" "a_783_n188#" 0.158349
+cap "a_1599_n100#" "a_1167_n188#" 0.152859
+cap "a_447_n100#" "a_n801_n100#" 0.358817
+cap "a_n81_122#" "a_n657_122#" 0.600386
+cap "a_543_n100#" "a_n657_122#" 0.0665629
+cap "a_1551_n188#" "a_399_n188#" 0.316232
+cap "a_2031_122#" "a_1839_122#" 25.1921
+cap "a_n129_n100#" "a_n705_n100#" 0.859064
+cap "a_n513_n100#" "a_n1473_n100#" 0.606553
+cap "a_63_n100#" "a_591_n188#" 0.146013
+cap "a_1503_n100#" "a_n33_n100#" 0.0825857
+cap "a_1167_n188#" "a_2175_n100#" 0.093944
+cap "a_n465_122#" "a_n369_n188#" 13.3333
+cap "a_2559_n100#" "a_2751_n100#" 0.990922
+cap "a_2847_n100#" "a_1599_n100#" 0.352626
+cap "a_2271_n100#" "a_1071_122#" 0.0665629
+cap "a_1023_n100#" "a_303_122#" 0.128504
+cap "a_n1281_n100#" "a_n2909_n100#" 0.00232329
+cap "a_n1281_n100#" "a_n2769_122#" 0.0213968
+cap "a_n81_122#" "a_159_n100#" 0.162433
+cap "a_1071_122#" "a_495_122#" 0.600386
+cap "a_543_n100#" "a_159_n100#" 0.941673
+cap "a_n993_n100#" "a_n945_n188#" 3.72042
+cap "a_n1233_122#" "a_255_n100#" 0.0213968
+cap "a_63_n100#" "a_879_122#" 0.117997
+cap "a_735_n100#" "a_639_n100#" 147.936
+cap "a_n513_n100#" "a_351_n100#" 0.679303
+cap "a_n1281_n100#" "a_n1521_n188#" 0.162433
+cap "a_1023_n100#" "a_1311_n100#" 0.970605
+cap "a_n2385_122#" "a_n2909_n100#" 0.146013
+cap "a_n465_122#" "a_n1377_n100#" 0.106443
+cap "a_n2385_122#" "a_n2769_122#" 0.656437
+cap "a_2847_n100#" "a_2175_n100#" 0.791348
+cap "a_n1953_n100#" "a_n1041_122#" 0.106443
+cap "a_111_122#" "a_879_122#" 0.523066
+cap "a_1503_n100#" "a_1359_n188#" 0.165075
+cap "a_n1233_122#" "a_n1857_n100#" 0.13787
+cap "a_1119_n100#" "a_15_n188#" 0.0806112
+cap "a_1071_122#" "a_n33_n100#" 0.0806112
+cap "a_n1377_n100#" "a_n2001_122#" 0.13787
+cap "a_2799_122#" "a_2559_n100#" 0.162433
+cap "a_2367_n100#" "a_1407_n100#" 0.606553
+cap "a_n1041_122#" "a_n2241_n100#" 0.0665629
+cap "a_n2337_n100#" "a_n1617_122#" 0.128504
+cap "a_207_n188#" "a_303_122#" 13.3333
+cap "a_2511_n188#" "a_1887_n100#" 0.13787
+cap "a_n417_n100#" "a_n1617_122#" 0.0665629
+cap "a_2511_n188#" "a_1695_n100#" 0.117997
+cap "a_1983_n100#" "a_1023_n100#" 0.606553
+cap "a_n1137_n188#" "a_n2049_n100#" 0.106443
+cap "a_n1137_n188#" "a_n1185_n100#" 3.72042
+cap "a_n2145_n100#" "a_n1233_122#" 0.106443
+cap "a_n465_122#" "a_n1473_n100#" 0.093944
+cap "a_n1617_122#" "a_n609_n100#" 0.093944
+cap "a_207_n188#" "a_1311_n100#" 0.0806112
+cap "a_2223_122#" "a_2751_n100#" 0.146013
+cap "a_n1761_n100#" "a_n1857_n100#" 147.936
+cap "a_495_122#" "a_n705_n100#" 0.0665629
+cap "a_n1473_n100#" "a_n2001_122#" 0.146013
+cap "a_639_n100#" "a_783_n188#" 0.165075
+cap "a_n801_n100#" "a_639_n100#" 0.176503
+cap "a_n657_122#" "a_n2193_122#" 0.0649833
+cap "a_n1569_n100#" "a_n657_122#" 0.106443
+cap "a_399_n188#" "a_n1185_n100#" 0.00578002
+cap "a_n1329_n188#" "a_n33_n100#" 0.0519237
+cap "a_n2625_n100#" "a_n2001_122#" 0.13787
+cap "a_447_n100#" "a_1263_122#" 0.117997
+cap "a_2847_n100#" "a_1839_122#" 0.093944
+cap "a_n465_122#" "a_351_n100#" 0.117997
+cap "a_2511_n188#" "a_975_n188#" 0.0649833
+cap "a_n1761_n100#" "a_n2145_n100#" 0.941673
+cap "a_1167_n188#" "a_n321_n100#" 0.0213968
+cap "a_n33_n100#" "a_n705_n100#" 0.806121
+cap "a_n2049_n100#" "a_n849_122#" 0.0665629
+cap "a_n1185_n100#" "a_n849_122#" 0.158349
+cap "a_n177_n188#" "a_927_n100#" 0.0806112
+cap "a_1599_n100#" "a_2463_n100#" 0.679303
+cap "a_n897_n100#" "a_n2049_n100#" 0.445596
+cap "a_n897_n100#" "a_n1185_n100#" 0.970605
+cap "a_2799_122#" "a_2223_122#" 0.600386
+cap "a_63_n100#" "a_1023_n100#" 0.606553
+cap "a_927_n100#" "a_1215_n100#" 0.970605
+cap "a_n1233_122#" "a_n2433_n100#" 0.0665629
+cap "a_1023_n100#" "a_111_122#" 0.106443
+cap "a_1887_n100#" "a_303_122#" 0.00578002
+cap "a_303_122#" "a_1695_n100#" 0.0368237
+cap "a_2463_n100#" "a_2175_n100#" 0.970605
+cap "a_n945_n188#" "a_n321_n100#" 0.13787
+cap "a_15_n188#" "a_n1137_n188#" 0.316232
+cap "a_n2097_n188#" "a_n2817_n100#" 0.128504
+cap "a_n2097_n188#" "a_n753_n188#" 0.194045
+cap "a_1887_n100#" "a_1311_n100#" 0.859064
+cap "a_n2529_n100#" "a_n1041_122#" 0.0213968
+cap "a_1119_n100#" "a_2607_122#" 0.0213968
+cap "a_1311_n100#" "a_1695_n100#" 0.941673
+cap "a_735_n100#" "a_1599_n100#" 0.679303
+cap "a_n369_n188#" "a_591_n188#" 0.427216
+cap "a_n1761_n100#" "a_n2433_n100#" 0.806121
+cap "a_n993_n100#" "a_n1905_n188#" 0.106443
+cap "a_15_n188#" "a_399_n188#" 0.656437
+cap "a_63_n100#" "a_207_n188#" 0.165075
+cap "a_n1953_n100#" "a_n657_122#" 0.0519237
+cap "a_207_n188#" "a_111_122#" 13.3333
+cap "a_n81_122#" "a_1455_122#" 0.0649833
+cap "a_543_n100#" "a_1455_122#" 0.106443
+cap "a_735_n100#" "a_2175_n100#" 0.176503
+cap "a_1887_n100#" "a_1983_n100#" 147.936
+cap "a_1119_n100#" "a_2703_n188#" 0.00578002
+cap "a_n2097_n188#" "a_n2289_n188#" 25.1921
+cap "a_1983_n100#" "a_1695_n100#" 0.970605
+cap "a_n657_122#" "a_n2241_n100#" 0.00578002
+cap "a_n513_n100#" "a_n2049_n100#" 0.0825857
+cap "a_n177_n188#" "a_255_n100#" 0.152859
+cap "a_n897_n100#" "a_15_n188#" 0.106443
+cap "a_n1089_n100#" "a_n225_n100#" 0.679303
+cap "a_n513_n100#" "a_n1185_n100#" 0.806121
+cap "a_2511_n188#" "a_1503_n100#" 0.093944
+cap "a_n1761_n100#" "a_n2865_n188#" 0.0806112
+cap "a_1311_n100#" "a_975_n188#" 0.158349
+cap "a_2463_n100#" "a_1839_122#" 0.13787
+cap "a_639_n100#" "a_1263_122#" 0.13787
+cap "a_543_n100#" "a_1791_n100#" 0.358817
+cap "a_255_n100#" "a_1215_n100#" 0.606553
+cap "a_1647_122#" "a_351_n100#" 0.0519237
+cap "a_1599_n100#" "a_783_n188#" 0.117997
+cap "a_927_n100#" "a_1935_n188#" 0.093944
+cap "a_1743_n188#" "a_2703_n188#" 0.427216
+cap "a_n993_n100#" "a_n801_n100#" 0.990922
+cap "a_1983_n100#" "a_975_n188#" 0.093944
+cap "a_n1281_n100#" "a_n945_n188#" 0.158349
+cap "a_783_n188#" "a_2175_n100#" 0.0368237
+cap "a_735_n100#" "a_1839_122#" 0.0806112
+cap "a_63_n100#" "a_1695_n100#" 0.00232329
+cap "a_591_n188#" "a_351_n100#" 0.162433
+cap "a_111_122#" "a_1695_n100#" 0.00578002
+cap "a_n2909_n100#" "a_n2193_122#" 0.128504
+cap "a_n2769_122#" "a_n2193_122#" 0.600386
+cap "a_n1569_n100#" "a_n2909_n100#" 0.264366
+cap "a_n1569_n100#" "a_n2769_122#" 0.0665629
+cap "a_735_n100#" "a_n273_122#" 0.093944
+cap "a_927_n100#" "a_2127_n188#" 0.0665629
+cap "a_927_n100#" "a_2079_n100#" 0.445596
+cap "a_n465_122#" "a_n2049_n100#" 0.00578002
+cap "a_543_n100#" "a_2031_122#" 0.0213968
+cap "a_n465_122#" "a_n1185_n100#" 0.128504
+cap "a_n513_n100#" "a_15_n188#" 0.146013
+cap "a_495_122#" "a_n129_n100#" 0.13787
+cap "a_1647_122#" "a_1551_n188#" 13.3333
+cap "a_1503_n100#" "a_303_122#" 0.0665629
+cap "a_n1569_n100#" "a_n1521_n188#" 3.72042
+cap "a_n1905_n188#" "a_n321_n100#" 0.00578002
+cap "a_n2001_122#" "a_n2049_n100#" 3.72042
+cap "a_n369_n188#" "a_1023_n100#" 0.0368237
+cap "a_351_n100#" "a_879_122#" 0.146013
+cap "a_n2001_122#" "a_n1185_n100#" 0.117997
+cap "a_735_n100#" "a_n321_n100#" 0.52842
+cap "a_n1329_n188#" "a_n2097_n188#" 0.523066
+cap "a_1503_n100#" "a_1311_n100#" 0.990922
+cap "a_63_n100#" "a_975_n188#" 0.106443
+cap "a_927_n100#" "a_831_n100#" 147.936
+cap "a_n1089_n100#" "a_n1617_122#" 0.146013
+cap "a_n33_n100#" "a_n129_n100#" 147.936
+cap "a_n2097_n188#" "a_n705_n100#" 0.0368237
+cap "a_n1713_n188#" "a_n2909_n100#" 0.0665629
+cap "a_1071_122#" "a_303_122#" 0.523066
+cap "a_n273_122#" "a_n801_n100#" 0.146013
+cap "a_1551_n188#" "a_591_n188#" 0.427216
+cap "a_1983_n100#" "a_1503_n100#" 0.904382
+cap "a_n369_n188#" "a_207_n188#" 0.600386
+cap "a_n1713_n188#" "a_n1521_n188#" 25.1921
+cap "a_63_n100#" "a_n753_n188#" 0.117997
+cap "a_n225_n100#" "a_687_122#" 0.106443
+cap "a_1071_122#" "a_1311_n100#" 0.162433
+cap "a_1599_n100#" "a_1263_122#" 0.158349
+cap "a_1359_n188#" "a_n129_n100#" 0.0213968
+cap "a_n1425_122#" "a_n225_n100#" 0.0665629
+cap "a_1119_n100#" "a_n225_n100#" 0.268853
+cap "a_783_n188#" "a_n321_n100#" 0.0806112
+cap "a_n801_n100#" "a_n321_n100#" 0.904382
+cap "a_n1953_n100#" "a_n2769_122#" 0.117997
+cap "a_n1953_n100#" "a_n2909_n100#" 0.595638
+cap "a_207_n188#" "a_n1377_n100#" 0.00578002
+cap "a_543_n100#" "a_1167_n188#" 0.13787
+cap "a_1455_122#" "a_2559_n100#" 0.0806112
+cap "a_447_n100#" "a_n177_n188#" 0.13787
+cap "a_2175_n100#" "a_1263_122#" 0.106443
+cap "a_n1953_n100#" "a_n1521_n188#" 0.152859
+cap "a_n2769_122#" "a_n2241_n100#" 0.146013
+cap "a_n2909_n100#" "a_n2241_n100#" 0.791348
+cap "a_1071_122#" "a_1983_n100#" 0.106443
+cap "a_2799_122#" "a_2751_n100#" 3.72042
+cap "a_2319_n188#" "a_1599_n100#" 0.128504
+cap "a_447_n100#" "a_1215_n100#" 0.746023
+cap "a_n1281_n100#" "a_n1905_n188#" 0.13787
+cap "a_255_n100#" "a_831_n100#" 0.859064
+cap "a_303_122#" "a_n705_n100#" 0.093944
+cap "a_1023_n100#" "a_351_n100#" 0.806121
+cap "a_543_n100#" "a_n945_n188#" 0.0213968
+cap "a_n1521_n188#" "a_n2241_n100#" 0.128504
+cap "a_1791_n100#" "a_2559_n100#" 0.746023
+cap "a_495_122#" "a_n33_n100#" 0.146013
+cap "a_63_n100#" "a_1503_n100#" 0.176503
+cap "a_n1665_n100#" "a_n753_n188#" 0.106443
+cap "a_1503_n100#" "a_111_122#" 0.0368237
+cap "a_2319_n188#" "a_2175_n100#" 0.165075
+cap "a_n1665_n100#" "a_n2817_n100#" 0.445596
+cap "a_927_n100#" "a_1407_n100#" 0.904382
+cap "a_n1617_122#" "a_n2721_n100#" 0.0806112
+cap "a_2271_n100#" "a_1359_n188#" 0.106443
+cap "a_n561_n188#" "a_n657_122#" 13.3333
+cap "a_n2673_n188#" "a_n2909_n100#" 0.162433
+cap "a_n2673_n188#" "a_n2769_122#" 13.3333
+cap "a_207_n188#" "a_351_n100#" 0.165075
+cap "a_2223_122#" "a_1455_122#" 0.523066
+cap "a_1263_122#" "a_1839_122#" 0.600386
+cap "a_1071_122#" "a_63_n100#" 0.093944
+cap "a_n2337_n100#" "a_n1809_122#" 0.146013
+cap "a_n417_n100#" "a_n1809_122#" 0.0368237
+cap "a_n1665_n100#" "a_n2289_n188#" 0.13787
+cap "a_1071_122#" "a_111_122#" 0.427216
+cap "a_n2673_n188#" "a_n1521_n188#" 0.316232
+cap "a_n225_n100#" "a_n1137_n188#" 0.106443
+cap "a_n1281_n100#" "a_n801_n100#" 0.904382
+cap "a_n993_n100#" "a_n1233_122#" 0.162433
+cap "a_n1425_122#" "a_n1617_122#" 25.1921
+cap "a_n273_122#" "a_1263_122#" 0.0649833
+cap "a_1551_n188#" "a_1023_n100#" 0.146013
+cap "a_2031_122#" "a_2559_n100#" 0.146013
+cap "a_n609_n100#" "a_n1809_122#" 0.0665629
+cap "a_n561_n188#" "a_159_n100#" 0.128504
+cap "a_1359_n188#" "a_n33_n100#" 0.0368237
+cap "a_1791_n100#" "a_2223_122#" 0.152859
+cap "a_n2481_n188#" "a_n1857_n100#" 0.13787
+cap "a_n369_n188#" "a_975_n188#" 0.194045
+cap "a_n2385_122#" "a_n801_n100#" 0.00578002
+cap "a_n225_n100#" "a_399_n188#" 0.13787
+cap "a_447_n100#" "a_1935_n188#" 0.0213968
+cap "a_n2529_n100#" "a_n2909_n100#" 0.924215
+cap "a_n2529_n100#" "a_n2769_122#" 0.162433
+cap "a_n177_n188#" "a_639_n100#" 0.117997
+cap "a_1263_122#" "a_n321_n100#" 0.00578002
+cap "a_63_n100#" "a_n1329_n188#" 0.0368237
+cap "a_n1761_n100#" "a_n993_n100#" 0.746023
+cap "a_927_n100#" "a_n417_n100#" 0.268853
+cap "a_n2145_n100#" "a_n2481_n188#" 0.158349
+cap "a_639_n100#" "a_1215_n100#" 0.859064
+cap "a_n2529_n100#" "a_n1521_n188#" 0.093944
+cap "a_255_n100#" "a_1407_n100#" 0.445596
+cap "a_n225_n100#" "a_n849_122#" 0.13787
+cap "a_n1569_n100#" "a_n945_n188#" 0.13787
+cap "a_1551_n188#" "a_207_n188#" 0.194045
+cap "a_n225_n100#" "a_n897_n100#" 0.806121
+cap "a_927_n100#" "a_n609_n100#" 0.0825857
+cap "a_n369_n188#" "a_n753_n188#" 0.656437
+cap "a_63_n100#" "a_n705_n100#" 0.746023
+cap "a_n1041_122#" "a_n657_122#" 0.656437
+cap "a_111_122#" "a_n705_n100#" 0.117997
+cap "a_591_n188#" "a_15_n188#" 0.600386
+cap "a_1887_n100#" "a_351_n100#" 0.0825857
+cap "a_351_n100#" "a_1695_n100#" 0.268853
+cap "a_1119_n100#" "a_2367_n100#" 0.358817
+cap "a_447_n100#" "a_2079_n100#" 0.00232329
+cap "a_2031_122#" "a_2223_122#" 25.1921
+cap "a_n1377_n100#" "a_n753_n188#" 0.13787
+cap "a_2607_122#" "a_2415_122#" 25.1921
+cap "a_n1377_n100#" "a_n2817_n100#" 0.176503
+cap "a_159_n100#" "a_n1041_122#" 0.0665629
+cap "a_735_n100#" "a_n81_122#" 0.117997
+cap "a_2559_n100#" "a_1167_n188#" 0.0368237
+cap "a_735_n100#" "a_543_n100#" 0.990922
+cap "a_n273_122#" "a_n1233_122#" 0.427216
+cap "a_n1713_n188#" "a_n945_n188#" 0.523066
+cap "a_n2481_n188#" "a_n2433_n100#" 3.72042
+cap "a_n1665_n100#" "a_n1329_n188#" 0.158349
+cap "a_447_n100#" "a_831_n100#" 0.941673
+cap "a_1743_n188#" "a_2367_n100#" 0.13787
+cap "a_351_n100#" "a_975_n188#" 0.13787
+cap "a_n1377_n100#" "a_n2289_n188#" 0.106443
+cap "a_n1473_n100#" "a_n753_n188#" 0.128504
+cap "a_n1233_122#" "a_n321_n100#" 0.106443
+cap "a_n1665_n100#" "a_n705_n100#" 0.606553
+cap "a_n1473_n100#" "a_n2817_n100#" 0.268853
+cap "a_2847_n100#" "a_2559_n100#" 0.952553
+cap "a_n417_n100#" "a_255_n100#" 0.806121
+cap "a_1551_n188#" "a_1887_n100#" 0.158349
+cap "a_n2625_n100#" "a_n2817_n100#" 0.990922
+cap "a_1647_122#" "a_2607_122#" 0.427216
+cap "a_1935_n188#" "a_639_n100#" 0.0519237
+cap "a_n225_n100#" "a_n513_n100#" 0.970605
+cap "a_n1761_n100#" "a_n273_122#" 0.0213968
+cap "a_1551_n188#" "a_1695_n100#" 0.165075
+cap "a_n1953_n100#" "a_n945_n188#" 0.093944
+cap "a_n2337_n100#" "a_n1857_n100#" 0.904382
+cap "a_255_n100#" "a_n609_n100#" 0.679303
+cap "a_303_122#" "a_n129_n100#" 0.152859
+cap "a_2511_n188#" "a_2271_n100#" 0.162433
+cap "a_n2481_n188#" "a_n2865_n188#" 0.656437
+cap "a_n417_n100#" "a_n1857_n100#" 0.176503
+cap "a_n81_122#" "a_n801_n100#" 0.128504
+cap "a_543_n100#" "a_783_n188#" 0.162433
+cap "a_543_n100#" "a_n801_n100#" 0.268853
+cap "a_n1857_n100#" "a_n609_n100#" 0.358817
+cap "a_n945_n188#" "a_n2241_n100#" 0.0519237
+cap "a_n1617_122#" "a_n849_122#" 0.523066
+cap "a_351_n100#" "a_n753_n188#" 0.0806112
+cap "a_207_n188#" "a_n1185_n100#" 0.0368237
+cap "a_1311_n100#" "a_n129_n100#" 0.176503
+cap "a_n1617_122#" "a_n897_n100#" 0.128504
+cap "a_n1761_n100#" "a_n321_n100#" 0.176503
+cap "a_n1473_n100#" "a_n2289_n188#" 0.117997
+cap "a_n2145_n100#" "a_n2337_n100#" 0.990922
+cap "a_1599_n100#" "a_2655_n100#" 0.52842
+cap "a_n2625_n100#" "a_n2289_n188#" 0.158349
+cap "a_1599_n100#" "a_1215_n100#" 0.941673
+cap "a_n177_n188#" "a_n993_n100#" 0.117997
+cap "a_n561_n188#" "a_n1521_n188#" 0.427216
+cap "a_1551_n188#" "a_975_n188#" 0.600386
+cap "a_n2145_n100#" "a_n609_n100#" 0.0825857
+cap "a_639_n100#" "a_2127_n188#" 0.0213968
+cap "a_639_n100#" "a_2079_n100#" 0.176503
+cap "a_1023_n100#" "a_15_n188#" 0.093944
+cap "a_n1569_n100#" "a_n1905_n188#" 0.158349
+cap "a_2847_n100#" "a_2223_122#" 0.13787
+cap "a_2655_n100#" "a_2175_n100#" 0.904382
+cap "a_1215_n100#" "a_2175_n100#" 0.606553
+cap "a_n369_n188#" "a_n1329_n188#" 0.427216
+cap "a_2511_n188#" "a_1359_n188#" 0.316232
+cap "a_1503_n100#" "a_351_n100#" 0.445596
+cap "a_n465_122#" "a_n225_n100#" 0.162433
+cap "a_447_n100#" "a_1407_n100#" 0.606553
+cap "a_n369_n188#" "a_n705_n100#" 0.158349
+cap "a_831_n100#" "a_639_n100#" 0.990922
+cap "a_n1233_122#" "a_n1281_n100#" 3.72042
+cap "a_n2337_n100#" "a_n2433_n100#" 147.936
+cap "a_303_122#" "a_495_122#" 25.1921
+cap "a_2559_n100#" "a_2463_n100#" 147.936
+cap "a_n1329_n188#" "a_n1377_n100#" 3.72042
+cap "a_159_n100#" "a_n657_122#" 0.117997
+cap "a_207_n188#" "a_15_n188#" 25.1921
+cap "a_2271_n100#" "a_1311_n100#" 0.606553
+cap "a_n513_n100#" "a_n1617_122#" 0.0806112
+cap "a_n1089_n100#" "a_n1809_122#" 0.128504
+cap "a_n1233_122#" "a_n2385_122#" 0.316232
+cap "a_1455_122#" "a_2751_n100#" 0.0519237
+cap "a_n1713_n188#" "a_n1905_n188#" 25.1921
+cap "a_n1377_n100#" "a_n705_n100#" 0.806121
+cap "a_495_122#" "a_1311_n100#" 0.117997
+cap "a_1071_122#" "a_351_n100#" 0.128504
+cap "a_63_n100#" "a_n129_n100#" 0.990922
+cap "a_303_122#" "a_n33_n100#" 0.158349
+cap "a_n945_n188#" "a_n2529_n100#" 0.00578002
+cap "a_n801_n100#" "a_n2193_122#" 0.0368237
+cap "a_n1761_n100#" "a_n1281_n100#" 0.904382
+cap "a_n1569_n100#" "a_n801_n100#" 0.746023
+cap "a_n2337_n100#" "a_n2865_n188#" 0.146013
+cap "a_111_122#" "a_n129_n100#" 0.162433
+cap "a_2655_n100#" "a_1839_122#" 0.117997
+cap "a_1599_n100#" "a_1935_n188#" 0.158349
+cap "a_n273_122#" "a_n177_n188#" 13.3333
+cap "a_1215_n100#" "a_1839_122#" 0.13787
+cap "a_1791_n100#" "a_2751_n100#" 0.606553
+cap "a_n1473_n100#" "a_n1329_n188#" 0.165075
+cap "a_2271_n100#" "a_1983_n100#" 0.970605
+cap "a_1311_n100#" "a_n33_n100#" 0.268853
+cap "a_n81_122#" "a_1263_122#" 0.194045
+cap "a_543_n100#" "a_1263_122#" 0.128504
+cap "a_1551_n188#" "a_1503_n100#" 3.72042
+cap "a_1983_n100#" "a_495_122#" 0.0213968
+cap "a_n2625_n100#" "a_n1329_n188#" 0.0519237
+cap "a_n1953_n100#" "a_n1905_n188#" 3.72042
+cap "a_n1761_n100#" "a_n2385_122#" 0.13787
+cap "a_n1473_n100#" "a_n705_n100#" 0.746023
+cap "a_n273_122#" "a_1215_n100#" 0.0213968
+cap "a_2799_122#" "a_1455_122#" 0.194045
+cap "a_n177_n188#" "a_n321_n100#" 0.165075
+cap "a_1935_n188#" "a_2175_n100#" 0.162433
+cap "a_447_n100#" "a_n417_n100#" 0.679303
+cap "a_n1905_n188#" "a_n2241_n100#" 0.158349
+cap "a_2223_122#" "a_2463_n100#" 0.162433
+cap "a_1023_n100#" "a_2607_122#" 0.00578002
+cap "a_447_n100#" "a_n609_n100#" 0.52842
+cap "a_1215_n100#" "a_n321_n100#" 0.0825857
+cap "a_1359_n188#" "a_1311_n100#" 3.72042
+cap "a_n1713_n188#" "a_n801_n100#" 0.106443
+cap "a_2799_122#" "a_1791_n100#" 0.093944
+cap "a_1599_n100#" "a_2079_n100#" 0.904382
+cap "a_1599_n100#" "a_2127_n188#" 0.146013
+cap "a_n465_122#" "a_n1617_122#" 0.316232
+cap "a_n1665_n100#" "a_n129_n100#" 0.0825857
+cap "a_351_n100#" "a_n705_n100#" 0.52842
+cap "a_n2049_n100#" "a_n753_n188#" 0.0519237
+cap "a_n2817_n100#" "a_n2049_n100#" 0.746023
+cap "a_639_n100#" "a_1407_n100#" 0.746023
+cap "a_n753_n188#" "a_n1185_n100#" 0.152859
+cap "a_n2817_n100#" "a_n1185_n100#" 0.00232329
+cap "a_n1617_122#" "a_n2001_122#" 0.656437
+cap "a_2031_122#" "a_2751_n100#" 0.128504
+cap "a_63_n100#" "a_495_122#" 0.152859
+cap "a_1983_n100#" "a_1359_n188#" 0.13787
+cap "a_735_n100#" "a_2223_122#" 0.0213968
+cap "a_2079_n100#" "a_2175_n100#" 147.936
+cap "a_2127_n188#" "a_2175_n100#" 3.72042
+cap "a_n1953_n100#" "a_n801_n100#" 0.445596
+cap "a_n2721_n100#" "a_n1809_122#" 0.106443
+cap "a_1599_n100#" "a_831_n100#" 0.746023
+cap "a_495_122#" "a_111_122#" 0.656437
+cap "a_n2673_n188#" "a_n1905_n188#" 0.523066
+cap "a_1935_n188#" "a_1839_122#" 13.3333
+cap "a_n2049_n100#" "a_n2289_n188#" 0.162433
+cap "a_n1185_n100#" "a_n2289_n188#" 0.0806112
+cap "a_n801_n100#" "a_n2241_n100#" 0.176503
+cap "a_15_n188#" "a_975_n188#" 0.427216
+cap "a_n81_122#" "a_n1233_122#" 0.316232
+cap "a_n225_n100#" "a_591_n188#" 0.117997
+cap "a_n1089_n100#" "a_255_n100#" 0.268853
+cap "a_63_n100#" "a_n33_n100#" 147.936
+cap "a_831_n100#" "a_2175_n100#" 0.268853
+cap "a_2799_122#" "a_2031_122#" 0.523066
+cap "a_111_122#" "a_n33_n100#" 0.165075
+cap "a_n1089_n100#" "a_n1857_n100#" 0.746023
+cap "a_n561_n188#" "a_n945_n188#" 0.656437
+cap "a_n1425_122#" "a_n1809_122#" 0.656437
+cap "a_n177_n188#" "a_n1281_n100#" 0.0806112
+cap "a_n225_n100#" "a_879_122#" 0.0806112
+cap "a_n2529_n100#" "a_n1905_n188#" 0.13787
+cap "a_n417_n100#" "a_639_n100#" 0.52842
+cap "a_63_n100#" "a_1359_n188#" 0.0519237
+cap "a_15_n188#" "a_n753_n188#" 0.523066
+cap "a_2079_n100#" "a_1839_122#" 0.162433
+cap "a_n2145_n100#" "a_n1089_n100#" 0.52842
+cap "a_n369_n188#" "a_n129_n100#" 0.162433
+cap "a_1167_n188#" "a_2751_n100#" 0.00578002
+cap "a_n609_n100#" "a_639_n100#" 0.358817
+cap "a_n2481_n188#" "a_n993_n100#" 0.0213968
+cap "a_1887_n100#" "a_2607_122#" 0.128504
+cap "a_927_n100#" "a_687_122#" 0.162433
+cap "a_159_n100#" "a_1455_122#" 0.0519237
+cap "a_2607_122#" "a_1695_n100#" 0.106443
+cap "a_n1665_n100#" "a_n33_n100#" 0.00232329
+cap "a_1119_n100#" "a_927_n100#" 0.990922
+cap "a_n2577_122#" "a_n1665_n100#" 0.106443
+cap "a_n1377_n100#" "a_n129_n100#" 0.358817
+cap "a_831_n100#" "a_1839_122#" 0.093944
+cap "a_2847_n100#" "a_2751_n100#" 147.917
+cap "a_2415_122#" "a_2367_n100#" 3.72042
+cap "a_1599_n100#" "a_1407_n100#" 0.990922
+cap "a_n273_122#" "a_831_n100#" 0.0806112
+cap "a_2559_n100#" "a_1263_122#" 0.0519237
+cap "a_1791_n100#" "a_159_n100#" 0.00232329
+cap "a_1503_n100#" "a_15_n188#" 0.0213968
+cap "a_1887_n100#" "a_2703_n188#" 0.117997
+cap "a_n945_n188#" "a_n1041_122#" 13.3333
+cap "a_2703_n188#" "a_1695_n100#" 0.093944
+cap "a_n1329_n188#" "a_n2049_n100#" 0.128504
+cap "a_1743_n188#" "a_927_n100#" 0.117997
+cap "a_2511_n188#" "a_1311_n100#" 0.0665629
+cap "a_n1089_n100#" "a_n2433_n100#" 0.268853
+cap "a_n1329_n188#" "a_n1185_n100#" 0.165075
+cap "a_n1233_122#" "a_n2193_122#" 0.427216
+cap "a_n1569_n100#" "a_n1233_122#" 0.158349
+cap "a_n1473_n100#" "a_n129_n100#" 0.268853
+cap "a_831_n100#" "a_n321_n100#" 0.445596
+cap "a_2175_n100#" "a_1407_n100#" 0.746023
+cap "a_n2049_n100#" "a_n705_n100#" 0.268853
+cap "a_n1185_n100#" "a_n705_n100#" 0.904382
+cap "a_2799_122#" "a_2847_n100#" 3.75179
+cap "a_n1857_n100#" "a_n2721_n100#" 0.679303
+cap "a_n225_n100#" "a_1023_n100#" 0.358817
+cap "a_2319_n188#" "a_2559_n100#" 0.162433
+cap "a_1647_122#" "a_2367_n100#" 0.128504
+cap "a_2511_n188#" "a_1983_n100#" 0.146013
+cap "a_n1761_n100#" "a_n2193_122#" 0.152859
+cap "a_n1569_n100#" "a_n1761_n100#" 0.990922
+cap "a_255_n100#" "a_687_122#" 0.152859
+cap "a_351_n100#" "a_n129_n100#" 0.904382
+cap "a_1119_n100#" "a_255_n100#" 0.679303
+cap "a_n2145_n100#" "a_n2721_n100#" 0.859064
+cap "a_2223_122#" "a_1263_122#" 0.427216
+cap "a_n561_n188#" "a_n1905_n188#" 0.194045
+cap "a_n369_n188#" "a_n33_n100#" 0.158349
+cap "a_n1425_122#" "a_n1857_n100#" 0.152859
+cap "a_735_n100#" "a_n561_n188#" 0.0519237
+cap "a_n849_122#" "a_n1809_122#" 0.427216
+cap "a_n81_122#" "a_n177_n188#" 13.3333
+cap "a_n225_n100#" "a_207_n188#" 0.152859
+cap "a_447_n100#" "a_n1089_n100#" 0.0825857
+cap "a_543_n100#" "a_n177_n188#" 0.128504
+cap "a_n897_n100#" "a_n1809_122#" 0.106443
+cap "a_n2337_n100#" "a_n993_n100#" 0.268853
+cap "a_1839_122#" "a_1407_n100#" 0.152859
+cap "a_n993_n100#" "a_n417_n100#" 0.859064
+cap "a_2751_n100#" "a_2463_n100#" 0.970605
+cap "a_303_122#" "a_1311_n100#" 0.093944
+cap "a_n1329_n188#" "a_15_n188#" 0.194045
+cap "a_n2769_122#" "a_n2909_n100#" 0.165075
+cap "a_1743_n188#" "a_255_n100#" 0.0213968
+cap "a_n81_122#" "a_1215_n100#" 0.0519237
+cap "a_543_n100#" "a_1215_n100#" 0.806121
+cap "a_n1377_n100#" "a_n33_n100#" 0.268853
+cap "a_n1425_122#" "a_n2145_n100#" 0.128504
+cap "a_927_n100#" "a_399_n188#" 0.146013
+cap "a_n1233_122#" "a_n1953_n100#" 0.128504
+cap "a_n2577_122#" "a_n1377_n100#" 0.0665629
+cap "a_2319_n188#" "a_2223_122#" 13.3333
+cap "a_n993_n100#" "a_n609_n100#" 0.941673
+cap "a_n1713_n188#" "a_n1761_n100#" 3.72042
+cap "a_15_n188#" "a_n705_n100#" 0.128504
+cap "a_n2909_n100#" "a_n1521_n188#" 0.0368237
+cap "a_2367_n100#" "a_879_122#" 0.0213968
+cap "a_1503_n100#" "a_2607_122#" 0.0806112
+cap "a_n1233_122#" "a_n2241_n100#" 0.093944
+cap "a_n2433_n100#" "a_n2721_n100#" 0.970605
+cap "a_n561_n188#" "a_n801_n100#" 0.162433
+cap "a_n561_n188#" "a_783_n188#" 0.194045
+cap "a_n1473_n100#" "a_n33_n100#" 0.176503
+cap "a_2799_122#" "a_2463_n100#" 0.158349
+cap "a_n1761_n100#" "a_n1953_n100#" 0.990922
+cap "a_n2577_122#" "a_n1473_n100#" 0.0806112
+cap "a_1983_n100#" "a_1311_n100#" 0.806121
+cap "a_351_n100#" "a_495_122#" 0.165075
+cap "a_159_n100#" "a_1167_n188#" 0.093944
+cap "a_1503_n100#" "a_2703_n188#" 0.0665629
+cap "a_n2577_122#" "a_n2625_n100#" 3.72042
+cap "a_n2721_n100#" "a_n2865_n188#" 0.165075
+cap "a_1071_122#" "a_2607_122#" 0.0649833
+cap "a_n1761_n100#" "a_n2241_n100#" 0.904382
+cap "a_n1425_122#" "a_n2433_n100#" 0.093944
+cap "a_255_n100#" "a_n1137_n188#" 0.0368237
+cap "a_n513_n100#" "a_n1809_122#" 0.0519237
+cap "a_1791_n100#" "a_1455_122#" 0.158349
+cap "a_351_n100#" "a_n33_n100#" 0.941673
+cap "a_n1665_n100#" "a_n2097_n188#" 0.152859
+cap "a_159_n100#" "a_n945_n188#" 0.0806112
+cap "a_n1857_n100#" "a_n1137_n188#" 0.128504
+cap "a_n2481_n188#" "a_n1281_n100#" 0.0665629
+cap "a_255_n100#" "a_399_n188#" 0.165075
+cap "a_n273_122#" "a_n417_n100#" 0.165075
+cap "a_n1569_n100#" "a_n177_n188#" 0.0368237
+cap "a_543_n100#" "a_1935_n188#" 0.0368237
+cap "a_63_n100#" "a_303_122#" 0.162433
+cap "a_n273_122#" "a_n609_n100#" 0.158349
+cap "a_303_122#" "a_111_122#" 25.1921
+cap "a_2271_n100#" "a_1551_n188#" 0.128504
+cap "a_n2481_n188#" "a_n2385_122#" 13.3333
+cap "a_n2145_n100#" "a_n1137_n188#" 0.093944
+cap "a_255_n100#" "a_n849_122#" 0.0806112
+cap "a_351_n100#" "a_1359_n188#" 0.093944
+cap "a_n417_n100#" "a_n321_n100#" 147.936
+cap "a_63_n100#" "a_1311_n100#" 0.358817
+cap "a_n225_n100#" "a_975_n188#" 0.0665629
+cap "a_927_n100#" "a_n513_n100#" 0.176503
+cap "a_255_n100#" "a_n897_n100#" 0.445596
+cap "a_1023_n100#" "a_2367_n100#" 0.268853
+cap "a_n1761_n100#" "a_n2673_n188#" 0.106443
+cap "a_n801_n100#" "a_n1041_122#" 0.162433
+cap "a_111_122#" "a_1311_n100#" 0.0665629
+cap "a_n609_n100#" "a_n321_n100#" 0.970605
+cap "a_n1857_n100#" "a_n849_122#" 0.093944
+cap "a_n1233_122#" "a_n2529_n100#" 0.0519237
+cap "a_447_n100#" "a_687_122#" 0.162433
+cap "a_n1185_n100#" "a_n129_n100#" 0.52842
+cap "a_n897_n100#" "a_n1857_n100#" 0.606553
+cap "a_447_n100#" "a_1119_n100#" 0.806121
+cap "a_2031_122#" "a_1455_122#" 0.600386
+cap "a_1551_n188#" "a_n33_n100#" 0.00578002
+cap "a_543_n100#" "a_2127_n188#" 0.00578002
+cap "a_543_n100#" "a_2079_n100#" 0.0825857
+cap "a_n1713_n188#" "a_n177_n188#" 0.0649833
+cap "a_n465_122#" "a_n1809_122#" 0.194045
+cap "a_n225_n100#" "a_n753_n188#" 0.146013
+cap "a_n2145_n100#" "a_n849_122#" 0.0519237
+cap "a_n2145_n100#" "a_n897_n100#" 0.358817
+cap "a_n2001_122#" "a_n1809_122#" 25.1921
+cap "a_1791_n100#" "a_2031_122#" 0.162433
+cap "a_n1761_n100#" "a_n2529_n100#" 0.746023
+cap "a_n2433_n100#" "a_n1137_n188#" 0.0519237
+cap "a_447_n100#" "a_1743_n188#" 0.0519237
+cap "a_n81_122#" "a_831_n100#" 0.106443
+cap "a_543_n100#" "a_831_n100#" 0.970605
+cap "a_2655_n100#" "a_2559_n100#" 147.936
+cap "a_1551_n188#" "a_1359_n188#" 25.1921
+cap "a_2559_n100#" "a_1215_n100#" 0.268853
+cap "a_n465_122#" "a_927_n100#" 0.0368237
+cap "a_n513_n100#" "a_255_n100#" 0.746023
+cap "a_735_n100#" "a_n657_122#" 0.0368237
+cap "a_n2097_n188#" "a_n1377_n100#" 0.128504
+cap "a_n2337_n100#" "a_n1281_n100#" 0.52842
+cap "a_15_n188#" "a_n129_n100#" 0.165075
+cap "a_n417_n100#" "a_n1281_n100#" 0.679303
+cap "a_n513_n100#" "a_n1857_n100#" 0.268853
+cap "a_n2433_n100#" "a_n849_122#" 0.00578002
+cap "a_63_n100#" "a_111_122#" 3.72042
+cap "a_n2433_n100#" "a_n897_n100#" 0.0825857
+cap "a_n1281_n100#" "a_n609_n100#" 0.806121
+cap "a_2751_n100#" "a_1263_122#" 0.0213968
+cap "a_n2337_n100#" "a_n2385_122#" 3.72042
+cap "a_735_n100#" "a_159_n100#" 0.859064
+cap "a_447_n100#" "a_n1137_n188#" 0.00578002
+cap "a_687_122#" "a_639_n100#" 3.72042
+cap "a_n1089_n100#" "a_n993_n100#" 147.936
+cap "a_1119_n100#" "a_639_n100#" 0.904382
+cap "a_n2145_n100#" "a_n513_n100#" 0.00232329
+cap "a_1887_n100#" "a_2367_n100#" 0.904382
+cap "a_n1473_n100#" "a_n2097_n188#" 0.13787
+cap "a_2367_n100#" "a_1695_n100#" 0.806121
+cap "a_1791_n100#" "a_1167_n188#" 0.13787
+cap "a_2655_n100#" "a_2223_122#" 0.152859
+cap "a_n945_n188#" "a_n1521_n188#" 0.600386
+cap "a_n33_n100#" "a_n1185_n100#" 0.445596
+cap "a_n2577_122#" "a_n2049_n100#" 0.146013
+cap "a_1071_122#" "a_n225_n100#" 0.0519237
+cap "a_927_n100#" "a_2415_122#" 0.0213968
+cap "a_n2577_122#" "a_n1185_n100#" 0.0368237
+cap "a_2847_n100#" "a_1455_122#" 0.0368237
+cap "a_2223_122#" "a_1215_n100#" 0.093944
+cap "a_n2625_n100#" "a_n2097_n188#" 0.146013
+cap "a_n801_n100#" "a_n657_122#" 0.165075
+cap "a_447_n100#" "a_399_n188#" 3.72042
+cap "a_n1617_122#" "a_n2817_n100#" 0.0665629
+cap "a_2319_n188#" "a_2751_n100#" 0.152859
+cap "a_2799_122#" "a_1263_122#" 0.0649833
+cap "a_1935_n188#" "a_2559_n100#" 0.13787
+cap "a_n465_122#" "a_255_n100#" 0.128504
+cap "a_2847_n100#" "a_1791_n100#" 0.519
+cap "a_1743_n188#" "a_639_n100#" 0.0806112
+cap "a_n81_122#" "a_1407_n100#" 0.0213968
+cap "a_543_n100#" "a_1407_n100#" 0.679303
+cap "a_447_n100#" "a_n849_122#" 0.0519237
+cap "a_447_n100#" "a_n897_n100#" 0.268853
+cap "a_n465_122#" "a_n1857_n100#" 0.0368237
+cap "a_159_n100#" "a_783_n188#" 0.13787
+cap "a_2367_n100#" "a_975_n188#" 0.0368237
+cap "a_159_n100#" "a_n801_n100#" 0.606553
+cap "a_n1761_n100#" "a_n561_n188#" 0.0665629
+cap "a_n225_n100#" "a_n1329_n188#" 0.0806112
+cap "a_1647_122#" "a_927_n100#" 0.128504
+cap "a_n1857_n100#" "a_n2001_122#" 0.165075
+cap "a_n225_n100#" "a_n705_n100#" 0.904382
+cap "a_2559_n100#" "a_2079_n100#" 0.904382
+cap "a_2559_n100#" "a_2127_n188#" 0.152859
+cap "a_15_n188#" "a_n33_n100#" 3.72042
+cap "a_n1089_n100#" "a_n273_122#" 0.117997
+cap "a_n2145_n100#" "a_n2001_122#" 0.165075
+cap "a_n1233_122#" "a_n1041_122#" 25.1921
+cap "a_2511_n188#" "a_1551_n188#" 0.427216
+cap "a_n1569_n100#" "a_n2481_n188#" 0.106443
+cap "a_2847_n100#" "a_2031_122#" 0.117997
+cap "a_927_n100#" "a_591_n188#" 0.158349
+cap "a_351_n100#" "a_303_122#" 3.72042
+cap "a_n1089_n100#" "a_n321_n100#" 0.746023
+cap "a_1455_122#" "a_2463_n100#" 0.093944
+cap "a_n81_122#" "a_n417_n100#" 0.158349
+cap "a_543_n100#" "a_n417_n100#" 0.606553
+cap "a_15_n188#" "a_1359_n188#" 0.194045
+cap "a_639_n100#" "a_399_n188#" 0.162433
+cap "a_447_n100#" "a_n513_n100#" 0.606553
+cap "a_n369_n188#" "a_63_n100#" 0.152859
+cap "a_n1905_n188#" "a_n2909_n100#" 0.093944
+cap "a_1599_n100#" "a_687_122#" 0.106443
+cap "a_1119_n100#" "a_1599_n100#" 0.904382
+cap "a_n81_122#" "a_n609_n100#" 0.146013
+cap "a_n1761_n100#" "a_n1041_122#" 0.128504
+cap "a_927_n100#" "a_879_122#" 3.72042
+cap "a_543_n100#" "a_n609_n100#" 0.445596
+cap "a_351_n100#" "a_1311_n100#" 0.606553
+cap "a_1791_n100#" "a_2463_n100#" 0.806121
+cap "a_1647_122#" "a_255_n100#" 0.0368237
+cap "a_1503_n100#" "a_2367_n100#" 0.679303
+cap "a_n1425_122#" "a_n993_n100#" 0.152859
+cap "a_n1905_n188#" "a_n1521_n188#" 0.656437
+cap "a_639_n100#" "a_n849_122#" 0.0213968
+cap "a_2223_122#" "a_2127_n188#" 13.3333
+cap "a_2223_122#" "a_2079_n100#" 0.165075
+cap "a_n2433_n100#" "a_n2001_122#" 0.152859
+cap "a_n1713_n188#" "a_n2481_n188#" 0.523066
+cap "a_n897_n100#" "a_639_n100#" 0.0825857
+cap "a_63_n100#" "a_n1377_n100#" 0.176503
+cap "a_687_122#" "a_2175_n100#" 0.0213968
+cap "a_1119_n100#" "a_2175_n100#" 0.52842
+cap "a_735_n100#" "a_1455_122#" 0.128504
+cap "a_n1377_n100#" "a_111_122#" 0.0213968
+cap "a_2271_n100#" "a_2607_122#" 0.158349
+cap "a_1983_n100#" "a_351_n100#" 0.00232329
+cap "a_1743_n188#" "a_1599_n100#" 0.165075
+cap "a_159_n100#" "a_1263_122#" 0.0806112
+cap "a_n1617_122#" "a_n705_n100#" 0.106443
+cap "a_831_n100#" "a_2223_122#" 0.0368237
+cap "a_n2481_n188#" "a_n1953_n100#" 0.146013
+cap "a_1071_122#" "a_2367_n100#" 0.0519237
+cap "a_735_n100#" "a_1791_n100#" 0.52842
+cap "a_n561_n188#" "a_n177_n188#" 0.656437
+cap "a_591_n188#" "a_255_n100#" 0.158349
+cap "a_n369_n188#" "a_n1665_n100#" 0.0519237
+cap "a_63_n100#" "a_n1473_n100#" 0.0825857
+cap "a_1743_n188#" "a_2175_n100#" 0.152859
+cap "a_2271_n100#" "a_2703_n188#" 0.152859
+cap "a_1551_n188#" "a_1311_n100#" 0.162433
+cap "a_n1473_n100#" "a_111_122#" 0.00578002
+cap "a_n2097_n188#" "a_n2049_n100#" 3.72042
+cap "a_n2481_n188#" "a_n2241_n100#" 0.162433
+cap "a_n465_122#" "a_447_n100#" 0.106443
+cap "a_2031_122#" "a_2463_n100#" 0.152859
+cap "a_n2097_n188#" "a_n1185_n100#" 0.106443
+cap "a_n801_n100#" "a_n1521_n188#" 0.128504
+cap "a_n1089_n100#" "a_n1281_n100#" 0.990922
+cap "a_255_n100#" "a_879_122#" 0.13787
+cap "a_n1665_n100#" "a_n1377_n100#" 0.970605
+cap "a_n2337_n100#" "a_n2193_122#" 0.165075
+cap "a_2559_n100#" "a_1407_n100#" 0.445596
+cap "a_687_122#" "a_1839_122#" 0.316232
+cap "a_n1569_n100#" "a_n2337_n100#" 0.746023
+cap "a_1119_n100#" "a_1839_122#" 0.128504
+cap "a_n1569_n100#" "a_n417_n100#" 0.445596
+cap "a_63_n100#" "a_351_n100#" 0.970605
+cap "a_1551_n188#" "a_1983_n100#" 0.152859
+cap "a_927_n100#" "a_1023_n100#" 147.936
+cap "a_n513_n100#" "a_639_n100#" 0.445596
+cap "a_n1233_122#" "a_n657_122#" 0.600386
+cap "a_n609_n100#" "a_n2193_122#" 0.00578002
+cap "a_n1089_n100#" "a_n2385_122#" 0.0519237
+cap "a_n273_122#" "a_687_122#" 0.427216
+cap "a_351_n100#" "a_111_122#" 0.162433
+cap "a_n1569_n100#" "a_n609_n100#" 0.606553
+cap "a_1119_n100#" "a_n273_122#" 0.0368237
+cap "a_n1425_122#" "a_n273_122#" 0.316232
+cap "a_1791_n100#" "a_783_n188#" 0.093944
+cap "a_735_n100#" "a_2031_122#" 0.0519237
+cap "a_n993_n100#" "a_n1137_n188#" 0.165075
+cap "a_1599_n100#" "a_399_n188#" 0.0665629
+cap "a_n1665_n100#" "a_n1473_n100#" 0.990922
+cap "a_2655_n100#" "a_2751_n100#" 147.936
+cap "a_687_122#" "a_n321_n100#" 0.093944
+cap "a_1743_n188#" "a_1839_122#" 13.3333
+cap "a_n2481_n188#" "a_n2673_n188#" 25.1921
+cap "a_1119_n100#" "a_n321_n100#" 0.176503
+cap "a_n1425_122#" "a_n321_n100#" 0.0806112
+cap "a_1215_n100#" "a_2751_n100#" 0.0825857
+cap "a_n1233_122#" "a_159_n100#" 0.0368237
+cap "a_1359_n188#" "a_2703_n188#" 0.194045
+cap "a_n1665_n100#" "a_n2625_n100#" 0.606553
+cap "a_n225_n100#" "a_n129_n100#" 147.936
+cap "a_n1761_n100#" "a_n657_122#" 0.0806112
+cap "a_n1713_n188#" "a_n2337_n100#" 0.13787
+cap "a_n993_n100#" "a_399_n188#" 0.0368237
+cap "a_n1713_n188#" "a_n417_n100#" 0.0519237
+cap "a_927_n100#" "a_207_n188#" 0.128504
+cap "a_303_122#" "a_n1185_n100#" 0.0213968
+cap "a_1167_n188#" "a_2463_n100#" 0.0519237
+cap "a_n1713_n188#" "a_n609_n100#" 0.0806112
+cap "a_2223_122#" "a_1407_n100#" 0.117997
+cap "a_1551_n188#" "a_63_n100#" 0.0213968
+cap "a_n993_n100#" "a_n849_122#" 0.165075
+cap "a_n993_n100#" "a_n897_n100#" 147.936
+cap "a_2799_122#" "a_2655_n100#" 0.165075
+cap "a_n2481_n188#" "a_n2529_n100#" 3.72042
+cap "a_n2337_n100#" "a_n1953_n100#" 0.941673
+cap "a_2799_122#" "a_1215_n100#" 0.00578002
+cap "a_n1953_n100#" "a_n417_n100#" 0.0825857
+cap "a_n465_122#" "a_639_n100#" 0.0806112
+cap "a_1647_122#" "a_447_n100#" 0.0665629
+cap "a_n369_n188#" "a_n1377_n100#" 0.093944
+cap "a_1023_n100#" "a_255_n100#" 0.746023
+cap "a_2847_n100#" "a_2463_n100#" 0.924215
+cap "a_n1281_n100#" "a_n2721_n100#" 0.176503
+cap "a_n1953_n100#" "a_n609_n100#" 0.268853
+cap "a_n2337_n100#" "a_n2241_n100#" 147.936
+cap "a_735_n100#" "a_1167_n188#" 0.152859
+cap "a_n609_n100#" "a_n2241_n100#" 0.00232329
+cap "a_n2385_122#" "a_n2721_n100#" 0.158349
+cap "a_1455_122#" "a_1263_122#" 25.1921
+cap "a_n369_n188#" "a_n1473_n100#" 0.0806112
+cap "a_1935_n188#" "a_2751_n100#" 0.117997
+cap "a_927_n100#" "a_1887_n100#" 0.606553
+cap "a_n225_n100#" "a_495_122#" 0.128504
+cap "a_n945_n188#" "a_n1905_n188#" 0.427216
+cap "a_927_n100#" "a_1695_n100#" 0.746023
+cap "a_n81_122#" "a_n1089_n100#" 0.093944
+cap "a_207_n188#" "a_255_n100#" 3.72042
+cap "a_447_n100#" "a_591_n188#" 0.165075
+cap "a_n1425_122#" "a_n1281_n100#" 0.165075
+cap "a_543_n100#" "a_n1089_n100#" 0.00232329
+cap "a_n1137_n188#" "a_n321_n100#" 0.117997
+cap "a_1791_n100#" "a_1263_122#" 0.146013
+cap "a_n1617_122#" "a_n129_n100#" 0.0213968
+cap "a_n561_n188#" "a_831_n100#" 0.0368237
+cap "a_n1473_n100#" "a_n1377_n100#" 147.936
+cap "a_n993_n100#" "a_n513_n100#" 0.904382
+cap "a_n2337_n100#" "a_n2673_n188#" 0.158349
+cap "a_15_n188#" "a_1311_n100#" 0.0519237
+cap "a_n1425_122#" "a_n2385_122#" 0.427216
+cap "a_447_n100#" "a_879_122#" 0.152859
+cap "a_n225_n100#" "a_n33_n100#" 0.990922
+cap "a_n369_n188#" "a_351_n100#" 0.128504
+cap "a_399_n188#" "a_n321_n100#" 0.128504
+cap "a_n273_122#" "a_n849_122#" 0.600386
+cap "a_n2625_n100#" "a_n1377_n100#" 0.358817
+cap "a_n273_122#" "a_n897_n100#" 0.13787
+cap "a_1167_n188#" "a_783_n188#" 0.656437
+cap "a_2511_n188#" "a_2607_122#" 13.3333
+cap "a_63_n100#" "a_n1185_n100#" 0.358817
+cap "a_n2817_n100#" "a_n1809_122#" 0.093944
+cap "a_2079_n100#" "a_2751_n100#" 0.806121
+cap "a_2751_n100#" "a_2127_n188#" 0.13787
+cap "a_111_122#" "a_n1185_n100#" 0.0519237
+cap "a_927_n100#" "a_975_n188#" 3.72042
+cap "a_2319_n188#" "a_1791_n100#" 0.146013
+cap "a_n321_n100#" "a_n849_122#" 0.146013
+cap "a_n1233_122#" "a_n2769_122#" 0.0649833
+cap "a_n897_n100#" "a_n321_n100#" 0.859064
+cap "a_1647_122#" "a_639_n100#" 0.093944
+cap "a_n225_n100#" "a_1359_n188#" 0.00578002
+cap "a_n945_n188#" "a_n801_n100#" 0.165075
+cap "a_2511_n188#" "a_2703_n188#" 25.1921
+cap "a_n2337_n100#" "a_n2529_n100#" 0.990922
+cap "a_n1473_n100#" "a_n2625_n100#" 0.445596
+cap "a_2031_122#" "a_1263_122#" 0.523066
+cap "a_n177_n188#" "a_159_n100#" 0.158349
+cap "a_1887_n100#" "a_255_n100#" 0.00232329
+cap "a_255_n100#" "a_1695_n100#" 0.176503
+cap "a_n1761_n100#" "a_n2769_122#" 0.093944
+cap "a_n1761_n100#" "a_n2909_n100#" 0.437756
+cap "a_2799_122#" "a_2079_n100#" 0.128504
+cap "a_159_n100#" "a_1215_n100#" 0.52842
+cap "a_n465_122#" "a_n993_n100#" 0.146013
+cap "a_n1665_n100#" "a_n2049_n100#" 0.941673
+cap "a_n1665_n100#" "a_n1185_n100#" 0.904382
+cap "a_n1281_n100#" "a_n1137_n188#" 0.165075
+cap "a_n1761_n100#" "a_n1521_n188#" 0.162433
+cap "a_n1089_n100#" "a_n2193_122#" 0.0806112
+cap "a_591_n188#" "a_639_n100#" 3.72042
+cap "a_n1569_n100#" "a_n1089_n100#" 0.904382
+cap "a_n273_122#" "a_n513_n100#" 0.162433
+cap "a_n993_n100#" "a_n2001_122#" 0.093944
+cap "a_63_n100#" "a_15_n188#" 3.72042
+cap "a_447_n100#" "a_1023_n100#" 0.859064
+cap "a_15_n188#" "a_111_122#" 13.3333
+cap "a_255_n100#" "a_975_n188#" 0.128504
+cap "a_n1617_122#" "a_n33_n100#" 0.00578002
+cap "a_639_n100#" "a_879_122#" 0.162433
+cap "a_2607_122#" "a_1311_n100#" 0.0519237
+cap "a_n513_n100#" "a_n321_n100#" 0.990922
+cap "a_n2577_122#" "a_n1617_122#" 0.427216
+cap "a_1599_n100#" "a_2415_122#" 0.117997
+cap "a_927_n100#" "a_1503_n100#" 0.859064
+cap "a_n81_122#" "a_687_122#" 0.523066
+cap "a_n81_122#" "a_1119_n100#" 0.0665629
+cap "a_543_n100#" "a_687_122#" 0.165075
+cap "a_n81_122#" "a_n1425_122#" 0.194045
+cap "a_543_n100#" "a_1119_n100#" 0.859064
+cap "a_n1281_n100#" "a_n849_122#" 0.152859
+cap "a_n1281_n100#" "a_n897_n100#" 0.941673
+cap "a_1167_n188#" "a_1263_122#" 13.3333
+cap "a_n1713_n188#" "a_n1089_n100#" 0.13787
+cap "a_2271_n100#" "a_2367_n100#" 147.936
+cap "a_2415_122#" "a_2175_n100#" 0.162433
+cap "a_1983_n100#" "a_2607_122#" 0.13787
+cap "a_2703_n188#" "a_1311_n100#" 0.0368237
+cap "a_255_n100#" "a_n753_n188#" 0.093944
+cap "a_447_n100#" "a_207_n188#" 0.162433
+cap "a_n2385_122#" "a_n849_122#" 0.0649833
+cap "a_n2385_122#" "a_n897_n100#" 0.0213968
+cap "a_1071_122#" "a_927_n100#" 0.165075
+cap "a_2751_n100#" "a_1407_n100#" 0.268853
+cap "a_n1857_n100#" "a_n753_n188#" 0.0806112
+cap "a_n1857_n100#" "a_n2817_n100#" 0.606553
+cap "a_2847_n100#" "a_1263_122#" 0.00578002
+cap "a_543_n100#" "a_1743_n188#" 0.0665629
+cap "a_n465_122#" "a_n273_122#" 25.1921
+cap "a_1647_122#" "a_1599_n100#" 3.72042
+cap "a_2319_n188#" "a_1167_n188#" 0.316232
+cap "a_n1089_n100#" "a_n1953_n100#" 0.679303
+cap "a_1551_n188#" "a_351_n100#" 0.0665629
+cap "a_n369_n188#" "a_n1185_n100#" 0.117997
+cap "a_n561_n188#" "a_n417_n100#" 0.165075
+cap "a_1983_n100#" "a_2703_n188#" 0.128504
+cap "a_n705_n100#" "a_n1809_122#" 0.0806112
+cap "a_n801_n100#" "a_n1905_n188#" 0.0806112
+cap "a_n1089_n100#" "a_n2241_n100#" 0.445596
+cap "a_n2145_n100#" "a_n753_n188#" 0.0368237
+cap "a_735_n100#" "a_783_n188#" 3.72042
+cap "a_n2145_n100#" "a_n2817_n100#" 0.806121
+cap "a_735_n100#" "a_n801_n100#" 0.0825857
+cap "a_n2721_n100#" "a_n2193_122#" 0.146013
+cap "a_n561_n188#" "a_n609_n100#" 3.72042
+cap "a_n465_122#" "a_n321_n100#" 0.165075
+cap "a_n1569_n100#" "a_n2721_n100#" 0.445596
+cap "a_1647_122#" "a_2175_n100#" 0.146013
+cap "a_n1377_n100#" "a_n2049_n100#" 0.806121
+cap "a_n1857_n100#" "a_n2289_n188#" 0.152859
+cap "a_n1377_n100#" "a_n1185_n100#" 0.990922
+cap "a_1503_n100#" "a_255_n100#" 0.358817
+cap "a_2847_n100#" "a_2319_n188#" 0.146013
+cap "a_2799_122#" "a_1407_n100#" 0.0368237
+cap "a_831_n100#" "a_n657_122#" 0.0213968
+cap "a_1023_n100#" "a_639_n100#" 0.941673
+cap "a_2415_122#" "a_1839_122#" 0.600386
+cap "a_n513_n100#" "a_n1281_n100#" 0.746023
+cap "a_1599_n100#" "a_591_n188#" 0.093944
+cap "a_1359_n188#" "a_2367_n100#" 0.093944
+cap "a_n177_n188#" "a_n1521_n188#" 0.194045
+cap "a_927_n100#" "a_n705_n100#" 0.00232329
+cap "a_n2145_n100#" "a_n2289_n188#" 0.165075
+cap "a_447_n100#" "a_1887_n100#" 0.176503
+cap "a_447_n100#" "a_1695_n100#" 0.358817
+cap "a_n1425_122#" "a_n2193_122#" 0.523066
+cap "a_n993_n100#" "a_591_n188#" 0.00578002
+cap "a_n1569_n100#" "a_n1425_122#" 0.165075
+cap "a_2655_n100#" "a_1455_122#" 0.0665629
+cap "a_1455_122#" "a_1215_n100#" 0.162433
+cap "a_n1473_n100#" "a_n2049_n100#" 0.859064
+cap "a_1599_n100#" "a_879_122#" 0.128504
+cap "a_n1473_n100#" "a_n1185_n100#" 0.970605
+cap "a_591_n188#" "a_2175_n100#" 0.00578002
+cap "a_1071_122#" "a_255_n100#" 0.117997
+cap "a_159_n100#" "a_831_n100#" 0.806121
+cap "a_n1713_n188#" "a_n2721_n100#" 0.093944
+cap "a_n1089_n100#" "a_n2673_n188#" 0.00578002
+cap "a_n2625_n100#" "a_n2049_n100#" 0.859064
+cap "a_n801_n100#" "a_783_n188#" 0.00578002
+cap "a_n2433_n100#" "a_n2817_n100#" 0.941673
+cap "a_n2625_n100#" "a_n1185_n100#" 0.176503
+cap "a_n2337_n100#" "a_n1041_122#" 0.0519237
+cap "a_543_n100#" "a_399_n188#" 0.165075
+cap "a_207_n188#" "a_639_n100#" 0.152859
+cap "a_n369_n188#" "a_15_n188#" 0.656437
+cap "a_n417_n100#" "a_n1041_122#" 0.13787
+cap "a_1791_n100#" "a_2655_n100#" 0.679303
+cap "a_1647_122#" "a_1839_122#" 25.1921
+cap "a_1791_n100#" "a_1215_n100#" 0.859064
+cap "a_2175_n100#" "a_879_122#" 0.0519237
+cap "a_2463_n100#" "a_1263_122#" 0.0665629
+cap "a_n609_n100#" "a_n1041_122#" 0.152859
+cap "a_351_n100#" "a_n1185_n100#" 0.0825857
+cap "a_n81_122#" "a_n849_122#" 0.523066
+cap "a_447_n100#" "a_975_n188#" 0.146013
+cap "a_543_n100#" "a_n849_122#" 0.0368237
+cap "a_n1953_n100#" "a_n2721_n100#" 0.746023
+cap "a_n81_122#" "a_n897_n100#" 0.117997
+cap "a_15_n188#" "a_n1377_n100#" 0.0368237
+cap "a_n225_n100#" "a_303_122#" 0.146013
+cap "a_543_n100#" "a_n897_n100#" 0.176503
+cap "a_n2433_n100#" "a_n2289_n188#" 0.165075
+cap "a_n2817_n100#" "a_n2865_n188#" 3.72042
+cap "a_n465_122#" "a_n1281_n100#" 0.117997
+cap "a_n1089_n100#" "a_n2529_n100#" 0.176503
+cap "a_255_n100#" "a_n1329_n188#" 0.00578002
+cap "a_n1761_n100#" "a_n945_n188#" 0.117997
+cap "a_n2721_n100#" "a_n2241_n100#" 0.904382
+cap "a_n225_n100#" "a_1311_n100#" 0.0825857
+cap "a_n1281_n100#" "a_n2001_122#" 0.128504
+cap "a_2319_n188#" "a_2463_n100#" 0.165075
+cap "a_1119_n100#" "a_2559_n100#" 0.176503
+cap "a_255_n100#" "a_n705_n100#" 0.606553
+cap "a_n1857_n100#" "a_n1329_n188#" 0.146013
+cap "a_735_n100#" "a_1263_122#" 0.146013
+cap "a_447_n100#" "a_n753_n188#" 0.0665629
+cap "a_n1425_122#" "a_n1953_n100#" 0.146013
+cap "a_n1473_n100#" "a_15_n188#" 0.0213968
+cap "a_2031_122#" "a_2655_n100#" 0.13787
+cap "a_n1857_n100#" "a_n705_n100#" 0.445596
+cap "a_n2289_n188#" "a_n2865_n188#" 0.600386
+cap "a_n2385_122#" "a_n2001_122#" 0.656437
+cap "a_2031_122#" "a_1215_n100#" 0.117997
+cap "a_1887_n100#" "a_639_n100#" 0.358817
+cap "a_879_122#" "a_1839_122#" 0.427216
+cap "a_n1569_n100#" "a_n1137_n188#" 0.152859
+cap "a_n2145_n100#" "a_n1329_n188#" 0.117997
+cap "a_639_n100#" "a_1695_n100#" 0.52842
+cap "a_n1425_122#" "a_n2241_n100#" 0.117997
+cap "a_1599_n100#" "a_1023_n100#" 0.859064
+cap "a_1743_n188#" "a_2559_n100#" 0.117997
+cap "a_591_n188#" "a_n321_n100#" 0.106443
+cap "a_159_n100#" "a_1407_n100#" 0.358817
+cap "a_n273_122#" "a_879_122#" 0.316232
+cap "a_735_n100#" "a_2319_n188#" 0.00578002
+cap "a_n2145_n100#" "a_n705_n100#" 0.176503
+cap "a_n2673_n188#" "a_n2721_n100#" 3.72042
+cap "a_351_n100#" "a_15_n188#" 0.158349
+cap "a_1791_n100#" "a_1935_n188#" 0.165075
+cap "a_n81_122#" "a_n513_n100#" 0.152859
+cap "a_543_n100#" "a_n513_n100#" 0.52842
+cap "a_1023_n100#" "a_2175_n100#" 0.445596
+cap "a_447_n100#" "a_1503_n100#" 0.52842
+cap "a_687_122#" "a_2223_122#" 0.0649833
+cap "a_879_122#" "a_n321_n100#" 0.0665629
+cap "a_1119_n100#" "a_2223_122#" 0.0806112
+cap "a_2511_n188#" "a_2367_n100#" 0.165075
+cap "a_1455_122#" "a_2079_n100#" 0.13787
+cap "a_n2193_122#" "a_n849_122#" 0.194045
+cap "a_n1569_n100#" "a_n849_122#" 0.128504
+cap "a_n897_n100#" "a_n2193_122#" 0.0519237
+cap "a_639_n100#" "a_975_n188#" 0.158349
+cap "a_1599_n100#" "a_207_n188#" 0.0368237
+cap "a_n1569_n100#" "a_n897_n100#" 0.806121
+cap "a_n1713_n188#" "a_n1137_n188#" 0.600386
+cap "a_n225_n100#" "a_63_n100#" 0.970605
+cap "a_n2433_n100#" "a_n1329_n188#" 0.0806112
+cap "a_n417_n100#" "a_n657_122#" 0.162433
+cap "a_n177_n188#" "a_1167_n188#" 0.194045
+cap "a_n2529_n100#" "a_n2721_n100#" 0.990922
+cap "a_n993_n100#" "a_207_n188#" 0.0665629
+cap "a_1791_n100#" "a_2079_n100#" 0.970605
+cap "a_n225_n100#" "a_111_122#" 0.158349
+cap "a_1791_n100#" "a_2127_n188#" 0.158349
+cap "a_2655_n100#" "a_1167_n188#" 0.0213968
+cap "a_n609_n100#" "a_n657_122#" 3.72042
+cap "a_831_n100#" "a_1455_122#" 0.13787
+cap "a_2319_n188#" "a_783_n188#" 0.0649833
+cap "a_447_n100#" "a_1071_122#" 0.13787
+cap "a_1167_n188#" "a_1215_n100#" 3.72042
+cap "a_2031_122#" "a_1935_n188#" 13.3333
+cap "a_1551_n188#" "a_15_n188#" 0.0649833
+cap "a_927_n100#" "a_n129_n100#" 0.52842
+cap "a_n1089_n100#" "a_n561_n188#" 0.146013
+cap "a_n1953_n100#" "a_n1137_n188#" 0.117997
+cap "a_639_n100#" "a_n753_n188#" 0.0368237
+cap "a_n177_n188#" "a_n945_n188#" 0.523066
+cap "a_n417_n100#" "a_159_n100#" 0.859064
+cap "a_1023_n100#" "a_1839_122#" 0.117997
+cap "a_n1329_n188#" "a_n2865_n188#" 0.0649833
+cap "a_n1761_n100#" "a_n1905_n188#" 0.165075
+cap "a_2847_n100#" "a_2655_n100#" 0.972435
+cap "a_n1713_n188#" "a_n897_n100#" 0.117997
+cap "a_n465_122#" "a_n81_122#" 0.656437
+cap "a_1791_n100#" "a_831_n100#" 0.606553
+cap "a_n1425_122#" "a_n2529_n100#" 0.0806112
+cap "a_n465_122#" "a_543_n100#" 0.093944
+cap "a_n1137_n188#" "a_n2241_n100#" 0.0806112
+cap "a_159_n100#" "a_n609_n100#" 0.746023
+cap "a_2847_n100#" "a_1215_n100#" 0.00232329
+cap "a_n2049_n100#" "a_n1185_n100#" 0.679303
+cap "a_n2481_n188#" "a_n2909_n100#" 0.152859
+cap "a_n273_122#" "a_1023_n100#" 0.0519237
+cap "a_n225_n100#" "a_n1665_n100#" 0.176503
+cap "a_1887_n100#" "a_1599_n100#" 0.970605
+cap "a_n1233_122#" "a_n801_n100#" 0.152859
+cap "a_2031_122#" "a_2127_n188#" 13.3333
+cap "a_n2481_n188#" "a_n1521_n188#" 0.427216
+cap "a_n1569_n100#" "a_n513_n100#" 0.52842
+cap "a_1599_n100#" "a_1695_n100#" 147.936
+cap "a_n1953_n100#" "a_n849_122#" 0.0806112
+cap "a_2031_122#" "a_2079_n100#" 3.72042
+cap "a_n1953_n100#" "a_n897_n100#" 0.52842
+cap "a_1023_n100#" "a_n321_n100#" 0.268853
+cap "a_447_n100#" "a_n705_n100#" 0.445596
+cap "a_2367_n100#" "a_1311_n100#" 0.52842
+cap "a_1503_n100#" "a_639_n100#" 0.679303
+cap "a_n2241_n100#" "a_n849_122#" 0.0368237
+cap "a_n897_n100#" "a_n2241_n100#" 0.268853
+cap "a_1887_n100#" "a_2175_n100#" 0.970605
+cap "a_2175_n100#" "a_1695_n100#" 0.904382
+cap "a_n2577_122#" "a_n1809_122#" 0.523066
+cap "a_n2673_n188#" "a_n1137_n188#" 0.0649833
+cap "a_n1089_n100#" "a_n1041_122#" 3.72042
+cap "a_2031_122#" "a_831_n100#" 0.0665629
+cap "a_1935_n188#" "a_1167_n188#" 0.523066
+cap "a_2271_n100#" "a_927_n100#" 0.268853
+cap "a_n1761_n100#" "a_n801_n100#" 0.606553
+cap "a_255_n100#" "a_n129_n100#" 0.941673
+cap "a_1983_n100#" "a_2367_n100#" 0.941673
+cap "a_927_n100#" "a_495_122#" 0.152859
+cap "a_1599_n100#" "a_975_n188#" 0.13787
+cap "a_207_n188#" "a_n321_n100#" 0.146013
+cap "a_n1713_n188#" "a_n513_n100#" 0.0665629
+cap "a_1455_122#" "a_1407_n100#" 3.72042
+cap "a_1071_122#" "a_639_n100#" 0.152859
+cap "a_15_n188#" "a_n1185_n100#" 0.0665629
+cap "a_2847_n100#" "a_1935_n188#" 0.106443
+cap "a_927_n100#" "a_n33_n100#" 0.606553
+cap "a_2655_n100#" "a_2463_n100#" 0.990922
+cap "a_2175_n100#" "a_975_n188#" 0.0665629
+cap "a_1791_n100#" "a_1407_n100#" 0.941673
+cap "a_n2529_n100#" "a_n1137_n188#" 0.0368237
+cap "a_n1569_n100#" "a_n465_122#" 0.0806112
+cap "a_1215_n100#" "a_2463_n100#" 0.358817
+cap "a_1647_122#" "a_543_n100#" 0.0806112
+cap "a_1167_n188#" "a_2079_n100#" 0.106443
+cap "a_1167_n188#" "a_2127_n188#" 0.427216
+cap "a_n1953_n100#" "a_n513_n100#" 0.176503
+cap "a_n369_n188#" "a_n225_n100#" 0.165075
+cap "a_n2001_122#" "a_n2193_122#" 25.1921
+cap "a_1887_n100#" "a_1839_122#" 3.72042
+cap "a_n1569_n100#" "a_n2001_122#" 0.152859
+cap "a_1695_n100#" "a_1839_122#" 0.165075
+cap "a_n1665_n100#" "a_n1617_122#" 3.72042
+cap "a_1551_n188#" "a_2703_n188#" 0.316232
+cap "a_n2337_n100#" "a_n2769_122#" 0.152859
+cap "a_n2337_n100#" "a_n2909_n100#" 0.843253
+cap "a_n993_n100#" "a_n753_n188#" 0.162433
+cap "a_927_n100#" "a_1359_n188#" 0.152859
+cap "a_735_n100#" "a_n177_n188#" 0.106443
+cap "a_2847_n100#" "a_2079_n100#" 0.732419
+cap "a_2847_n100#" "a_2127_n188#" 0.128504
+cap "a_n225_n100#" "a_n1377_n100#" 0.445596
+cap "a_831_n100#" "a_1167_n188#" 0.158349
+cap "a_639_n100#" "a_n705_n100#" 0.268853
+cap "a_n2337_n100#" "a_n1521_n188#" 0.117997
+cap "a_n417_n100#" "a_n1521_n188#" 0.0806112
+cap "a_255_n100#" "a_495_122#" 0.162433
+cap "a_n897_n100#" "a_n2529_n100#" 0.00232329
+cap "a_735_n100#" "a_1215_n100#" 0.904382
+cap "a_543_n100#" "a_591_n188#" 3.72042
+cap "a_n609_n100#" "a_n1521_n188#" 0.106443
+cap "a_2031_122#" "a_1407_n100#" 0.13787
+cap "a_1599_n100#" "a_1503_n100#" 147.936
+cap "a_n993_n100#" "a_n2289_n188#" 0.0519237
+cap "a_n1281_n100#" "a_207_n188#" 0.0213968
+cap "a_255_n100#" "a_n33_n100#" 0.970605
+cap "a_n81_122#" "a_879_122#" 0.427216
+cap "a_543_n100#" "a_879_122#" 0.158349
+cap "a_n225_n100#" "a_n1473_n100#" 0.358817
+cap "a_1119_n100#" "a_2751_n100#" 0.00232329
+cap "a_n465_122#" "a_n1953_n100#" 0.0213968
+cap "a_n177_n188#" "a_n801_n100#" 0.13787
+cap "a_n177_n188#" "a_783_n188#" 0.427216
+cap "a_1503_n100#" "a_2175_n100#" 0.806121
+cap "a_1935_n188#" "a_2463_n100#" 0.146013
+cap "a_n1089_n100#" "a_n657_122#" 0.152859
+cap "a_n2577_122#" "a_n1857_n100#" 0.128504
+cap "a_n1953_n100#" "a_n2001_122#" 3.72042
+cap "a_n1425_122#" "a_n1041_122#" 0.656437
+cap "a_n321_n100#" "a_975_n188#" 0.0519237
+cap "a_1071_122#" "a_1599_n100#" 0.146013
+cap "a_1215_n100#" "a_783_n188#" 0.152859
+cap "a_255_n100#" "a_1359_n188#" 0.0806112
+cap "a_n225_n100#" "a_351_n100#" 0.859064
+cap "a_n2001_122#" "a_n2241_n100#" 0.162433
+cap "a_n2577_122#" "a_n2145_n100#" 0.152859
+cap "a_1743_n188#" "a_2751_n100#" 0.093944
+cap "a_n1089_n100#" "a_159_n100#" 0.358817
+cap "a_447_n100#" "a_n129_n100#" 0.859064
+cap "a_n561_n188#" "a_n1137_n188#" 0.600386
+cap "a_n2481_n188#" "a_n945_n188#" 0.0649833
+cap "a_1071_122#" "a_2175_n100#" 0.0806112
+cap "a_2559_n100#" "a_2415_122#" 0.165075
+cap "a_735_n100#" "a_1935_n188#" 0.0665629
+cap "a_n753_n188#" "a_n321_n100#" 0.152859
+cap "a_2079_n100#" "a_2463_n100#" 0.941673
+cap "a_2127_n188#" "a_2463_n100#" 0.158349
+cap "a_n1617_122#" "a_n1377_n100#" 0.162433
+cap "a_1167_n188#" "a_1407_n100#" 0.162433
+cap "a_n561_n188#" "a_399_n188#" 0.427216
+cap "a_1503_n100#" "a_1839_122#" 0.158349
+cap "a_n993_n100#" "a_n1329_n188#" 0.158349
+cap "a_n1761_n100#" "a_n1233_122#" 0.146013
+cap "a_n81_122#" "a_1023_n100#" 0.0806112
+cap "a_543_n100#" "a_1023_n100#" 0.904382
+cap "a_831_n100#" "a_2463_n100#" 0.00232329
+cap "a_2847_n100#" "a_1407_n100#" 0.17376
+cap "a_n561_n188#" "a_n897_n100#" 0.158349
+cap "a_n1473_n100#" "a_n1617_122#" 0.165075
+cap "a_n2577_122#" "a_n2433_n100#" 0.165075
+cap "a_1647_122#" "a_2559_n100#" 0.106443
+cap "a_735_n100#" "a_2127_n188#" 0.0368237
+cap "a_n993_n100#" "a_n705_n100#" 0.970605
+cap "a_735_n100#" "a_2079_n100#" 0.268853
+cap "a_2511_n188#" "a_927_n100#" 0.00578002
+cap "a_n1617_122#" "a_n2625_n100#" 0.093944
+cap "a_1935_n188#" "a_783_n188#" 0.316232
+cap "a_1071_122#" "a_1839_122#" 0.523066
+cap "a_2223_122#" "a_2415_122#" 25.1921
+cap "a_n1041_122#" "a_n1137_n188#" 13.3333
+cap "a_1071_122#" "a_n273_122#" 0.194045
+cap "a_447_n100#" "a_495_122#" 3.72042
+cap "a_543_n100#" "a_207_n188#" 0.158349
+cap "a_735_n100#" "a_831_n100#" 147.936
+cap "a_n2529_n100#" "a_n2001_122#" 0.146013
+cap "a_n417_n100#" "a_1167_n188#" 0.00578002
+cap "a_2655_n100#" "a_1263_122#" 0.0368237
+cap "a_1215_n100#" "a_1263_122#" 3.72042
+cap "a_639_n100#" "a_n129_n100#" 0.746023
+cap "a_1071_122#" "a_n321_n100#" 0.0368237
+cap "a_687_122#" "a_n657_122#" 0.194045
+cap "a_n1281_n100#" "a_n753_n188#" 0.146013
+cap "a_n1425_122#" "a_n657_122#" 0.523066
+cap "a_n1281_n100#" "a_n2817_n100#" 0.0825857
+cap "a_447_n100#" "a_n33_n100#" 0.904382
+cap "a_2079_n100#" "a_783_n188#" 0.0519237
+cap "a_2127_n188#" "a_783_n188#" 0.194045
+cap "a_1647_122#" "a_2223_122#" 0.600386
+cap "a_n2337_n100#" "a_n945_n188#" 0.0368237
+cap "a_n1041_122#" "a_n849_122#" 25.1921
+cap "a_n417_n100#" "a_n945_n188#" 0.146013
+cap "a_n897_n100#" "a_n1041_122#" 0.165075
+cap "a_n561_n188#" "a_n513_n100#" 3.72042
+cap "a_n2385_122#" "a_n2817_n100#" 0.152859
+cap "a_2319_n188#" "a_2655_n100#" 0.158349
+cap "a_2319_n188#" "a_1215_n100#" 0.0806112
+cap "a_n945_n188#" "a_n609_n100#" 0.158349
+cap "a_927_n100#" "a_303_122#" 0.13787
+cap "a_159_n100#" "a_687_122#" 0.146013
+cap "a_1119_n100#" "a_159_n100#" 0.606553
+cap "a_n1425_122#" "a_159_n100#" 0.00578002
+cap "a_n2481_n188#" "a_n1905_n188#" 0.600386
+cap "a_n1281_n100#" "a_n2289_n188#" 0.093944
+cap "a_n273_122#" "a_n705_n100#" 0.152859
+cap "a_831_n100#" "a_783_n188#" 3.72042
+cap "a_447_n100#" "a_1359_n188#" 0.106443
+cap "a_n225_n100#" "a_n1185_n100#" 0.606553
+cap "a_n801_n100#" "a_831_n100#" 0.00232329
+cap "a_2463_n100#" "a_1407_n100#" 0.52842
+cap "a_n1329_n188#" "a_n321_n100#" 0.093944
+cap "a_n1089_n100#" "a_n1521_n188#" 0.152859
+cap "a_927_n100#" "a_1311_n100#" 0.941673
+cap "a_n1857_n100#" "a_n2097_n188#" 0.162433
+cap "a_n2385_122#" "a_n2289_n188#" 13.3333
+cap "a_543_n100#" "a_1887_n100#" 0.268853
+cap "a_n321_n100#" "a_n705_n100#" 0.941673
+cap "a_543_n100#" "a_1695_n100#" 0.445596
+cap "a_1743_n188#" "a_159_n100#" 0.00578002
+cap "a_2271_n100#" "a_639_n100#" 0.00232329
+cap "a_n2145_n100#" "a_n2097_n188#" 3.72042
+cap "a_2607_122#" "a_2703_n188#" 13.3333
+cap "a_927_n100#" "a_1983_n100#" 0.52842
+cap "a_495_122#" "a_639_n100#" 0.165075
+cap "a_2223_122#" "a_879_122#" 0.194045
+cap "a_735_n100#" "a_1407_n100#" 0.806121
+cap "a_n465_122#" "a_n561_n188#" 13.3333
+cap "a_n513_n100#" "a_n1041_122#" 0.146013
+cap "a_n1761_n100#" "a_n177_n188#" 0.00578002
+cap "a_543_n100#" "a_975_n188#" 0.152859
+cap "a_255_n100#" "a_303_122#" 3.72042
+cap "a_639_n100#" "a_n33_n100#" 0.806121
+cap "a_1551_n188#" "a_2367_n100#" 0.117997
+cap "a_1023_n100#" "a_2559_n100#" 0.0825857
+cap "a_2319_n188#" "a_1935_n188#" 0.656437
+cap "a_n225_n100#" "a_15_n188#" 0.162433
+cap "a_2079_n100#" "a_1263_122#" 0.117997
+cap "a_255_n100#" "a_1311_n100#" 0.52842
+cap "a_159_n100#" "a_n1137_n188#" 0.0519237
+cap "a_n2769_122#" "a_n2721_n100#" 3.72042
+cap "a_n2909_n100#" "a_n2721_n100#" 0.972435
+cap "a_n2433_n100#" "a_n2097_n188#" 0.158349
+cap "a_n993_n100#" "a_n129_n100#" 0.679303
+cap "a_927_n100#" "a_63_n100#" 0.679303
+cap "a_n1617_122#" "a_n2049_n100#" 0.152859
+cap "a_n1617_122#" "a_n1185_n100#" 0.152859
+cap "a_783_n188#" "a_1407_n100#" 0.13787
+cap "a_n1281_n100#" "a_n1329_n188#" 3.72042
+cap "a_1359_n188#" "a_639_n100#" 0.128504
+cap "a_543_n100#" "a_n753_n188#" 0.0519237
+cap "a_n657_122#" "a_n849_122#" 25.1921
+cap "a_927_n100#" "a_111_122#" 0.117997
+cap "a_n2337_n100#" "a_n1905_n188#" 0.152859
+cap "a_n897_n100#" "a_n657_122#" 0.162433
+cap "a_n1521_n188#" "a_n2721_n100#" 0.0665629
+cap "a_n417_n100#" "a_n1905_n188#" 0.0213968
+cap "a_159_n100#" "a_399_n188#" 0.162433
+cap "a_n1281_n100#" "a_n705_n100#" 0.859064
+cap "a_n1665_n100#" "a_n1809_122#" 0.165075
+cap "a_831_n100#" "a_1263_122#" 0.152859
+cap "a_735_n100#" "a_n417_n100#" 0.445596
+cap "a_2319_n188#" "a_2079_n100#" 0.162433
+cap "a_2319_n188#" "a_2127_n188#" 25.1921
+cap "a_n609_n100#" "a_n1905_n188#" 0.0519237
+cap "a_n465_122#" "a_n1041_122#" 0.600386
+cap "a_n2097_n188#" "a_n2865_n188#" 0.523066
+cap "a_n1425_122#" "a_n2909_n100#" 0.0213968
+cap "a_n1425_122#" "a_n2769_122#" 0.194045
+cap "a_735_n100#" "a_n609_n100#" 0.268853
+cap "a_159_n100#" "a_n849_122#" 0.093944
+cap "a_1023_n100#" "a_2223_122#" 0.0665629
+cap "a_159_n100#" "a_n897_n100#" 0.52842
+cap "a_n1041_122#" "a_n2001_122#" 0.427216
+cap "a_n1425_122#" "a_n1521_n188#" 13.3333
+cap "a_687_122#" "a_1455_122#" 0.523066
+cap "a_2319_n188#" "a_831_n100#" 0.0213968
+cap "a_n81_122#" "a_1503_n100#" 0.00578002
+cap "a_1119_n100#" "a_1455_122#" 0.158349
+cap "a_543_n100#" "a_1503_n100#" 0.606553
+cap "a_2271_n100#" "a_1599_n100#" 0.806121
+cap "a_1599_n100#" "a_495_122#" 0.0806112
+cap "a_2751_n100#" "a_2415_122#" 0.158349
+cap "a_n2337_n100#" "a_n801_n100#" 0.0825857
+cap "a_n417_n100#" "a_783_n188#" 0.0665629
+cap "a_n417_n100#" "a_n801_n100#" 0.941673
+cap "a_1791_n100#" "a_687_122#" 0.0806112
+cap "a_63_n100#" "a_255_n100#" 0.990922
+cap "a_1119_n100#" "a_1791_n100#" 0.806121
+cap "a_n993_n100#" "a_495_122#" 0.0213968
+cap "a_2271_n100#" "a_2175_n100#" 147.936
+cap "a_n513_n100#" "a_n657_122#" 0.165075
+cap "a_n273_122#" "a_n129_n100#" 0.165075
+cap "a_255_n100#" "a_111_122#" 0.165075
+cap "a_n609_n100#" "a_783_n188#" 0.0368237
+cap "a_n801_n100#" "a_n609_n100#" 0.990922
+cap "a_1887_n100#" "a_2559_n100#" 0.806121
+cap "a_2559_n100#" "a_1695_n100#" 0.679303
+cap "a_1599_n100#" "a_n33_n100#" 0.00232329
+cap "a_n81_122#" "a_1071_122#" 0.316232
+cap "a_543_n100#" "a_1071_122#" 0.146013
+cap "a_n561_n188#" "a_591_n188#" 0.316232
+cap "a_n177_n188#" "a_1215_n100#" 0.0368237
+cap "a_n1569_n100#" "a_n753_n188#" 0.117997
+cap "a_n2817_n100#" "a_n2193_122#" 0.13787
+cap "a_n1569_n100#" "a_n2817_n100#" 0.358817
+cap "a_n993_n100#" "a_n33_n100#" 0.606553
+cap "a_n321_n100#" "a_n129_n100#" 0.990922
+cap "a_n1089_n100#" "a_n945_n188#" 0.165075
+cap "a_2799_122#" "a_2415_122#" 0.656437
+cap "a_2655_n100#" "a_1215_n100#" 0.176503
+cap "a_n2577_122#" "a_n993_n100#" 0.00578002
+cap "a_1647_122#" "a_2751_n100#" 0.0806112
+cap "a_1743_n188#" "a_1791_n100#" 3.72042
+cap "a_n513_n100#" "a_159_n100#" 0.806121
+cap "a_1263_122#" "a_1407_n100#" 0.165075
+cap "a_1599_n100#" "a_1359_n188#" 0.162433
+cap "a_447_n100#" "a_303_122#" 0.165075
+cap "a_n1377_n100#" "a_n1809_122#" 0.152859
+cap "a_2031_122#" "a_687_122#" 0.194045
+cap "a_2559_n100#" "a_975_n188#" 0.00578002
+cap "a_n2193_122#" "a_n2289_n188#" 13.3333
+cap "a_1119_n100#" "a_2031_122#" 0.106443
+cap "a_n1569_n100#" "a_n2289_n188#" 0.128504
+cap "a_2271_n100#" "a_1839_122#" 0.152859
+cap "a_n1137_n188#" "a_n1521_n188#" 0.656437
+cap "a_447_n100#" "a_1311_n100#" 0.679303
+cap "a_n369_n188#" "a_927_n100#" 0.0519237
+cap "a_n1713_n188#" "a_n2817_n100#" 0.0806112
+cap "a_1359_n188#" "a_2175_n100#" 0.117997
+cap "a_2319_n188#" "a_1407_n100#" 0.106443
+cap "a_n1713_n188#" "a_n753_n188#" 0.427216
+cap "a_495_122#" "a_1839_122#" 0.194045
+cap "a_1887_n100#" "a_2223_122#" 0.158349
+cap "a_n1665_n100#" "a_n1857_n100#" 0.990922
+cap "a_n81_122#" "a_n705_n100#" 0.13787
+cap "a_2799_122#" "a_1647_122#" 0.316232
+cap "a_2223_122#" "a_1695_n100#" 0.146013
+cap "a_543_n100#" "a_n705_n100#" 0.358817
+cap "a_n465_122#" "a_n657_122#" 25.1921
+cap "a_n273_122#" "a_495_122#" 0.523066
+cap "a_n1473_n100#" "a_n1809_122#" 0.158349
+cap "a_n657_122#" "a_n2001_122#" 0.194045
+cap "a_447_n100#" "a_1983_n100#" 0.0825857
+cap "a_n2145_n100#" "a_n1665_n100#" 0.904382
+cap "a_n2625_n100#" "a_n1809_122#" 0.117997
+cap "a_n1953_n100#" "a_n753_n188#" 0.0665629
+cap "a_n1953_n100#" "a_n2817_n100#" 0.679303
+cap "a_n465_122#" "a_159_n100#" 0.13787
+cap "a_n1713_n188#" "a_n2289_n188#" 0.600386
+cap "a_495_122#" "a_n321_n100#" 0.117997
+cap "a_n897_n100#" "a_n1521_n188#" 0.13787
+cap "a_n273_122#" "a_n33_n100#" 0.162433
+cap "a_n1761_n100#" "a_n2481_n188#" 0.128504
+cap "a_1935_n188#" "a_2655_n100#" 0.128504
+cap "a_1791_n100#" "a_399_n188#" 0.0368237
+cap "a_1935_n188#" "a_1215_n100#" 0.128504
+cap "a_n1281_n100#" "a_n129_n100#" 0.445596
+cap "a_n2241_n100#" "a_n753_n188#" 0.0213968
+cap "a_n2817_n100#" "a_n2241_n100#" 0.859064
+cap "a_1119_n100#" "a_1167_n188#" 3.72042
+cap "a_n33_n100#" "a_n321_n100#" 0.970605
+cap "a_n561_n188#" "a_1023_n100#" 0.00578002
+cap "a_n1953_n100#" "a_n2289_n188#" 0.158349
+cap "a_1503_n100#" "a_2559_n100#" 0.52842
+cap "a_n369_n188#" "a_255_n100#" 0.13787
 device msubckt sky130_fd_pr__nfet_01v8_lvt 2817 -100 2818 -99 l=30 w=200 "a_n3011_n274#" "a_2799_122#" 60 0 "a_2751_n100#" 200 0 "a_2847_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 2721 -100 2722 -99 l=30 w=200 "a_n3011_n274#" "a_2703_n188#" 60 0 "a_2655_n100#" 200 0 "a_2751_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 2625 -100 2626 -99 l=30 w=200 "a_n3011_n274#" "a_2607_122#" 60 0 "a_2559_n100#" 200 0 "a_2655_n100#" 200 0
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_YTLFGX.ext b/mag/sky130_fd_pr__nfet_01v8_lvt_YTLFGX.ext
index 0d079c5..341d027 100644
--- a/mag/sky130_fd_pr__nfet_01v8_lvt_YTLFGX.ext
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_YTLFGX.ext
@@ -5,254 +5,254 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_927_n100#" 466 89.3456 927 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_831_n100#" 442 49.7893 831 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_735_n100#" 442 38.2599 735 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_639_n100#" 442 32.8201 639 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_543_n100#" 442 29.6865 543 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_447_n100#" 442 31.5008 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 442 30.364 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 442 29.6444 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 442 29.2179 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 442 29.0167 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 442 29.0067 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 442 29.1768 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 442 29.5366 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 442 30.119 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 442 30.9902 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n513_n100#" 442 32.273 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n609_n100#" 442 30.5907 -609 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n705_n100#" 442 33.8725 -705 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n801_n100#" 442 39.4582 -801 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n897_n100#" 442 52.0749 -897 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n989_n100#" 466 94.2013 -989 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n945_n188#" 12835 3635.11 -945 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 235920 15200 0 0 44880 4000 160285 9030 0 0 0 0 0 0 0 0 0 0
+node "a_927_n100#" 466 52.0625 927 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_831_n100#" 442 20.502 831 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_735_n100#" 442 20.0104 735 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_639_n100#" 442 19.5246 639 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_543_n100#" 442 19.0556 543 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_447_n100#" 442 18.6668 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_351_n100#" 442 18.3543 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_255_n100#" 442 18.1083 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 442 17.9309 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 442 17.8239 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 442 17.7882 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 442 17.8239 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n225_n100#" 442 17.9309 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n321_n100#" 442 18.1083 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n417_n100#" 442 18.3543 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n513_n100#" 442 18.6668 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n609_n100#" 442 19.0556 -609 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n705_n100#" 442 19.5246 -705 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n801_n100#" 442 20.0104 -801 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n897_n100#" 442 20.502 -897 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n989_n100#" 466 121.767 -989 -100 ndif 0 0 0 0 0 0 0 0 12400 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n945_n188#" 12835 3470.7 -945 -188 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 235920 15200 0 0 44880 4000 160285 9030 0 0 0 0 0 0 0 0 0 0
 substrate "a_n1091_n274#" 0 0 -1091 -274 ppd 0 0 0 0 0 0 0 0 0 0 181016 10648 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 181016 10648 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n609_n100#" "a_n897_n100#" 53.425
-cap "a_n945_n188#" "a_n225_n100#" 96.014
-cap "a_63_n100#" "a_447_n100#" 38.4743
-cap "a_n609_n100#" "a_159_n100#" 18.1562
-cap "a_n33_n100#" "a_447_n100#" 30.0629
-cap "a_n129_n100#" "a_n417_n100#" 53.425
-cap "a_n129_n100#" "a_831_n100#" 14.3638
-cap "a_927_n100#" "a_447_n100#" 30.0629
-cap "a_63_n100#" "a_735_n100#" 20.9177
-cap "a_735_n100#" "a_n33_n100#" 18.1562
-cap "a_n129_n100#" "a_351_n100#" 30.0629
-cap "a_639_n100#" "a_n609_n100#" 10.9371
-cap "a_63_n100#" "a_n513_n100#" 24.6699
-cap "a_n513_n100#" "a_n33_n100#" 30.0629
-cap "a_255_n100#" "a_n609_n100#" 16.0389
-cap "a_n897_n100#" "a_n417_n100#" 30.0629
-cap "a_927_n100#" "a_735_n100#" 87.4087
-cap "a_159_n100#" "a_n417_n100#" 24.6699
-cap "a_831_n100#" "a_159_n100#" 20.9177
-cap "a_927_n100#" "a_n513_n100#" 9.43637
-cap "a_351_n100#" "a_n897_n100#" 10.9371
-cap "a_n129_n100#" "a_n989_n100#" 16.0389
-cap "a_351_n100#" "a_159_n100#" 87.4087
-cap "a_n321_n100#" "a_n129_n100#" 87.4087
-cap "a_n801_n100#" "a_447_n100#" 10.9371
-cap "a_639_n100#" "a_n417_n100#" 13.0056
-cap "a_n801_n100#" "a_735_n100#" 8.83052
-cap "a_639_n100#" "a_831_n100#" 87.4087
-cap "a_n945_n188#" "a_447_n100#" 103.259
-cap "a_255_n100#" "a_n417_n100#" 20.9177
-cap "a_n321_n100#" "a_n897_n100#" 24.6699
-cap "a_n989_n100#" "a_n897_n100#" 241.535
-cap "a_351_n100#" "a_639_n100#" 53.425
-cap "a_255_n100#" "a_831_n100#" 24.6699
-cap "a_n321_n100#" "a_159_n100#" 30.0629
-cap "a_n129_n100#" "a_n705_n100#" 24.6699
-cap "a_n801_n100#" "a_n513_n100#" 53.425
-cap "a_n989_n100#" "a_159_n100#" 11.882
-cap "a_255_n100#" "a_351_n100#" 241.535
-cap "a_n129_n100#" "a_543_n100#" 20.9177
-cap "a_n945_n188#" "a_735_n100#" 117.444
-cap "a_n945_n188#" "a_n513_n100#" 94.874
-cap "a_n897_n100#" "a_n705_n100#" 87.4087
-cap "a_63_n100#" "a_n33_n100#" 241.535
-cap "a_n129_n100#" "a_n225_n100#" 241.535
-cap "a_n321_n100#" "a_639_n100#" 14.3638
-cap "a_n705_n100#" "a_159_n100#" 16.0389
-cap "a_639_n100#" "a_n989_n100#" 8.29777
-cap "a_n321_n100#" "a_255_n100#" 24.6699
-cap "a_255_n100#" "a_n989_n100#" 10.9371
-cap "a_n897_n100#" "a_543_n100#" 9.43637
-cap "a_543_n100#" "a_159_n100#" 38.4743
-cap "a_63_n100#" "a_927_n100#" 16.0389
-cap "a_927_n100#" "a_n33_n100#" 14.3638
-cap "a_n609_n100#" "a_n417_n100#" 87.4087
-cap "a_n609_n100#" "a_831_n100#" 9.43637
-cap "a_351_n100#" "a_n609_n100#" 14.3638
-cap "a_n897_n100#" "a_n225_n100#" 20.9177
-cap "a_159_n100#" "a_n225_n100#" 38.4743
-cap "a_639_n100#" "a_n705_n100#" 10.1315
-cap "a_255_n100#" "a_n705_n100#" 14.3638
-cap "a_639_n100#" "a_543_n100#" 241.535
-cap "a_255_n100#" "a_543_n100#" 53.425
-cap "a_63_n100#" "a_n801_n100#" 16.0389
-cap "a_n801_n100#" "a_n33_n100#" 18.1562
-cap "a_n321_n100#" "a_n609_n100#" 53.425
-cap "a_n989_n100#" "a_n609_n100#" 38.4743
-cap "a_831_n100#" "a_n417_n100#" 10.9371
-cap "a_639_n100#" "a_n225_n100#" 16.0389
-cap "a_255_n100#" "a_n225_n100#" 30.0629
-cap "a_351_n100#" "a_n417_n100#" 18.1562
-cap "a_63_n100#" "a_n945_n188#" 97.8518
-cap "a_n945_n188#" "a_n33_n100#" 97.1258
-cap "a_351_n100#" "a_831_n100#" 30.0629
-cap "a_n129_n100#" "a_447_n100#" 24.6699
-cap "a_n609_n100#" "a_n705_n100#" 241.535
-cap "a_n945_n188#" "a_927_n100#" 183.284
-cap "a_n321_n100#" "a_n417_n100#" 241.535
-cap "a_n609_n100#" "a_543_n100#" 11.882
-cap "a_n989_n100#" "a_n417_n100#" 24.6699
-cap "a_n129_n100#" "a_735_n100#" 16.0389
-cap "a_n321_n100#" "a_831_n100#" 11.882
-cap "a_n897_n100#" "a_447_n100#" 10.1315
-cap "a_351_n100#" "a_n989_n100#" 10.1315
-cap "a_159_n100#" "a_447_n100#" 53.425
-cap "a_n321_n100#" "a_351_n100#" 20.9177
-cap "a_n129_n100#" "a_n513_n100#" 38.4743
-cap "a_n609_n100#" "a_n225_n100#" 38.4743
-cap "a_n945_n188#" "a_n801_n100#" 90.0091
-cap "a_n705_n100#" "a_n417_n100#" 53.425
-cap "a_n897_n100#" "a_735_n100#" 8.29777
-cap "a_735_n100#" "a_159_n100#" 24.6699
-cap "a_831_n100#" "a_n705_n100#" 8.83052
-cap "a_543_n100#" "a_n417_n100#" 14.3638
-cap "a_n321_n100#" "a_n989_n100#" 20.9177
-cap "a_351_n100#" "a_n705_n100#" 13.0056
-cap "a_n897_n100#" "a_n513_n100#" 38.4743
-cap "a_831_n100#" "a_543_n100#" 53.425
-cap "a_639_n100#" "a_447_n100#" 87.4087
-cap "a_n513_n100#" "a_159_n100#" 20.9177
-cap "a_255_n100#" "a_447_n100#" 87.4087
-cap "a_351_n100#" "a_543_n100#" 87.4087
-cap "a_n417_n100#" "a_n225_n100#" 87.4087
-cap "a_639_n100#" "a_735_n100#" 241.535
-cap "a_831_n100#" "a_n225_n100#" 13.0056
-cap "a_255_n100#" "a_735_n100#" 30.0629
-cap "a_n321_n100#" "a_n705_n100#" 38.4743
-cap "a_n989_n100#" "a_n705_n100#" 53.425
-cap "a_351_n100#" "a_n225_n100#" 24.6699
-cap "a_639_n100#" "a_n513_n100#" 11.882
-cap "a_n989_n100#" "a_543_n100#" 8.83052
-cap "a_n321_n100#" "a_543_n100#" 16.0389
-cap "a_255_n100#" "a_n513_n100#" 18.1562
-cap "a_63_n100#" "a_n129_n100#" 87.4087
-cap "a_n129_n100#" "a_n33_n100#" 241.535
-cap "a_n609_n100#" "a_447_n100#" 13.0056
-cap "a_n989_n100#" "a_n225_n100#" 18.1562
-cap "a_n321_n100#" "a_n225_n100#" 241.535
-cap "a_n129_n100#" "a_927_n100#" 13.0056
-cap "a_543_n100#" "a_n705_n100#" 10.9371
-cap "a_n609_n100#" "a_735_n100#" 10.1315
-cap "a_63_n100#" "a_n897_n100#" 14.3638
-cap "a_n897_n100#" "a_n33_n100#" 16.0389
-cap "a_63_n100#" "a_159_n100#" 241.535
-cap "a_n33_n100#" "a_159_n100#" 87.4087
-cap "a_n609_n100#" "a_n513_n100#" 241.535
-cap "a_n705_n100#" "a_n225_n100#" 30.0629
-cap "a_927_n100#" "a_159_n100#" 18.1562
-cap "a_n417_n100#" "a_447_n100#" 16.0389
-cap "a_n129_n100#" "a_n801_n100#" 20.9177
-cap "a_543_n100#" "a_n225_n100#" 18.1562
-cap "a_831_n100#" "a_447_n100#" 38.4743
-cap "a_351_n100#" "a_447_n100#" 241.535
-cap "a_63_n100#" "a_639_n100#" 24.6699
-cap "a_639_n100#" "a_n33_n100#" 20.9177
-cap "a_63_n100#" "a_255_n100#" 87.4087
-cap "a_255_n100#" "a_n33_n100#" 53.425
-cap "a_735_n100#" "a_n417_n100#" 11.882
-cap "a_735_n100#" "a_831_n100#" 241.535
-cap "a_n945_n188#" "a_n129_n100#" 96.5228
-cap "a_927_n100#" "a_639_n100#" 53.425
-cap "a_n801_n100#" "a_n897_n100#" 241.535
-cap "a_351_n100#" "a_735_n100#" 38.4743
-cap "a_255_n100#" "a_927_n100#" 20.9177
-cap "a_n513_n100#" "a_n417_n100#" 241.535
-cap "a_n801_n100#" "a_159_n100#" 14.3638
-cap "a_n513_n100#" "a_831_n100#" 10.1315
-cap "a_n989_n100#" "a_447_n100#" 9.43637
-cap "a_n321_n100#" "a_447_n100#" 18.1562
-cap "a_351_n100#" "a_n513_n100#" 16.0389
-cap "a_n945_n188#" "a_n897_n100#" 55.8766
-cap "a_n945_n188#" "a_159_n100#" 98.7428
-cap "a_n321_n100#" "a_735_n100#" 13.0056
-cap "a_639_n100#" "a_n801_n100#" 9.43637
-cap "a_63_n100#" "a_n609_n100#" 20.9177
-cap "a_n609_n100#" "a_n33_n100#" 24.6699
-cap "a_255_n100#" "a_n801_n100#" 13.0056
-cap "a_n321_n100#" "a_n513_n100#" 87.4087
-cap "a_n705_n100#" "a_447_n100#" 11.882
-cap "a_n989_n100#" "a_n513_n100#" 30.0629
-cap "a_543_n100#" "a_447_n100#" 241.535
-cap "a_927_n100#" "a_n609_n100#" 8.83052
-cap "a_n945_n188#" "a_639_n100#" 110.228
-cap "a_255_n100#" "a_n945_n188#" 99.8623
-cap "a_735_n100#" "a_n705_n100#" 9.43637
-cap "a_735_n100#" "a_543_n100#" 87.4087
-cap "a_447_n100#" "a_n225_n100#" 20.9177
-cap "a_n513_n100#" "a_n705_n100#" 87.4087
-cap "a_63_n100#" "a_n417_n100#" 30.0629
-cap "a_n33_n100#" "a_n417_n100#" 38.4743
-cap "a_63_n100#" "a_831_n100#" 18.1562
-cap "a_831_n100#" "a_n33_n100#" 16.0389
-cap "a_n513_n100#" "a_543_n100#" 13.0056
-cap "a_n609_n100#" "a_n801_n100#" 87.4087
-cap "a_63_n100#" "a_351_n100#" 53.425
-cap "a_351_n100#" "a_n33_n100#" 38.4743
-cap "a_735_n100#" "a_n225_n100#" 14.3638
-cap "a_927_n100#" "a_n417_n100#" 10.1315
-cap "a_927_n100#" "a_831_n100#" 241.535
-cap "a_351_n100#" "a_927_n100#" 24.6699
-cap "a_n513_n100#" "a_n225_n100#" 53.425
-cap "a_n945_n188#" "a_n609_n100#" 90.0091
-cap "a_63_n100#" "a_n989_n100#" 13.0056
-cap "a_n321_n100#" "a_63_n100#" 38.4743
-cap "a_n321_n100#" "a_n33_n100#" 53.425
-cap "a_n989_n100#" "a_n33_n100#" 14.3638
-cap "a_n801_n100#" "a_n417_n100#" 38.4743
-cap "a_n801_n100#" "a_831_n100#" 8.29777
-cap "a_n321_n100#" "a_927_n100#" 10.9371
-cap "a_351_n100#" "a_n801_n100#" 11.882
-cap "a_n129_n100#" "a_n897_n100#" 18.1562
-cap "a_n129_n100#" "a_159_n100#" 53.425
-cap "a_63_n100#" "a_n705_n100#" 18.1562
-cap "a_n33_n100#" "a_n705_n100#" 20.9177
-cap "a_n945_n188#" "a_n417_n100#" 95.2026
-cap "a_n945_n188#" "a_831_n100#" 132.668
-cap "a_63_n100#" "a_543_n100#" 30.0629
-cap "a_n33_n100#" "a_543_n100#" 24.6699
-cap "a_927_n100#" "a_n705_n100#" 8.29777
-cap "a_n945_n188#" "a_351_n100#" 101.311
-cap "a_n321_n100#" "a_n801_n100#" 30.0629
-cap "a_n897_n100#" "a_159_n100#" 13.0056
-cap "a_n989_n100#" "a_n801_n100#" 87.4087
-cap "a_735_n100#" "a_447_n100#" 53.425
-cap "a_n129_n100#" "a_639_n100#" 18.1562
-cap "a_927_n100#" "a_543_n100#" 38.4743
-cap "a_255_n100#" "a_n129_n100#" 38.4743
-cap "a_63_n100#" "a_n225_n100#" 53.425
-cap "a_n33_n100#" "a_n225_n100#" 87.4087
-cap "a_n513_n100#" "a_447_n100#" 14.3638
-cap "a_n945_n188#" "a_n989_n100#" 9.94956
-cap "a_n321_n100#" "a_n945_n188#" 95.5789
-cap "a_927_n100#" "a_n225_n100#" 11.882
-cap "a_n801_n100#" "a_n705_n100#" 241.535
-cap "a_639_n100#" "a_n897_n100#" 8.83052
-cap "a_639_n100#" "a_159_n100#" 30.0629
-cap "a_n513_n100#" "a_735_n100#" 10.9371
-cap "a_255_n100#" "a_n897_n100#" 11.882
-cap "a_255_n100#" "a_159_n100#" 241.535
-cap "a_n801_n100#" "a_543_n100#" 10.1315
-cap "a_n945_n188#" "a_n705_n100#" 90.0091
-cap "a_n129_n100#" "a_n609_n100#" 30.0629
-cap "a_n801_n100#" "a_n225_n100#" 24.6699
-cap "a_n945_n188#" "a_543_n100#" 106.018
-cap "a_255_n100#" "a_639_n100#" 38.4743
+cap "a_159_n100#" "a_63_n100#" 147.936
+cap "a_n321_n100#" "a_255_n100#" 0.859064
+cap "a_63_n100#" "a_n129_n100#" 0.990922
+cap "a_447_n100#" "a_n609_n100#" 0.52842
+cap "a_447_n100#" "a_n705_n100#" 0.445596
+cap "a_63_n100#" "a_n33_n100#" 147.936
+cap "a_735_n100#" "a_n945_n188#" 53.2701
+cap "a_639_n100#" "a_351_n100#" 0.970605
+cap "a_639_n100#" "a_n897_n100#" 0.0825857
+cap "a_n225_n100#" "a_351_n100#" 0.859064
+cap "a_543_n100#" "a_63_n100#" 0.904382
+cap "a_n225_n100#" "a_n897_n100#" 0.806121
+cap "a_639_n100#" "a_n321_n100#" 0.606553
+cap "a_63_n100#" "a_n417_n100#" 0.904382
+cap "a_927_n100#" "a_351_n100#" 0.843253
+cap "a_n225_n100#" "a_n321_n100#" 147.936
+cap "a_159_n100#" "a_447_n100#" 0.970605
+cap "a_831_n100#" "a_735_n100#" 147.936
+cap "a_n989_n100#" "a_63_n100#" 0.519
+cap "a_n513_n100#" "a_n945_n188#" 53.2643
+cap "a_447_n100#" "a_n129_n100#" 0.859064
+cap "a_n321_n100#" "a_927_n100#" 0.352626
+cap "a_447_n100#" "a_n33_n100#" 0.904382
+cap "a_n945_n188#" "a_255_n100#" 53.7947
+cap "a_543_n100#" "a_447_n100#" 147.936
+cap "a_n609_n100#" "a_n705_n100#" 147.936
+cap "a_447_n100#" "a_n417_n100#" 0.679303
+cap "a_63_n100#" "a_351_n100#" 0.970605
+cap "a_n513_n100#" "a_831_n100#" 0.268853
+cap "a_63_n100#" "a_n897_n100#" 0.606553
+cap "a_639_n100#" "a_n945_n188#" 53.417
+cap "a_831_n100#" "a_255_n100#" 0.859064
+cap "a_447_n100#" "a_n989_n100#" 0.17376
+cap "a_63_n100#" "a_n321_n100#" 0.941673
+cap "a_n945_n188#" "a_n225_n100#" 53.6601
+cap "a_159_n100#" "a_n609_n100#" 0.746023
+cap "a_159_n100#" "a_n705_n100#" 0.679303
+cap "a_n945_n188#" "a_927_n100#" 99.3563
+cap "a_n609_n100#" "a_n129_n100#" 0.904382
+cap "a_n129_n100#" "a_n705_n100#" 0.859064
+cap "a_831_n100#" "a_639_n100#" 0.990922
+cap "a_n609_n100#" "a_n33_n100#" 0.859064
+cap "a_n705_n100#" "a_n33_n100#" 0.806121
+cap "a_447_n100#" "a_351_n100#" 147.936
+cap "a_447_n100#" "a_n897_n100#" 0.268853
+cap "a_831_n100#" "a_n225_n100#" 0.52842
+cap "a_543_n100#" "a_n705_n100#" 0.358817
+cap "a_447_n100#" "a_n321_n100#" 0.746023
+cap "a_543_n100#" "a_n609_n100#" 0.445596
+cap "a_n609_n100#" "a_n417_n100#" 0.990922
+cap "a_n705_n100#" "a_n417_n100#" 0.970605
+cap "a_831_n100#" "a_927_n100#" 147.917
+cap "a_159_n100#" "a_n129_n100#" 0.970605
+cap "a_n801_n100#" "a_735_n100#" 0.0825857
+cap "a_63_n100#" "a_n945_n188#" 53.8228
+cap "a_159_n100#" "a_n33_n100#" 0.990922
+cap "a_n989_n100#" "a_n705_n100#" 0.952553
+cap "a_n989_n100#" "a_n609_n100#" 0.924215
+cap "a_n129_n100#" "a_n33_n100#" 147.936
+cap "a_543_n100#" "a_159_n100#" 0.941673
+cap "a_159_n100#" "a_n417_n100#" 0.859064
+cap "a_543_n100#" "a_n129_n100#" 0.806121
+cap "a_n129_n100#" "a_n417_n100#" 0.970605
+cap "a_543_n100#" "a_n33_n100#" 0.859064
+cap "a_831_n100#" "a_63_n100#" 0.746023
+cap "a_n417_n100#" "a_n33_n100#" 0.941673
+cap "a_n609_n100#" "a_351_n100#" 0.606553
+cap "a_n705_n100#" "a_351_n100#" 0.52842
+cap "a_n513_n100#" "a_n801_n100#" 0.970605
+cap "a_159_n100#" "a_n989_n100#" 0.437756
+cap "a_n609_n100#" "a_n897_n100#" 0.970605
+cap "a_n705_n100#" "a_n897_n100#" 0.990922
+cap "a_447_n100#" "a_n945_n188#" 53.6578
+cap "a_n801_n100#" "a_255_n100#" 0.52842
+cap "a_n989_n100#" "a_n129_n100#" 0.666988
+cap "a_543_n100#" "a_n417_n100#" 0.606553
+cap "a_n321_n100#" "a_n705_n100#" 0.941673
+cap "a_n609_n100#" "a_n321_n100#" 0.970605
+cap "a_n989_n100#" "a_n33_n100#" 0.595638
+cap "a_159_n100#" "a_351_n100#" 0.990922
+cap "a_543_n100#" "a_n989_n100#" 0.0816115
+cap "a_n989_n100#" "a_n417_n100#" 0.843253
+cap "a_n801_n100#" "a_639_n100#" 0.176503
+cap "a_159_n100#" "a_n897_n100#" 0.52842
+cap "a_831_n100#" "a_447_n100#" 0.941673
+cap "a_n129_n100#" "a_351_n100#" 0.904382
+cap "a_n801_n100#" "a_n225_n100#" 0.859064
+cap "a_351_n100#" "a_n33_n100#" 0.941673
+cap "a_159_n100#" "a_n321_n100#" 0.904382
+cap "a_n129_n100#" "a_n897_n100#" 0.746023
+cap "a_n897_n100#" "a_n33_n100#" 0.679303
+cap "a_n321_n100#" "a_n129_n100#" 0.990922
+cap "a_n321_n100#" "a_n33_n100#" 0.970605
+cap "a_543_n100#" "a_351_n100#" 0.990922
+cap "a_n417_n100#" "a_351_n100#" 0.746023
+cap "a_543_n100#" "a_n897_n100#" 0.176503
+cap "a_n417_n100#" "a_n897_n100#" 0.904382
+cap "a_n945_n188#" "a_n705_n100#" 52.9505
+cap "a_n609_n100#" "a_n945_n188#" 53.1031
+cap "a_543_n100#" "a_n321_n100#" 0.679303
+cap "a_n321_n100#" "a_n417_n100#" 147.936
+cap "a_n513_n100#" "a_735_n100#" 0.358817
+cap "a_n989_n100#" "a_351_n100#" 0.264366
+cap "a_n989_n100#" "a_n897_n100#" 147.917
+cap "a_735_n100#" "a_255_n100#" 0.904382
+cap "a_n801_n100#" "a_63_n100#" 0.679303
+cap "a_n989_n100#" "a_n321_n100#" 0.791348
+cap "a_159_n100#" "a_n945_n188#" 53.8225
+cap "a_831_n100#" "a_n609_n100#" 0.176503
+cap "a_831_n100#" "a_n705_n100#" 0.0825857
+cap "a_n945_n188#" "a_n129_n100#" 53.7413
+cap "a_735_n100#" "a_639_n100#" 147.936
+cap "a_351_n100#" "a_n897_n100#" 0.358817
+cap "a_n945_n188#" "a_n33_n100#" 53.7957
+cap "a_735_n100#" "a_n225_n100#" 0.606553
+cap "a_n513_n100#" "a_255_n100#" 0.746023
+cap "a_n321_n100#" "a_351_n100#" 0.806121
+cap "a_n321_n100#" "a_n897_n100#" 0.859064
+cap "a_543_n100#" "a_n945_n188#" 53.5499
+cap "a_n945_n188#" "a_n417_n100#" 53.4205
+cap "a_159_n100#" "a_831_n100#" 0.806121
+cap "a_735_n100#" "a_927_n100#" 0.972435
+cap "a_n801_n100#" "a_447_n100#" 0.358817
+cap "a_831_n100#" "a_n129_n100#" 0.606553
+cap "a_831_n100#" "a_n33_n100#" 0.679303
+cap "a_n513_n100#" "a_639_n100#" 0.445596
+cap "a_n989_n100#" "a_n945_n188#" 7.35405
+cap "a_639_n100#" "a_255_n100#" 0.941673
+cap "a_n513_n100#" "a_n225_n100#" 0.970605
+cap "a_543_n100#" "a_831_n100#" 0.970605
+cap "a_n225_n100#" "a_255_n100#" 0.904382
+cap "a_831_n100#" "a_n417_n100#" 0.358817
+cap "a_n513_n100#" "a_927_n100#" 0.17376
+cap "a_735_n100#" "a_63_n100#" 0.806121
+cap "a_927_n100#" "a_255_n100#" 0.791348
+cap "a_n945_n188#" "a_351_n100#" 53.7396
+cap "a_n945_n188#" "a_n897_n100#" 33.3114
+cap "a_639_n100#" "a_n225_n100#" 0.679303
+cap "a_n945_n188#" "a_n321_n100#" 53.5529
+cap "a_n801_n100#" "a_n609_n100#" 0.990922
+cap "a_n801_n100#" "a_n705_n100#" 147.936
+cap "a_639_n100#" "a_927_n100#" 0.952553
+cap "a_n513_n100#" "a_63_n100#" 0.859064
+cap "a_831_n100#" "a_351_n100#" 0.904382
+cap "a_n225_n100#" "a_927_n100#" 0.437756
+cap "a_735_n100#" "a_447_n100#" 0.970605
+cap "a_63_n100#" "a_255_n100#" 0.990922
+cap "a_831_n100#" "a_n321_n100#" 0.445596
+cap "a_n801_n100#" "a_159_n100#" 0.606553
+cap "a_n801_n100#" "a_n129_n100#" 0.806121
+cap "a_639_n100#" "a_63_n100#" 0.859064
+cap "a_n801_n100#" "a_n33_n100#" 0.746023
+cap "a_63_n100#" "a_n225_n100#" 0.970605
+cap "a_n513_n100#" "a_447_n100#" 0.606553
+cap "a_447_n100#" "a_255_n100#" 0.990922
+cap "a_n801_n100#" "a_543_n100#" 0.268853
+cap "a_n801_n100#" "a_n417_n100#" 0.941673
+cap "a_63_n100#" "a_927_n100#" 0.666988
+cap "a_735_n100#" "a_n705_n100#" 0.176503
+cap "a_735_n100#" "a_n609_n100#" 0.268853
+cap "a_831_n100#" "a_n945_n188#" 53.1471
+cap "a_n801_n100#" "a_n989_n100#" 0.972435
+cap "a_639_n100#" "a_447_n100#" 0.990922
+cap "a_447_n100#" "a_n225_n100#" 0.806121
+cap "a_447_n100#" "a_927_n100#" 0.887673
+cap "a_159_n100#" "a_735_n100#" 0.859064
+cap "a_n801_n100#" "a_351_n100#" 0.445596
+cap "a_n513_n100#" "a_n609_n100#" 147.936
+cap "a_n513_n100#" "a_n705_n100#" 0.990922
+cap "a_n801_n100#" "a_n897_n100#" 147.936
+cap "a_735_n100#" "a_n129_n100#" 0.679303
+cap "a_n705_n100#" "a_255_n100#" 0.606553
+cap "a_735_n100#" "a_n33_n100#" 0.746023
+cap "a_n609_n100#" "a_255_n100#" 0.679303
+cap "a_n801_n100#" "a_n321_n100#" 0.904382
+cap "a_543_n100#" "a_735_n100#" 0.990922
+cap "a_735_n100#" "a_n417_n100#" 0.445596
+cap "a_n513_n100#" "a_159_n100#" 0.806121
+cap "a_447_n100#" "a_63_n100#" 0.941673
+cap "a_639_n100#" "a_n705_n100#" 0.268853
+cap "a_639_n100#" "a_n609_n100#" 0.358817
+cap "a_159_n100#" "a_255_n100#" 147.936
+cap "a_n513_n100#" "a_n129_n100#" 0.941673
+cap "a_n609_n100#" "a_n225_n100#" 0.941673
+cap "a_n225_n100#" "a_n705_n100#" 0.904382
+cap "a_n513_n100#" "a_n33_n100#" 0.904382
+cap "a_n129_n100#" "a_255_n100#" 0.941673
+cap "a_255_n100#" "a_n33_n100#" 0.970605
+cap "a_n609_n100#" "a_927_n100#" 0.0816115
+cap "a_927_n100#" "a_n705_n100#" 0.00232329
+cap "a_n513_n100#" "a_543_n100#" 0.52842
+cap "a_n513_n100#" "a_n417_n100#" 147.936
+cap "a_159_n100#" "a_639_n100#" 0.904382
+cap "a_n801_n100#" "a_n945_n188#" 52.7881
+cap "a_543_n100#" "a_255_n100#" 0.970605
+cap "a_n417_n100#" "a_255_n100#" 0.806121
+cap "a_159_n100#" "a_n225_n100#" 0.941673
+cap "a_735_n100#" "a_351_n100#" 0.941673
+cap "a_639_n100#" "a_n129_n100#" 0.746023
+cap "a_735_n100#" "a_n897_n100#" 0.00232329
+cap "a_639_n100#" "a_n33_n100#" 0.806121
+cap "a_n513_n100#" "a_n989_n100#" 0.887673
+cap "a_n225_n100#" "a_n129_n100#" 147.936
+cap "a_159_n100#" "a_927_n100#" 0.732419
+cap "a_n225_n100#" "a_n33_n100#" 0.990922
+cap "a_735_n100#" "a_n321_n100#" 0.52842
+cap "a_n989_n100#" "a_255_n100#" 0.352626
+cap "a_543_n100#" "a_639_n100#" 147.936
+cap "a_n129_n100#" "a_927_n100#" 0.519
+cap "a_639_n100#" "a_n417_n100#" 0.52842
+cap "a_n609_n100#" "a_63_n100#" 0.806121
+cap "a_63_n100#" "a_n705_n100#" 0.746023
+cap "a_n801_n100#" "a_831_n100#" 0.00232329
+cap "a_927_n100#" "a_n33_n100#" 0.595638
+cap "a_543_n100#" "a_n225_n100#" 0.746023
+cap "a_n225_n100#" "a_n417_n100#" 0.990922
+cap "a_n513_n100#" "a_351_n100#" 0.679303
+cap "a_n513_n100#" "a_n897_n100#" 0.941673
+cap "a_639_n100#" "a_n989_n100#" 0.00232329
+cap "a_543_n100#" "a_927_n100#" 0.924215
+cap "a_255_n100#" "a_351_n100#" 147.936
+cap "a_927_n100#" "a_n417_n100#" 0.264366
+cap "a_255_n100#" "a_n897_n100#" 0.445596
+cap "a_n513_n100#" "a_n321_n100#" 0.990922
+cap "a_n989_n100#" "a_n225_n100#" 0.732419
 device msubckt sky130_fd_pr__nfet_01v8_lvt 897 -100 898 -99 l=30 w=200 "a_n1091_n274#" "a_n945_n188#" 60 0 "a_831_n100#" 200 0 "a_927_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 801 -100 802 -99 l=30 w=200 "a_n1091_n274#" "a_n945_n188#" 60 0 "a_735_n100#" 200 0 "a_831_n100#" 200 0
 device msubckt sky130_fd_pr__nfet_01v8_lvt 705 -100 706 -99 l=30 w=200 "a_n1091_n274#" "a_n945_n188#" 60 0 "a_639_n100#" 200 0 "a_735_n100#" 200 0
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_75KH85.ext b/mag/sky130_fd_pr__pfet_01v8_lvt_75KH85.ext
index cbea10e..e015848 100644
--- a/mag/sky130_fd_pr__pfet_01v8_lvt_75KH85.ext
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_75KH85.ext
@@ -5,31 +5,43 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__pfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_163_n64#" 758 0 163 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_35_n64#" 758 0 35 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n93_n64#" 758 0 -93 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n221_n64#" 758 0 -221 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_93_n161#" 249 0 93 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22610 786 0 0 2380 208 2852 216 0 0 0 0 0 0 0 0 0 0
-node "a_n35_n161#" 249 0 -35 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22610 786 0 0 2380 208 2852 216 0 0 0 0 0 0 0 0 0 0
-node "a_n163_n161#" 249 0 -163 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22610 786 0 0 2380 208 2852 216 0 0 0 0 0 0 0 0 0 0
-node "w_n359_n284#" 9323 1223.47 -359 -284 nw 0 0 0 0 407824 2572 0 0 73032 4296 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 73032 4296 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_163_n64#" 758 51.3205 163 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_35_n64#" 758 21.8927 35 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n93_n64#" 758 21.8927 -93 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n221_n64#" 758 51.3205 -221 -64 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_93_n161#" 249 112.339 93 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22610 786 0 0 2380 208 2852 216 0 0 0 0 0 0 0 0 0 0
+node "a_n35_n161#" 249 79.5922 -35 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22610 786 0 0 2380 208 2852 216 0 0 0 0 0 0 0 0 0 0
+node "a_n163_n161#" 249 112.339 -163 -161 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22610 786 0 0 2380 208 2852 216 0 0 0 0 0 0 0 0 0 0
+node "w_n359_n284#" 9323 1723.44 -359 -284 nw 0 0 0 0 407824 2572 0 0 73032 4296 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 73032 4296 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_163_n64#" "a_n221_n64#" 38.4743
-cap "w_n359_n284#" "a_n221_n64#" 112.192
-cap "w_n359_n284#" "a_n35_n161#" 125.778
-cap "w_n359_n284#" "a_163_n64#" 111.626
-cap "a_n163_n161#" "a_n35_n161#" 78.1837
-cap "a_n93_n64#" "a_n221_n64#" 151.957
-cap "w_n359_n284#" "a_n163_n161#" 165.902
-cap "a_n93_n64#" "a_163_n64#" 61.3771
-cap "a_n93_n64#" "w_n359_n284#" 72.0069
-cap "a_93_n161#" "a_n35_n161#" 78.1837
-cap "w_n359_n284#" "a_93_n161#" 159.036
-cap "a_n221_n64#" "a_35_n64#" 61.3771
-cap "a_n163_n161#" "a_93_n161#" 25.1097
-cap "a_163_n64#" "a_35_n64#" 151.957
-cap "w_n359_n284#" "a_35_n64#" 71.8097
-cap "a_n93_n64#" "a_35_n64#" 151.957
+cap "a_35_n64#" "a_163_n64#" 111.596
+cap "a_n93_n64#" "a_n35_n161#" 0.600992
+cap "a_n163_n161#" "a_n221_n64#" 0.632634
+cap "w_n359_n284#" "a_163_n64#" 68.4696
+cap "a_35_n64#" "a_n221_n64#" 1.42315
+cap "w_n359_n284#" "a_n221_n64#" 91.1363
+cap "a_n35_n161#" "a_163_n64#" 0.59297
+cap "a_n35_n161#" "a_n221_n64#" 0.59297
+cap "a_n93_n64#" "a_163_n64#" 1.42315
+cap "a_n93_n64#" "a_n221_n64#" 111.596
+cap "a_93_n161#" "a_n163_n161#" 0.345439
+cap "a_163_n64#" "a_n221_n64#" 1.36983
+cap "a_93_n161#" "a_35_n64#" 0.600992
+cap "a_93_n161#" "w_n359_n284#" 79.3079
+cap "a_93_n161#" "a_n35_n161#" 61.8131
+cap "a_n93_n64#" "a_93_n161#" 0.59297
+cap "a_35_n64#" "a_n163_n161#" 0.59297
+cap "a_93_n161#" "a_163_n64#" 0.632634
+cap "w_n359_n284#" "a_n163_n161#" 79.3079
+cap "a_35_n64#" "w_n359_n284#" 34.2481
+cap "a_93_n161#" "a_n221_n64#" 0.575596
+cap "a_n35_n161#" "a_n163_n161#" 61.8131
+cap "a_35_n64#" "a_n35_n161#" 0.600992
+cap "w_n359_n284#" "a_n35_n161#" 64.6235
+cap "a_n93_n64#" "a_n163_n161#" 0.600992
+cap "a_n93_n64#" "a_35_n64#" 111.596
+cap "a_n93_n64#" "w_n359_n284#" 34.2481
+cap "a_n163_n161#" "a_163_n64#" 0.575596
 device msubckt sky130_fd_pr__pfet_01v8_lvt 93 -64 94 -63 l=70 w=200 "w_n359_n284#" "a_93_n161#" 140 0 "a_35_n64#" 200 0 "a_163_n64#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt -35 -64 -34 -63 l=70 w=200 "w_n359_n284#" "a_n35_n161#" 140 0 "a_n93_n64#" 200 0 "a_35_n64#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt -163 -64 -162 -63 l=70 w=200 "w_n359_n284#" "a_n163_n161#" 140 0 "a_n221_n64#" 200 0 "a_n93_n64#" 200 0
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_D3M934.ext b/mag/sky130_fd_pr__pfet_01v8_lvt_D3M934.ext
index cfd9979..10a8880 100644
--- a/mag/sky130_fd_pr__pfet_01v8_lvt_D3M934.ext
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_D3M934.ext
@@ -5,288 +5,522 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__pfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_931_n100#" 758 0 931 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_803_n100#" 758 0 803 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_675_n100#" 758 0 675 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_547_n100#" 758 0 547 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_419_n100#" 758 0 419 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_291_n100#" 758 0 291 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_163_n100#" 758 0 163 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_35_n100#" 758 0 35 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n93_n100#" 758 0 -93 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n221_n100#" 758 0 -221 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n349_n100#" 758 0 -349 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n477_n100#" 758 0 -477 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n605_n100#" 758 0 -605 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n733_n100#" 758 0 -733 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n861_n100#" 758 0 -861 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n989_n100#" 758 0 -989 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_861_n197#" 361 0 861 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_733_n197#" 361 0 733 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_605_n197#" 361 0 605 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_477_n197#" 361 0 477 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_349_n197#" 361 0 349 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_221_n197#" 361 0 221 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_93_n197#" 361 0 93 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n35_n197#" 361 0 -35 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n163_n197#" 361 0 -163 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n291_n197#" 361 0 -291 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n419_n197#" 361 0 -419 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n547_n197#" 361 0 -547 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n675_n197#" 361 0 -675 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n803_n197#" 361 0 -803 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n931_n197#" 361 0 -931 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "w_n1127_n319#" 24025 4314.16 -1127 -319 nw 0 0 0 0 1438052 5784 0 0 182240 10720 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 182240 10720 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_931_n100#" 758 48.9743 931 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_803_n100#" 758 18.2444 803 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_675_n100#" 758 16.7379 675 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_547_n100#" 758 15.2012 547 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_419_n100#" 758 13.7811 419 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_291_n100#" 758 12.5643 291 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_163_n100#" 758 11.7388 163 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_35_n100#" 758 11.3217 35 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n93_n100#" 758 11.3217 -93 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n221_n100#" 758 11.7388 -221 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n349_n100#" 758 12.5643 -349 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n477_n100#" 758 13.7811 -477 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n605_n100#" 758 15.2012 -605 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n733_n100#" 758 16.7379 -733 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n861_n100#" 758 18.2444 -861 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n989_n100#" 758 48.9743 -989 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_861_n197#" 361 141.048 861 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_733_n197#" 361 85.7957 733 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_605_n197#" 361 85.0678 605 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_477_n197#" 361 84.3742 477 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_349_n197#" 361 83.7545 349 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_221_n197#" 361 83.3039 221 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_93_n197#" 361 83.0304 93 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n35_n197#" 361 82.9386 -35 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n163_n197#" 361 83.0304 -163 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n291_n197#" 361 83.3039 -291 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n419_n197#" 361 83.7545 -419 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n547_n197#" 361 84.3742 -547 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n675_n197#" 361 85.0678 -675 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n803_n197#" 361 85.7957 -803 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n931_n197#" 361 141.048 -931 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "w_n1127_n319#" 24025 5506.96 -1127 -319 nw 0 0 0 0 1438052 5784 0 0 182240 10720 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 182240 10720 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_291_n100#" "a_803_n100#" 28.021
-cap "a_n35_n197#" "a_861_n197#" 10.3683
-cap "a_547_n100#" "a_803_n100#" 61.3771
-cap "a_n931_n197#" "a_n547_n197#" 27.1097
-cap "a_419_n100#" "a_163_n100#" 61.3771
-cap "a_349_n197#" "a_861_n197#" 19.3132
-cap "a_419_n100#" "a_n989_n100#" 9.65722
-cap "a_n931_n197#" "a_n291_n197#" 14.9997
-cap "a_n605_n100#" "a_803_n100#" 9.65722
-cap "a_n349_n100#" "a_n733_n100#" 38.4743
-cap "a_605_n197#" "a_861_n197#" 45.4667
-cap "w_n1127_n319#" "a_n803_n197#" 223.708
-cap "a_n349_n100#" "a_n93_n100#" 61.3771
-cap "a_163_n100#" "a_n733_n100#" 15.4387
-cap "a_n989_n100#" "a_n733_n100#" 61.3771
-cap "a_163_n100#" "a_n93_n100#" 61.3771
-cap "a_n989_n100#" "a_n93_n100#" 15.4387
-cap "a_n803_n197#" "a_n675_n197#" 141.126
-cap "a_861_n197#" "a_n419_n197#" 7.08637
-cap "w_n1127_n319#" "a_n163_n197#" 208.818
-cap "a_477_n197#" "a_n803_n197#" 7.08637
-cap "a_221_n197#" "a_n803_n197#" 8.98173
-cap "a_93_n197#" "a_n803_n197#" 10.3683
-cap "a_675_n100#" "a_35_n100#" 22.0348
-cap "a_n163_n197#" "a_n675_n197#" 19.3132
-cap "a_733_n197#" "a_861_n197#" 141.126
-cap "a_n35_n197#" "a_n803_n197#" 12.2612
-cap "w_n1127_n319#" "a_675_n100#" 38.2252
-cap "w_n1127_n319#" "a_35_n100#" 32.9391
-cap "a_n547_n197#" "a_n291_n197#" 45.4667
-cap "a_349_n197#" "a_n803_n197#" 7.92226
-cap "a_803_n100#" "a_n477_n100#" 10.6547
-cap "a_675_n100#" "a_n861_n100#" 8.83052
-cap "a_n861_n100#" "a_35_n100#" 15.4387
-cap "a_n221_n100#" "a_803_n100#" 13.4288
-cap "w_n1127_n319#" "a_n861_n100#" 49.985
-cap "a_n163_n197#" "a_477_n197#" 14.9997
-cap "a_n803_n197#" "a_605_n197#" 6.41003
-cap "a_n163_n197#" "a_221_n197#" 27.1097
-cap "a_93_n197#" "a_n163_n197#" 45.4667
-cap "w_n1127_n319#" "a_n675_n197#" 220.797
-cap "a_291_n100#" "a_35_n100#" 61.3771
-cap "a_291_n100#" "a_675_n100#" 38.4743
-cap "a_675_n100#" "a_547_n100#" 151.957
-cap "a_547_n100#" "a_35_n100#" 28.021
-cap "a_163_n100#" "a_n349_n100#" 28.021
-cap "a_n35_n197#" "a_n163_n197#" 141.126
-cap "a_n989_n100#" "a_n349_n100#" 22.0348
-cap "a_291_n100#" "w_n1127_n319#" 33.7932
-cap "a_n163_n197#" "a_349_n197#" 19.3132
-cap "w_n1127_n319#" "a_547_n100#" 33.6325
-cap "a_n605_n100#" "a_35_n100#" 22.0348
-cap "a_163_n100#" "a_n989_n100#" 11.882
-cap "a_675_n100#" "a_n605_n100#" 10.6547
-cap "a_291_n100#" "a_n861_n100#" 11.882
-cap "a_n803_n197#" "a_n419_n197#" 27.1097
-cap "a_547_n100#" "a_n861_n100#" 9.65722
-cap "a_931_n100#" "a_803_n100#" 151.957
-cap "w_n1127_n319#" "a_n605_n100#" 34.5367
-cap "w_n1127_n319#" "a_477_n197#" 177.59
-cap "a_n163_n197#" "a_605_n197#" 12.2612
-cap "a_419_n100#" "a_803_n100#" 38.4743
-cap "w_n1127_n319#" "a_221_n197#" 191.485
-cap "a_93_n197#" "w_n1127_n319#" 197.882
-cap "a_n605_n100#" "a_n861_n100#" 61.3771
-cap "a_n35_n197#" "w_n1127_n319#" 203.695
-cap "a_291_n100#" "a_547_n100#" 61.3771
-cap "a_477_n197#" "a_n675_n197#" 7.92226
-cap "w_n1127_n319#" "a_349_n197#" 184.65
-cap "a_221_n197#" "a_n675_n197#" 10.3683
-cap "a_93_n197#" "a_n675_n197#" 12.2612
-cap "a_733_n197#" "a_n803_n197#" 5.85155
-cap "a_n35_n197#" "a_n675_n197#" 14.9997
-cap "a_291_n100#" "a_n605_n100#" 15.4387
-cap "a_n163_n197#" "a_n419_n197#" 45.4667
-cap "a_n605_n100#" "a_547_n100#" 11.882
-cap "a_803_n100#" "a_n733_n100#" 8.83052
-cap "a_349_n197#" "a_n675_n197#" 8.98173
-cap "w_n1127_n319#" "a_605_n197#" 169.528
-cap "a_803_n100#" "a_n93_n100#" 15.4387
-cap "a_221_n197#" "a_477_n197#" 45.4667
-cap "a_93_n197#" "a_477_n197#" 27.1097
-cap "a_n675_n197#" "a_605_n197#" 7.08637
-cap "a_93_n197#" "a_221_n197#" 141.126
-cap "a_n35_n197#" "a_477_n197#" 19.3132
-cap "a_n163_n197#" "a_733_n197#" 10.3683
-cap "a_n35_n197#" "a_221_n197#" 45.4667
-cap "a_477_n197#" "a_349_n197#" 141.126
-cap "a_93_n197#" "a_n35_n197#" 141.126
-cap "w_n1127_n319#" "a_n419_n197#" 216.77
-cap "a_35_n100#" "a_n477_n100#" 28.021
-cap "a_221_n197#" "a_349_n197#" 141.126
-cap "a_675_n100#" "a_n477_n100#" 11.882
-cap "a_93_n197#" "a_349_n197#" 45.4667
-cap "a_n35_n197#" "a_349_n197#" 27.1097
-cap "w_n1127_n319#" "a_n477_n100#" 35.7345
-cap "a_n547_n197#" "a_861_n197#" 6.41003
-cap "a_675_n100#" "a_n221_n100#" 15.4387
-cap "a_n221_n100#" "a_35_n100#" 61.3771
-cap "a_477_n197#" "a_605_n197#" 141.126
-cap "a_n675_n197#" "a_n419_n197#" 45.4667
-cap "a_n931_n197#" "a_n803_n197#" 141.126
-cap "a_n861_n100#" "a_n477_n100#" 38.4743
-cap "a_221_n197#" "a_605_n197#" 27.1097
-cap "a_93_n197#" "a_605_n197#" 19.3132
-cap "a_n291_n197#" "a_861_n197#" 7.92226
-cap "w_n1127_n319#" "a_n221_n100#" 33.4827
-cap "a_n35_n197#" "a_605_n197#" 14.9997
-cap "w_n1127_n319#" "a_733_n197#" 163.719
-cap "a_n221_n100#" "a_n861_n100#" 22.0348
-cap "a_349_n197#" "a_605_n197#" 45.4667
-cap "a_291_n100#" "a_n477_n100#" 18.1562
-cap "a_547_n100#" "a_n477_n100#" 13.4288
-cap "a_477_n197#" "a_n419_n197#" 10.3683
-cap "a_733_n197#" "a_n675_n197#" 6.41003
-cap "a_221_n197#" "a_n419_n197#" 14.9997
-cap "a_931_n100#" "a_675_n100#" 61.3771
-cap "a_931_n100#" "a_35_n100#" 15.4387
-cap "a_93_n197#" "a_n419_n197#" 19.3132
-cap "a_291_n100#" "a_n221_n100#" 28.021
-cap "a_n221_n100#" "a_547_n100#" 18.1562
-cap "a_803_n100#" "a_n349_n100#" 11.882
-cap "a_n35_n197#" "a_n419_n197#" 27.1097
-cap "a_n931_n197#" "a_n163_n197#" 12.2612
-cap "a_419_n100#" "a_675_n100#" 61.3771
-cap "a_419_n100#" "a_35_n100#" 38.4743
-cap "a_n605_n100#" "a_n477_n100#" 151.957
-cap "w_n1127_n319#" "a_931_n100#" 93.2923
-cap "a_349_n197#" "a_n419_n197#" 12.2612
-cap "a_163_n100#" "a_803_n100#" 22.0348
-cap "a_419_n100#" "w_n1127_n319#" 35.0102
-cap "a_n221_n100#" "a_n605_n100#" 38.4743
-cap "a_733_n197#" "a_477_n197#" 45.4667
-cap "a_419_n100#" "a_n861_n100#" 10.6547
-cap "a_733_n197#" "a_221_n197#" 19.3132
-cap "a_93_n197#" "a_733_n197#" 14.9997
-cap "a_605_n197#" "a_n419_n197#" 8.98173
-cap "a_n733_n100#" "a_35_n100#" 18.1562
-cap "a_n35_n197#" "a_733_n197#" 12.2612
-cap "a_291_n100#" "a_931_n100#" 22.0348
-cap "a_675_n100#" "a_n733_n100#" 9.65722
-cap "a_n931_n197#" "w_n1127_n319#" 282.803
-cap "a_931_n100#" "a_547_n100#" 38.4743
-cap "a_675_n100#" "a_n93_n100#" 18.1562
-cap "a_35_n100#" "a_n93_n100#" 151.957
-cap "a_733_n197#" "a_349_n197#" 27.1097
-cap "w_n1127_n319#" "a_n733_n100#" 39.3183
-cap "a_419_n100#" "a_291_n100#" 151.957
-cap "a_n803_n197#" "a_n547_n197#" 45.4667
-cap "a_419_n100#" "a_547_n100#" 151.957
-cap "w_n1127_n319#" "a_n93_n100#" 33.046
-cap "a_n861_n100#" "a_n733_n100#" 151.957
-cap "a_931_n100#" "a_n605_n100#" 8.83052
-cap "a_n803_n197#" "a_n291_n197#" 19.3132
-cap "a_n931_n197#" "a_n675_n197#" 45.4667
-cap "a_n861_n100#" "a_n93_n100#" 18.1562
-cap "a_733_n197#" "a_605_n197#" 141.126
-cap "a_419_n100#" "a_n605_n100#" 13.4288
-cap "a_291_n100#" "a_n733_n100#" 13.4288
-cap "a_547_n100#" "a_n733_n100#" 10.6547
-cap "a_291_n100#" "a_n93_n100#" 38.4743
-cap "a_547_n100#" "a_n93_n100#" 22.0348
-cap "a_n163_n197#" "a_n547_n197#" 27.1097
-cap "a_n931_n197#" "a_477_n197#" 6.41003
-cap "a_733_n197#" "a_n419_n197#" 7.92226
-cap "a_n931_n197#" "a_221_n197#" 7.92226
-cap "a_n605_n100#" "a_n733_n100#" 151.957
-cap "a_93_n197#" "a_n931_n197#" 8.98173
-cap "a_n163_n197#" "a_n291_n197#" 141.126
-cap "a_n35_n197#" "a_n931_n197#" 10.3683
-cap "a_n221_n100#" "a_n477_n100#" 61.3771
-cap "a_n605_n100#" "a_n93_n100#" 28.021
-cap "a_n931_n197#" "a_349_n197#" 7.08637
-cap "w_n1127_n319#" "a_n547_n197#" 219.625
-cap "a_n931_n197#" "a_605_n197#" 5.85155
-cap "a_675_n100#" "a_n349_n100#" 13.4288
-cap "a_n349_n100#" "a_35_n100#" 38.4743
-cap "w_n1127_n319#" "a_n291_n197#" 213.184
-cap "a_931_n100#" "a_n477_n100#" 9.65722
-cap "a_163_n100#" "a_675_n100#" 28.021
-cap "a_163_n100#" "a_35_n100#" 151.957
-cap "w_n1127_n319#" "a_n349_n100#" 34.3188
-cap "a_n675_n197#" "a_n547_n197#" 141.126
-cap "a_n989_n100#" "a_35_n100#" 13.4288
-cap "a_419_n100#" "a_n477_n100#" 15.4387
-cap "a_n861_n100#" "a_n349_n100#" 28.021
-cap "a_163_n100#" "w_n1127_n319#" 33.1639
-cap "a_n675_n197#" "a_n291_n197#" 27.1097
-cap "a_931_n100#" "a_n221_n100#" 11.882
-cap "a_n989_n100#" "w_n1127_n319#" 94.7627
-cap "a_n931_n197#" "a_n419_n197#" 19.3132
-cap "a_419_n100#" "a_n221_n100#" 22.0348
-cap "a_163_n100#" "a_n861_n100#" 13.4288
-cap "a_n989_n100#" "a_n861_n100#" 151.957
-cap "a_291_n100#" "a_n349_n100#" 22.0348
-cap "a_477_n197#" "a_n547_n197#" 8.98173
-cap "a_547_n100#" "a_n349_n100#" 15.4387
-cap "a_n733_n100#" "a_n477_n100#" 61.3771
-cap "a_221_n197#" "a_n547_n197#" 12.2612
-cap "a_93_n197#" "a_n547_n197#" 14.9997
-cap "a_163_n100#" "a_291_n100#" 151.957
-cap "a_477_n197#" "a_n291_n197#" 12.2612
-cap "a_163_n100#" "a_547_n100#" 38.4743
-cap "a_n93_n100#" "a_n477_n100#" 38.4743
-cap "a_291_n100#" "a_n989_n100#" 10.6547
-cap "a_n35_n197#" "a_n547_n197#" 19.3132
-cap "a_221_n197#" "a_n291_n197#" 19.3132
-cap "a_n605_n100#" "a_n349_n100#" 61.3771
-cap "a_n221_n100#" "a_n733_n100#" 28.021
-cap "a_n989_n100#" "a_547_n100#" 8.83052
-cap "a_93_n197#" "a_n291_n197#" 27.1097
-cap "a_n931_n197#" "a_733_n197#" 3.26349
-cap "a_349_n197#" "a_n547_n197#" 10.3683
-cap "a_n35_n197#" "a_n291_n197#" 45.4667
-cap "a_n221_n100#" "a_n93_n100#" 151.957
-cap "a_419_n100#" "a_931_n100#" 28.021
-cap "a_n803_n197#" "a_861_n197#" 3.26349
-cap "a_163_n100#" "a_n605_n100#" 18.1562
-cap "a_349_n197#" "a_n291_n197#" 14.9997
-cap "a_n989_n100#" "a_n605_n100#" 38.4743
-cap "a_n547_n197#" "a_605_n197#" 7.92226
-cap "a_n291_n197#" "a_605_n197#" 10.3683
-cap "a_931_n100#" "a_n93_n100#" 13.4288
-cap "a_419_n100#" "a_n733_n100#" 11.882
-cap "a_n163_n197#" "a_861_n197#" 8.98173
-cap "a_419_n100#" "a_n93_n100#" 28.021
-cap "a_n547_n197#" "a_n419_n197#" 141.126
-cap "a_n291_n197#" "a_n419_n197#" 141.126
-cap "a_n349_n100#" "a_n477_n100#" 151.957
-cap "a_n733_n100#" "a_n93_n100#" 22.0348
-cap "a_733_n197#" "a_n547_n197#" 7.08637
-cap "w_n1127_n319#" "a_861_n197#" 219.194
-cap "a_163_n100#" "a_n477_n100#" 22.0348
-cap "a_733_n197#" "a_n291_n197#" 8.98173
-cap "a_n221_n100#" "a_n349_n100#" 151.957
-cap "a_n989_n100#" "a_n477_n100#" 28.021
-cap "a_n675_n197#" "a_861_n197#" 5.85155
-cap "a_675_n100#" "a_803_n100#" 151.957
-cap "a_803_n100#" "a_35_n100#" 18.1562
-cap "a_163_n100#" "a_n221_n100#" 38.4743
-cap "a_n989_n100#" "a_n221_n100#" 18.1562
-cap "w_n1127_n319#" "a_803_n100#" 48.6947
-cap "a_931_n100#" "a_n349_n100#" 10.6547
-cap "a_477_n197#" "a_861_n197#" 27.1097
-cap "a_n163_n197#" "a_n803_n197#" 14.9997
-cap "a_221_n197#" "a_861_n197#" 14.9997
-cap "a_419_n100#" "a_n349_n100#" 18.1562
-cap "a_93_n197#" "a_861_n197#" 12.2612
-cap "a_163_n100#" "a_931_n100#" 18.1562
+cap "a_547_n100#" "a_n349_n100#" 0.953916
+cap "a_n733_n100#" "a_547_n100#" 0.478951
+cap "a_n93_n100#" "a_n291_n197#" 0.59297
+cap "a_n547_n197#" "a_93_n197#" 0.585752
+cap "a_477_n197#" "a_n931_n197#" 0.155017
+cap "a_n931_n197#" "a_n221_n100#" 0.470815
+cap "a_n733_n100#" "a_n93_n100#" 1.19956
+cap "a_n547_n197#" "a_221_n197#" 0.531337
+cap "a_n803_n197#" "a_675_n100#" 0.0868821
+cap "a_n93_n100#" "a_n349_n100#" 1.42315
+cap "a_477_n197#" "a_n419_n197#" 0.468543
+cap "a_n675_n197#" "a_n477_n100#" 0.59297
+cap "a_163_n100#" "a_931_n100#" 1.0853
+cap "a_n419_n197#" "a_n221_n100#" 0.59297
+cap "a_477_n197#" "w_n1127_n319#" 90.6456
+cap "a_419_n100#" "a_35_n100#" 1.36983
+cap "w_n1127_n319#" "a_n221_n100#" 30.2178
+cap "a_861_n197#" "a_n605_n100#" 0.0868821
+cap "a_n803_n197#" "a_n291_n197#" 0.63093
+cap "a_733_n197#" "a_349_n197#" 0.666157
+cap "a_n733_n100#" "a_n803_n197#" 0.600992
+cap "a_803_n100#" "a_n605_n100#" 0.302014
+cap "a_n803_n197#" "a_n349_n100#" 0.549145
+cap "a_n547_n197#" "a_n221_n100#" 0.575596
+cap "a_477_n197#" "a_n547_n197#" 0.398359
+cap "a_163_n100#" "a_93_n197#" 0.600992
+cap "a_n861_n100#" "a_93_n197#" 0.362902
+cap "a_605_n197#" "a_547_n100#" 0.600992
+cap "a_163_n100#" "a_221_n197#" 0.600992
+cap "a_n861_n100#" "a_221_n197#" 0.29991
+cap "a_291_n100#" "a_35_n100#" 1.42315
+cap "a_605_n197#" "a_n93_n100#" 0.470815
+cap "a_547_n100#" "a_n989_n100#" 0.120315
+cap "a_419_n100#" "a_n605_n100#" 0.807493
+cap "a_n163_n197#" "a_931_n100#" 0.29991
+cap "a_n989_n100#" "a_n93_n100#" 0.953916
+cap "a_477_n197#" "a_163_n100#" 0.575596
+cap "a_349_n197#" "a_675_n100#" 0.575596
+cap "a_163_n100#" "a_n221_n100#" 1.36983
+cap "a_n861_n100#" "a_n221_n100#" 1.19956
+cap "a_477_n197#" "a_n861_n100#" 0.160802
+cap "a_n675_n197#" "a_35_n100#" 0.470815
+cap "a_n803_n197#" "a_605_n197#" 0.155017
+cap "a_861_n197#" "a_733_n197#" 109.281
+cap "a_547_n100#" "a_n35_n197#" 0.514034
+cap "a_349_n197#" "a_n291_n197#" 0.585752
+cap "a_n163_n197#" "a_93_n197#" 0.690878
+cap "a_n803_n197#" "a_n989_n100#" 0.59297
+cap "a_n93_n100#" "a_n35_n197#" 0.600992
+cap "a_291_n100#" "a_n605_n100#" 0.953916
+cap "a_733_n197#" "a_803_n100#" 0.600992
+cap "a_349_n197#" "a_n349_n100#" 0.470815
+cap "a_n733_n100#" "a_349_n197#" 0.29991
+cap "a_n163_n197#" "a_221_n197#" 0.666157
+cap "a_n931_n197#" "a_547_n100#" 0.0868821
+cap "a_n477_n100#" "a_35_n100#" 1.29491
+cap "a_n931_n197#" "a_n93_n100#" 0.420172
+cap "a_n419_n197#" "a_547_n100#" 0.362902
+cap "a_547_n100#" "w_n1127_n319#" 28.5016
+cap "a_n803_n197#" "a_n35_n197#" 0.531337
+cap "a_n419_n197#" "a_n93_n100#" 0.575596
+cap "a_n93_n100#" "w_n1127_n319#" 30.4371
+cap "a_477_n197#" "a_n163_n197#" 0.585752
+cap "a_n675_n197#" "a_n605_n100#" 0.600992
+cap "a_733_n197#" "a_419_n100#" 0.575596
+cap "a_n163_n197#" "a_n221_n100#" 0.600992
+cap "a_n803_n197#" "a_n931_n197#" 109.281
+cap "a_n547_n197#" "a_547_n100#" 0.29991
+cap "a_861_n197#" "a_675_n100#" 0.59297
+cap "a_n803_n197#" "a_n419_n197#" 0.666157
+cap "a_605_n197#" "a_349_n197#" 0.690878
+cap "a_n547_n197#" "a_n93_n100#" 0.549145
+cap "a_n803_n197#" "w_n1127_n319#" 89.7871
+cap "a_803_n100#" "a_675_n100#" 111.596
+cap "a_n477_n100#" "a_n605_n100#" 111.596
+cap "a_861_n197#" "a_n291_n197#" 0.321893
+cap "a_861_n197#" "a_n349_n100#" 0.232187
+cap "a_n733_n100#" "a_861_n197#" 0.0126288
+cap "a_n989_n100#" "a_349_n197#" 0.160802
+cap "a_733_n197#" "a_291_n100#" 0.549145
+cap "a_803_n100#" "a_n291_n197#" 0.29991
+cap "a_n803_n197#" "a_n547_n197#" 0.690878
+cap "a_803_n100#" "a_n349_n100#" 0.648334
+cap "a_n733_n100#" "a_803_n100#" 0.120315
+cap "a_163_n100#" "a_547_n100#" 1.36983
+cap "a_419_n100#" "a_675_n100#" 1.42315
+cap "a_547_n100#" "a_n861_n100#" 0.302014
+cap "a_163_n100#" "a_n93_n100#" 1.42315
+cap "a_349_n197#" "a_n35_n197#" 0.666157
+cap "a_n861_n100#" "a_n93_n100#" 1.0853
+cap "a_n675_n197#" "a_733_n197#" 0.155017
+cap "a_419_n100#" "a_n291_n197#" 0.470815
+cap "a_n733_n100#" "a_419_n100#" 0.648334
+cap "a_n931_n197#" "a_349_n197#" 0.24035
+cap "a_419_n100#" "a_n349_n100#" 1.0853
+cap "a_861_n197#" "a_605_n197#" 0.690878
+cap "a_n803_n197#" "a_163_n100#" 0.362902
+cap "a_n419_n197#" "a_349_n197#" 0.531337
+cap "a_n803_n197#" "a_n861_n100#" 0.600992
+cap "a_291_n100#" "a_675_n100#" 1.36983
+cap "a_n605_n100#" "a_35_n100#" 1.19956
+cap "a_733_n197#" "a_n477_n100#" 0.232187
+cap "a_605_n197#" "a_803_n100#" 0.59297
+cap "a_349_n197#" "w_n1127_n319#" 91.2927
+cap "a_n163_n197#" "a_547_n100#" 0.470815
+cap "a_291_n100#" "a_n291_n197#" 0.514034
+cap "a_n163_n197#" "a_n93_n100#" 0.600992
+cap "a_n547_n197#" "a_349_n197#" 0.468543
+cap "a_291_n100#" "a_n349_n100#" 1.19956
+cap "a_n733_n100#" "a_291_n100#" 0.807493
+cap "a_n675_n197#" "a_675_n100#" 0.160802
+cap "a_93_n197#" "a_931_n100#" 0.420172
+cap "a_861_n197#" "a_n35_n197#" 0.468543
+cap "a_605_n197#" "a_419_n100#" 0.59297
+cap "a_931_n100#" "a_221_n197#" 0.470815
+cap "a_n675_n197#" "a_n291_n197#" 0.666157
+cap "a_803_n100#" "a_n35_n197#" 0.420172
+cap "a_n803_n197#" "a_n163_n197#" 0.585752
+cap "a_n733_n100#" "a_n675_n197#" 0.600992
+cap "a_n477_n100#" "a_675_n100#" 0.648334
+cap "a_n989_n100#" "a_419_n100#" 0.302014
+cap "a_n675_n197#" "a_n349_n100#" 0.575596
+cap "a_163_n100#" "a_349_n197#" 0.59297
+cap "a_861_n197#" "a_n419_n197#" 0.24035
+cap "a_477_n197#" "a_931_n100#" 0.549145
+cap "a_n861_n100#" "a_349_n197#" 0.232187
+cap "a_93_n197#" "a_221_n197#" 109.281
+cap "a_n477_n100#" "a_n291_n197#" 0.59297
+cap "a_861_n197#" "w_n1127_n319#" 115.954
+cap "a_n221_n100#" "a_931_n100#" 0.648334
+cap "a_733_n197#" "a_35_n100#" 0.470815
+cap "a_605_n197#" "a_291_n100#" 0.575596
+cap "a_n419_n197#" "a_803_n100#" 0.232187
+cap "a_n477_n100#" "a_n349_n100#" 111.596
+cap "a_n733_n100#" "a_n477_n100#" 1.42315
+cap "a_803_n100#" "w_n1127_n319#" 27.4034
+cap "a_n35_n197#" "a_419_n100#" 0.549145
+cap "a_861_n197#" "a_n547_n197#" 0.155017
+cap "a_291_n100#" "a_n989_n100#" 0.478951
+cap "a_477_n197#" "a_93_n197#" 0.666157
+cap "a_n931_n197#" "a_419_n100#" 0.160802
+cap "a_93_n197#" "a_n221_n100#" 0.575596
+cap "a_n547_n197#" "a_803_n100#" 0.160802
+cap "a_477_n197#" "a_221_n197#" 0.690878
+cap "a_n675_n197#" "a_605_n197#" 0.24035
+cap "a_n221_n100#" "a_221_n197#" 0.549145
+cap "a_n419_n197#" "a_419_n100#" 0.420172
+cap "a_419_n100#" "w_n1127_n319#" 29.149
+cap "a_291_n100#" "a_n35_n197#" 0.575596
+cap "a_n675_n197#" "a_n989_n100#" 0.575596
+cap "a_733_n197#" "a_n605_n100#" 0.160802
+cap "a_n163_n197#" "a_349_n197#" 0.63093
+cap "a_675_n100#" "a_35_n100#" 1.19956
+cap "a_861_n197#" "a_163_n100#" 0.470815
+cap "a_605_n197#" "a_n477_n100#" 0.29991
+cap "a_477_n197#" "a_n221_n100#" 0.470815
+cap "a_n547_n197#" "a_419_n100#" 0.362902
+cap "a_n931_n197#" "a_291_n100#" 0.232187
+cap "a_n291_n197#" "a_35_n100#" 0.575596
+cap "a_163_n100#" "a_803_n100#" 1.19956
+cap "a_n477_n100#" "a_n989_n100#" 1.29491
+cap "a_n419_n197#" "a_291_n100#" 0.470815
+cap "a_n675_n197#" "a_n35_n197#" 0.585752
+cap "a_n733_n100#" "a_35_n100#" 1.0853
+cap "a_n349_n100#" "a_35_n100#" 1.36983
+cap "a_291_n100#" "w_n1127_n319#" 29.7848
+cap "a_n675_n197#" "a_n931_n197#" 0.690878
+cap "a_n477_n100#" "a_n35_n197#" 0.549145
+cap "a_n547_n197#" "a_291_n100#" 0.420172
+cap "a_n675_n197#" "a_n419_n197#" 0.690878
+cap "a_547_n100#" "a_931_n100#" 1.36983
+cap "a_163_n100#" "a_419_n100#" 1.42315
+cap "a_675_n100#" "a_n605_n100#" 0.478951
+cap "a_n861_n100#" "a_419_n100#" 0.478951
+cap "a_n675_n197#" "w_n1127_n319#" 90.1964
+cap "a_n93_n100#" "a_931_n100#" 0.807493
+cap "a_861_n197#" "a_n163_n197#" 0.398359
+cap "a_n291_n197#" "a_n605_n100#" 0.575596
+cap "a_n931_n197#" "a_n477_n100#" 0.549145
+cap "a_n349_n100#" "a_n605_n100#" 1.42315
+cap "a_n733_n100#" "a_n605_n100#" 111.596
+cap "a_n163_n197#" "a_803_n100#" 0.362902
+cap "a_n419_n197#" "a_n477_n100#" 0.600992
+cap "a_n675_n197#" "a_n547_n197#" 109.281
+cap "a_605_n197#" "a_35_n100#" 0.514034
+cap "a_547_n100#" "a_93_n197#" 0.549145
+cap "a_n477_n100#" "w_n1127_n319#" 29.149
+cap "a_163_n100#" "a_291_n100#" 111.596
+cap "a_547_n100#" "a_221_n197#" 0.575596
+cap "a_n93_n100#" "a_93_n197#" 0.59297
+cap "a_291_n100#" "a_n861_n100#" 0.648334
+cap "a_n989_n100#" "a_35_n100#" 0.807493
+cap "a_n93_n100#" "a_221_n197#" 0.575596
+cap "a_n547_n197#" "a_n477_n100#" 0.600992
+cap "a_n163_n197#" "a_419_n100#" 0.514034
+cap "a_n803_n197#" "a_93_n197#" 0.468543
+cap "a_n35_n197#" "a_35_n100#" 0.600992
+cap "a_n675_n197#" "a_163_n100#" 0.420172
+cap "a_547_n100#" "a_n221_n100#" 1.0853
+cap "a_733_n197#" "a_675_n100#" 0.600992
+cap "a_477_n197#" "a_547_n100#" 0.600992
+cap "a_n675_n197#" "a_n861_n100#" 0.59297
+cap "a_n803_n197#" "a_221_n197#" 0.398359
+cap "a_605_n197#" "a_n605_n100#" 0.232187
+cap "a_n93_n100#" "a_n221_n100#" 111.596
+cap "a_477_n197#" "a_n93_n100#" 0.514034
+cap "a_733_n197#" "a_n291_n197#" 0.398359
+cap "a_n931_n197#" "a_35_n100#" 0.362902
+cap "a_n477_n100#" "a_163_n100#" 1.19956
+cap "a_n989_n100#" "a_n605_n100#" 1.36983
+cap "a_n733_n100#" "a_733_n197#" 0.0868821
+cap "a_n477_n100#" "a_n861_n100#" 1.36983
+cap "a_733_n197#" "a_n349_n100#" 0.29991
+cap "a_n163_n197#" "a_291_n100#" 0.549145
+cap "a_n419_n197#" "a_35_n100#" 0.549145
+cap "w_n1127_n319#" "a_35_n100#" 30.4371
+cap "a_n803_n197#" "a_n221_n100#" 0.514034
+cap "a_477_n197#" "a_n803_n197#" 0.24035
+cap "a_349_n197#" "a_931_n100#" 0.514034
+cap "a_n35_n197#" "a_n605_n100#" 0.514034
+cap "a_n547_n197#" "a_35_n100#" 0.514034
+cap "a_n675_n197#" "a_n163_n197#" 0.63093
+cap "a_n931_n197#" "a_n605_n100#" 0.575596
+cap "a_349_n197#" "a_93_n197#" 0.690878
+cap "a_675_n100#" "a_n291_n197#" 0.362902
+cap "a_n733_n100#" "a_675_n100#" 0.302014
+cap "a_733_n197#" "a_605_n197#" 109.281
+cap "a_349_n197#" "a_221_n197#" 109.281
+cap "a_n419_n197#" "a_n605_n100#" 0.59297
+cap "a_675_n100#" "a_n349_n100#" 0.807493
+cap "w_n1127_n319#" "a_n605_n100#" 28.5016
+cap "a_n163_n197#" "a_n477_n100#" 0.575596
+cap "a_n733_n100#" "a_n291_n197#" 0.549145
+cap "a_163_n100#" "a_35_n100#" 111.596
+cap "a_n349_n100#" "a_n291_n197#" 0.600992
+cap "a_n861_n100#" "a_35_n100#" 0.953916
+cap "a_n547_n197#" "a_n605_n100#" 0.600992
+cap "a_n733_n100#" "a_n349_n100#" 1.36983
+cap "a_861_n197#" "a_931_n100#" 0.632634
+cap "a_477_n197#" "a_349_n197#" 109.281
+cap "a_349_n197#" "a_n221_n100#" 0.514034
+cap "a_803_n100#" "a_931_n100#" 111.596
+cap "a_547_n100#" "a_n93_n100#" 1.19956
+cap "a_733_n197#" "a_n35_n197#" 0.531337
+cap "a_605_n197#" "a_675_n100#" 0.600992
+cap "a_861_n197#" "a_93_n197#" 0.531337
+cap "a_733_n197#" "a_n931_n197#" 6.62611e-05
+cap "a_861_n197#" "a_221_n197#" 0.585752
+cap "a_n803_n197#" "a_547_n100#" 0.160802
+cap "a_163_n100#" "a_n605_n100#" 1.0853
+cap "a_803_n100#" "a_93_n197#" 0.470815
+cap "a_605_n197#" "a_n291_n197#" 0.468543
+cap "a_733_n197#" "a_n419_n197#" 0.321893
+cap "a_n861_n100#" "a_n605_n100#" 1.42315
+cap "a_n163_n197#" "a_35_n100#" 0.59297
+cap "a_n803_n197#" "a_n93_n100#" 0.470815
+cap "a_733_n197#" "w_n1127_n319#" 89.7871
+cap "a_419_n100#" "a_931_n100#" 1.29491
+cap "a_n733_n100#" "a_605_n197#" 0.160802
+cap "a_803_n100#" "a_221_n197#" 0.514034
+cap "a_605_n197#" "a_n349_n100#" 0.362902
+cap "a_n989_n100#" "a_n291_n197#" 0.470815
+cap "a_n733_n100#" "a_n989_n100#" 1.42315
+cap "a_477_n197#" "a_861_n197#" 0.666157
+cap "a_n989_n100#" "a_n349_n100#" 1.19956
+cap "a_861_n197#" "a_n221_n100#" 0.29991
+cap "a_n35_n197#" "a_675_n100#" 0.470815
+cap "a_733_n197#" "a_n547_n197#" 0.24035
+cap "a_93_n197#" "a_419_n100#" 0.575596
+cap "a_803_n100#" "a_n221_n100#" 0.807493
+cap "a_477_n197#" "a_803_n100#" 0.575596
+cap "a_419_n100#" "a_221_n197#" 0.59297
+cap "a_n35_n197#" "a_n291_n197#" 0.690878
+cap "a_291_n100#" "a_931_n100#" 1.19956
+cap "a_n931_n197#" "a_675_n100#" 0.0126288
+cap "a_n35_n197#" "a_n349_n100#" 0.575596
+cap "a_n733_n100#" "a_n35_n197#" 0.470815
+cap "a_n163_n197#" "a_n605_n100#" 0.549145
+cap "a_n419_n197#" "a_675_n100#" 0.29991
+cap "a_n931_n197#" "a_n291_n197#" 0.585752
+cap "w_n1127_n319#" "a_675_n100#" 27.9188
+cap "a_733_n197#" "a_163_n100#" 0.514034
+cap "a_547_n100#" "a_349_n197#" 0.59297
+cap "a_n419_n197#" "a_n291_n197#" 109.281
+cap "a_n931_n197#" "a_n349_n100#" 0.514034
+cap "a_n733_n100#" "a_n931_n197#" 0.59297
+cap "a_419_n100#" "a_n221_n100#" 1.19956
+cap "a_733_n197#" "a_n861_n100#" 0.0126288
+cap "a_477_n197#" "a_419_n100#" 0.600992
+cap "a_291_n100#" "a_93_n197#" 0.59297
+cap "a_n675_n197#" "a_931_n100#" 0.0126288
+cap "a_605_n197#" "a_n989_n100#" 0.0126288
+cap "a_n93_n100#" "a_349_n197#" 0.549145
+cap "a_n733_n100#" "a_n419_n197#" 0.575596
+cap "w_n1127_n319#" "a_n291_n197#" 91.7635
+cap "a_291_n100#" "a_221_n197#" 0.600992
+cap "a_n419_n197#" "a_n349_n100#" 0.600992
+cap "a_n547_n197#" "a_675_n100#" 0.232187
+cap "w_n1127_n319#" "a_n349_n100#" 29.7848
+cap "a_n733_n100#" "w_n1127_n319#" 27.9188
+cap "a_n547_n197#" "a_n291_n197#" 0.690878
+cap "a_n477_n100#" "a_931_n100#" 0.302014
+cap "a_605_n197#" "a_n35_n197#" 0.585752
+cap "a_n675_n197#" "a_93_n197#" 0.531337
+cap "a_n803_n197#" "a_349_n197#" 0.321893
+cap "a_n547_n197#" "a_n349_n100#" 0.59297
+cap "a_n733_n100#" "a_n547_n197#" 0.59297
+cap "a_n675_n197#" "a_221_n197#" 0.468543
+cap "a_477_n197#" "a_291_n100#" 0.59297
+cap "a_291_n100#" "a_n221_n100#" 1.29491
+cap "a_n989_n100#" "a_n35_n197#" 0.362902
+cap "a_605_n197#" "a_n931_n197#" 0.0672395
+cap "a_163_n100#" "a_675_n100#" 1.29491
+cap "a_733_n197#" "a_n163_n197#" 0.468543
+cap "a_n861_n100#" "a_675_n100#" 0.120315
+cap "a_n477_n100#" "a_93_n197#" 0.514034
+cap "a_861_n197#" "a_547_n100#" 0.575596
+cap "a_n419_n197#" "a_605_n197#" 0.398359
+cap "a_n931_n197#" "a_n989_n100#" 0.632634
+cap "a_n477_n100#" "a_221_n197#" 0.470815
+cap "a_163_n100#" "a_n291_n197#" 0.549145
+cap "a_477_n197#" "a_n675_n197#" 0.321893
+cap "a_605_n197#" "w_n1127_n319#" 90.1964
+cap "a_861_n197#" "a_n93_n100#" 0.362902
+cap "a_n861_n100#" "a_n291_n197#" 0.514034
+cap "a_n675_n197#" "a_n221_n100#" 0.549145
+cap "a_803_n100#" "a_547_n100#" 1.42315
+cap "a_n733_n100#" "a_163_n100#" 0.953916
+cap "a_n419_n197#" "a_n989_n100#" 0.514034
+cap "a_163_n100#" "a_n349_n100#" 1.29491
+cap "a_n733_n100#" "a_n861_n100#" 111.596
+cap "a_n861_n100#" "a_n349_n100#" 1.29491
+cap "a_n989_n100#" "w_n1127_n319#" 83.9538
+cap "a_803_n100#" "a_n93_n100#" 0.953916
+cap "a_605_n197#" "a_n547_n197#" 0.321893
+cap "a_n931_n197#" "a_n35_n197#" 0.468543
+cap "a_477_n197#" "a_n477_n100#" 0.362902
+cap "a_861_n197#" "a_n803_n197#" 6.62611e-05
+cap "a_931_n100#" "a_35_n100#" 0.953916
+cap "a_n477_n100#" "a_n221_n100#" 1.42315
+cap "a_n419_n197#" "a_n35_n197#" 0.666157
+cap "a_n547_n197#" "a_n989_n100#" 0.549145
+cap "a_n163_n197#" "a_675_n100#" 0.420172
+cap "a_n35_n197#" "w_n1127_n319#" 92.1451
+cap "a_547_n100#" "a_419_n100#" 111.596
+cap "a_n803_n197#" "a_803_n100#" 0.0126288
+cap "a_n419_n197#" "a_n931_n197#" 0.63093
+cap "a_n93_n100#" "a_419_n100#" 1.29491
+cap "a_n163_n197#" "a_n291_n197#" 109.281
+cap "a_93_n197#" "a_35_n100#" 0.600992
+cap "a_n547_n197#" "a_n35_n197#" 0.63093
+cap "a_n931_n197#" "w_n1127_n319#" 115.954
+cap "a_605_n197#" "a_163_n100#" 0.549145
+cap "a_605_n197#" "a_n861_n100#" 0.0868821
+cap "a_221_n197#" "a_35_n100#" 0.59297
+cap "a_n163_n197#" "a_n349_n100#" 0.59297
+cap "a_n733_n100#" "a_n163_n197#" 0.514034
+cap "a_n419_n197#" "w_n1127_n319#" 91.2927
+cap "a_163_n100#" "a_n989_n100#" 0.648334
+cap "a_n803_n197#" "a_419_n100#" 0.232187
+cap "a_n931_n197#" "a_n547_n197#" 0.666157
+cap "a_291_n100#" "a_547_n100#" 1.42315
+cap "a_931_n100#" "a_n605_n100#" 0.120315
+cap "a_n989_n100#" "a_n861_n100#" 111.596
+cap "a_291_n100#" "a_n93_n100#" 1.36983
+cap "a_n419_n197#" "a_n547_n197#" 109.281
+cap "a_n547_n197#" "w_n1127_n319#" 90.6456
+cap "a_n221_n100#" "a_35_n100#" 1.42315
+cap "a_477_n197#" "a_35_n100#" 0.549145
+cap "a_861_n197#" "a_349_n197#" 0.63093
+cap "a_163_n100#" "a_n35_n197#" 0.59297
+cap "a_n861_n100#" "a_n35_n197#" 0.420172
+cap "a_93_n197#" "a_n605_n100#" 0.470815
+cap "a_n675_n197#" "a_547_n100#" 0.232187
+cap "a_n803_n197#" "a_291_n100#" 0.29991
+cap "a_803_n100#" "a_349_n197#" 0.549145
+cap "a_n605_n100#" "a_221_n197#" 0.420172
+cap "a_605_n197#" "a_n163_n197#" 0.531337
+cap "a_n675_n197#" "a_n93_n100#" 0.514034
+cap "a_n931_n197#" "a_163_n100#" 0.29991
+cap "a_n931_n197#" "a_n861_n100#" 0.600992
+cap "a_n163_n197#" "a_n989_n100#" 0.420172
+cap "a_n419_n197#" "a_163_n100#" 0.514034
+cap "a_n477_n100#" "a_547_n100#" 0.807493
+cap "a_n419_n197#" "a_n861_n100#" 0.549145
+cap "a_163_n100#" "w_n1127_n319#" 30.2178
+cap "a_n861_n100#" "w_n1127_n319#" 27.4034
+cap "a_n675_n197#" "a_n803_n197#" 109.281
+cap "a_n477_n100#" "a_n93_n100#" 1.36983
+cap "a_n221_n100#" "a_n605_n100#" 1.36983
+cap "a_733_n197#" "a_931_n100#" 0.59297
+cap "a_477_n197#" "a_n605_n100#" 0.29991
+cap "a_349_n197#" "a_419_n100#" 0.600992
+cap "a_n163_n197#" "a_n35_n197#" 109.281
+cap "a_n547_n197#" "a_163_n100#" 0.470815
+cap "a_n547_n197#" "a_n861_n100#" 0.575596
+cap "a_n803_n197#" "a_n477_n100#" 0.575596
+cap "a_733_n197#" "a_93_n197#" 0.585752
+cap "a_n163_n197#" "a_n931_n197#" 0.531337
+cap "a_861_n197#" "a_803_n100#" 0.600992
+cap "a_733_n197#" "a_221_n197#" 0.63093
+cap "a_n419_n197#" "a_n163_n197#" 0.690878
+cap "a_291_n100#" "a_349_n197#" 0.600992
+cap "a_n163_n197#" "w_n1127_n319#" 92.0493
+cap "a_547_n100#" "a_35_n100#" 1.29491
+cap "a_163_n100#" "a_n861_n100#" 0.807493
+cap "a_675_n100#" "a_931_n100#" 1.42315
+cap "a_861_n197#" "a_419_n100#" 0.549145
+cap "a_n163_n197#" "a_n547_n197#" 0.666157
+cap "a_n675_n197#" "a_349_n197#" 0.398359
+cap "a_n93_n100#" "a_35_n100#" 111.596
+cap "a_733_n197#" "a_n221_n100#" 0.362902
+cap "a_477_n197#" "a_733_n197#" 0.690878
+cap "a_931_n100#" "a_n291_n197#" 0.232187
+cap "a_803_n100#" "a_419_n100#" 1.36983
+cap "a_n349_n100#" "a_931_n100#" 0.478951
+cap "a_93_n197#" "a_675_n100#" 0.514034
+cap "a_675_n100#" "a_221_n197#" 0.549145
+cap "a_n803_n197#" "a_35_n100#" 0.420172
+cap "a_n477_n100#" "a_349_n197#" 0.420172
+cap "a_93_n197#" "a_n291_n197#" 0.666157
+cap "a_547_n100#" "a_n605_n100#" 0.648334
+cap "a_861_n197#" "a_291_n100#" 0.514034
+cap "a_n163_n197#" "a_163_n100#" 0.575596
+cap "a_n291_n197#" "a_221_n197#" 0.63093
+cap "a_93_n197#" "a_n349_n100#" 0.549145
+cap "a_n733_n100#" "a_93_n197#" 0.420172
+cap "a_n163_n197#" "a_n861_n100#" 0.470815
+cap "a_803_n100#" "a_291_n100#" 1.29491
+cap "a_n93_n100#" "a_n605_n100#" 1.29491
+cap "a_n349_n100#" "a_221_n197#" 0.514034
+cap "a_n733_n100#" "a_221_n197#" 0.362902
+cap "a_477_n197#" "a_675_n100#" 0.59297
+cap "a_n221_n100#" "a_675_n100#" 0.953916
+cap "a_605_n197#" "a_931_n100#" 0.575596
+cap "a_n675_n197#" "a_861_n197#" 0.0672395
+cap "a_477_n197#" "a_n291_n197#" 0.531337
+cap "a_n221_n100#" "a_n291_n197#" 0.600992
+cap "a_n803_n197#" "a_n605_n100#" 0.59297
+cap "a_n733_n100#" "a_477_n197#" 0.232187
+cap "a_n675_n197#" "a_803_n100#" 0.0868821
+cap "a_291_n100#" "a_419_n100#" 111.596
+cap "a_n221_n100#" "a_n349_n100#" 111.596
+cap "a_n733_n100#" "a_n221_n100#" 1.29491
+cap "a_477_n197#" "a_n349_n100#" 0.420172
+cap "a_861_n197#" "a_n477_n100#" 0.160802
+cap "a_605_n197#" "a_93_n197#" 0.63093
+cap "a_349_n197#" "a_35_n100#" 0.575596
+cap "a_605_n197#" "a_221_n197#" 0.666157
+cap "a_n477_n100#" "a_803_n100#" 0.478951
+cap "a_n989_n100#" "a_93_n197#" 0.29991
+cap "a_n35_n197#" "a_931_n100#" 0.362902
+cap "a_733_n197#" "a_547_n100#" 0.59297
+cap "a_n675_n197#" "a_419_n100#" 0.29991
+cap "a_n989_n100#" "a_221_n197#" 0.232187
+cap "a_733_n197#" "a_n93_n100#" 0.420172
+cap "a_605_n197#" "a_n221_n100#" 0.420172
+cap "a_477_n197#" "a_605_n197#" 109.281
+cap "a_93_n197#" "a_n35_n197#" 109.281
+cap "a_n477_n100#" "a_419_n100#" 0.953916
+cap "a_n419_n197#" "a_931_n100#" 0.160802
+cap "w_n1127_n319#" "a_931_n100#" 61.2872
+cap "a_n35_n197#" "a_221_n197#" 0.690878
+cap "a_733_n197#" "a_n803_n197#" 0.0672395
+cap "a_n989_n100#" "a_n221_n100#" 1.0853
+cap "a_477_n197#" "a_n989_n100#" 0.0868821
+cap "a_349_n197#" "a_n605_n100#" 0.362902
+cap "a_n675_n197#" "a_291_n100#" 0.362902
+cap "a_n931_n197#" "a_93_n197#" 0.398359
+cap "a_861_n197#" "a_35_n100#" 0.420172
+cap "a_n419_n197#" "a_93_n197#" 0.63093
+cap "a_n547_n197#" "a_931_n100#" 0.0868821
+cap "a_n931_n197#" "a_221_n197#" 0.321893
+cap "a_547_n100#" "a_675_n100#" 111.596
+cap "a_n419_n197#" "a_221_n197#" 0.585752
+cap "a_93_n197#" "w_n1127_n319#" 92.0493
+cap "a_803_n100#" "a_35_n100#" 1.0853
+cap "a_n93_n100#" "a_675_n100#" 1.0853
+cap "a_n35_n197#" "a_n221_n100#" 0.59297
+cap "a_477_n197#" "a_n35_n197#" 0.63093
+cap "a_n477_n100#" "a_291_n100#" 1.0853
+cap "a_547_n100#" "a_n291_n197#" 0.420172
+cap "w_n1127_n319#" "a_221_n197#" 91.7635
 device msubckt sky130_fd_pr__pfet_01v8_lvt 861 -100 862 -99 l=70 w=200 "w_n1127_n319#" "a_861_n197#" 140 0 "a_803_n100#" 200 0 "a_931_n100#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt 733 -100 734 -99 l=70 w=200 "w_n1127_n319#" "a_733_n197#" 140 0 "a_675_n100#" 200 0 "a_803_n100#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt 605 -100 606 -99 l=70 w=200 "w_n1127_n319#" "a_605_n197#" 140 0 "a_547_n100#" 200 0 "a_675_n100#" 200 0
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_D3Z634.ext b/mag/sky130_fd_pr__pfet_01v8_lvt_D3Z634.ext
index 8ba4bbc..91fbdf3 100644
--- a/mag/sky130_fd_pr__pfet_01v8_lvt_D3Z634.ext
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_D3Z634.ext
@@ -5,723 +5,1347 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__pfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_1891_n100#" 758 0 1891 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1763_n100#" 758 0 1763 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1635_n100#" 758 0 1635 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1507_n100#" 758 0 1507 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1379_n100#" 758 0 1379 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1251_n100#" 758 0 1251 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1123_n100#" 758 0 1123 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_995_n100#" 758 0 995 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_867_n100#" 758 0 867 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_739_n100#" 758 0 739 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_611_n100#" 758 0 611 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_483_n100#" 758 0 483 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_355_n100#" 758 0 355 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_227_n100#" 758 0 227 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_99_n100#" 758 0 99 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n29_n100#" 758 0 -29 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n157_n100#" 758 0 -157 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n285_n100#" 758 0 -285 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n413_n100#" 758 0 -413 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n541_n100#" 758 0 -541 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n669_n100#" 758 0 -669 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n797_n100#" 758 0 -797 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n925_n100#" 758 0 -925 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1053_n100#" 758 0 -1053 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1181_n100#" 758 0 -1181 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1309_n100#" 758 0 -1309 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1437_n100#" 758 0 -1437 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1565_n100#" 758 0 -1565 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1693_n100#" 758 0 -1693 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1821_n100#" 758 0 -1821 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n1949_n100#" 758 0 -1949 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_1821_n197#" 361 0 1821 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_1693_n197#" 361 0 1693 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_1565_n197#" 361 0 1565 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_1437_n197#" 361 0 1437 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_1309_n197#" 361 0 1309 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_1181_n197#" 361 0 1181 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_1053_n197#" 361 0 1053 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_925_n197#" 361 0 925 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_797_n197#" 361 0 797 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_669_n197#" 361 0 669 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_541_n197#" 361 0 541 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_413_n197#" 361 0 413 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_285_n197#" 361 0 285 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_157_n197#" 361 0 157 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_29_n197#" 361 0 29 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n99_n197#" 361 0 -99 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n227_n197#" 361 0 -227 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n355_n197#" 361 0 -355 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n483_n197#" 361 0 -483 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n611_n197#" 361 0 -611 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n739_n197#" 361 0 -739 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n867_n197#" 361 0 -867 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n995_n197#" 361 0 -995 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1123_n197#" 361 0 -1123 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1251_n197#" 361 0 -1251 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1379_n197#" 361 0 -1379 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1507_n197#" 361 0 -1507 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1635_n197#" 361 0 -1635 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1763_n197#" 361 0 -1763 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n1891_n197#" 361 0 -1891 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "w_n2087_n319#" 41883 7989.04 -2087 -319 nw 0 0 0 0 2663012 9624 0 0 312800 18400 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 312800 18400 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_1891_n100#" 758 48.9743 1891 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1763_n100#" 758 18.2444 1763 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1635_n100#" 758 16.7379 1635 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1507_n100#" 758 15.4359 1507 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1379_n100#" 758 14.351 1379 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1251_n100#" 758 13.4905 1251 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1123_n100#" 758 12.8564 1123 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_995_n100#" 758 12.4454 995 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_867_n100#" 758 12.2492 867 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_739_n100#" 758 12.2553 739 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_611_n100#" 758 12.4467 611 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_483_n100#" 758 12.803 483 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_355_n100#" 758 13.1382 355 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_227_n100#" 758 13.3729 227 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_99_n100#" 758 13.3729 99 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n29_n100#" 758 13.3729 -29 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n157_n100#" 758 13.3729 -157 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n285_n100#" 758 13.3729 -285 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n413_n100#" 758 13.1382 -413 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n541_n100#" 758 12.803 -541 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n669_n100#" 758 12.4467 -669 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n797_n100#" 758 12.2553 -797 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n925_n100#" 758 12.2492 -925 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1053_n100#" 758 12.4454 -1053 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1181_n100#" 758 12.8564 -1181 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1309_n100#" 758 13.4905 -1309 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1437_n100#" 758 14.351 -1437 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1565_n100#" 758 15.4359 -1565 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1693_n100#" 758 16.7379 -1693 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1821_n100#" 758 18.2444 -1821 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n1949_n100#" 758 48.9743 -1949 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_1821_n197#" 361 141.048 1821 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_1693_n197#" 361 85.7957 1693 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_1565_n197#" 361 85.0722 1565 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_1437_n197#" 361 84.4097 1437 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_1309_n197#" 361 83.8173 1309 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_1181_n197#" 361 83.3026 1181 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_1053_n197#" 361 82.872 1053 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_925_n197#" 361 82.53 925 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_797_n197#" 361 82.2797 797 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_669_n197#" 361 82.1226 669 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_541_n197#" 361 82.0586 541 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_413_n197#" 361 82.0858 413 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_285_n197#" 361 82.1169 285 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_157_n197#" 361 82.1214 157 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_29_n197#" 361 82.1214 29 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n99_n197#" 361 82.1214 -99 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n227_n197#" 361 82.1214 -227 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n355_n197#" 361 82.1169 -355 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n483_n197#" 361 82.0858 -483 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n611_n197#" 361 82.0586 -611 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n739_n197#" 361 82.1226 -739 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n867_n197#" 361 82.2797 -867 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n995_n197#" 361 82.53 -995 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1123_n197#" 361 82.872 -1123 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1251_n197#" 361 83.3026 -1251 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1379_n197#" 361 83.8173 -1379 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1507_n197#" 361 84.4097 -1507 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1635_n197#" 361 85.0722 -1635 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1763_n197#" 361 85.7957 -1763 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n1891_n197#" 361 141.048 -1891 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "w_n2087_n319#" 41883 10032.5 -2087 -319 nw 0 0 0 0 2663012 9624 0 0 312800 18400 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 312800 18400 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_227_n100#" "a_n413_n100#" 22.0348
-cap "a_1437_n197#" "a_n227_n197#" 3.26349
-cap "a_n29_n100#" "a_n1437_n100#" 9.65722
-cap "a_1693_n197#" "a_541_n197#" 7.92226
-cap "a_n483_n197#" "a_n1379_n197#" 10.3683
-cap "a_n1891_n197#" "a_n867_n197#" 8.98173
-cap "a_n1251_n197#" "a_n99_n197#" 7.92226
-cap "a_n541_n100#" "a_n1181_n100#" 22.0348
-cap "a_n1565_n100#" "a_n285_n100#" 10.6547
-cap "a_n1693_n100#" "a_n285_n100#" 9.65722
-cap "a_n1123_n197#" "a_n99_n197#" 8.98173
-cap "w_n2087_n319#" "a_29_n197#" 216.212
-cap "a_995_n100#" "a_1251_n100#" 61.3771
-cap "a_739_n100#" "a_483_n100#" 61.3771
-cap "a_413_n197#" "a_1053_n197#" 14.9997
-cap "a_n1763_n197#" "a_n1635_n197#" 141.126
-cap "a_n669_n100#" "a_n1565_n100#" 15.4387
-cap "a_n1693_n100#" "a_n669_n100#" 13.4288
-cap "a_n995_n197#" "a_669_n197#" 3.26349
-cap "a_29_n197#" "a_n1507_n197#" 5.85155
-cap "a_n1763_n197#" "a_n739_n197#" 8.98173
-cap "a_355_n100#" "a_1379_n100#" 13.4288
-cap "a_n157_n100#" "a_355_n100#" 28.021
-cap "a_n29_n100#" "a_n1181_n100#" 11.882
-cap "a_n1309_n100#" "a_n285_n100#" 13.4288
-cap "a_1565_n197#" "a_1437_n197#" 141.126
-cap "a_413_n197#" "a_1181_n197#" 12.2612
-cap "a_n1891_n197#" "a_n1251_n197#" 14.9997
-cap "a_1565_n197#" "a_1821_n197#" 45.4667
-cap "a_n669_n100#" "a_n1309_n100#" 22.0348
-cap "a_n1437_n100#" "a_n285_n100#" 11.882
-cap "a_n1123_n197#" "a_n1891_n197#" 12.2612
-cap "a_1437_n197#" "a_1821_n197#" 27.1097
-cap "a_157_n197#" "a_29_n197#" 141.126
-cap "a_n669_n100#" "a_n1437_n100#" 18.1562
-cap "a_995_n100#" "a_n541_n100#" 8.83052
-cap "a_n925_n100#" "a_227_n100#" 11.882
-cap "a_n611_n197#" "a_29_n197#" 14.9997
-cap "a_n355_n197#" "w_n2087_n319#" 216.212
-cap "a_483_n100#" "a_1763_n100#" 10.6547
-cap "a_739_n100#" "a_355_n100#" 38.4743
-cap "a_n1949_n100#" "a_n797_n100#" 11.882
-cap "a_n355_n197#" "a_n1507_n197#" 7.92226
-cap "a_1123_n100#" "a_1635_n100#" 28.021
-cap "a_n1949_n100#" "w_n2087_n319#" 94.7627
-cap "a_29_n197#" "a_925_n197#" 10.3683
-cap "a_n227_n197#" "a_n995_n197#" 12.2612
-cap "a_n29_n100#" "a_995_n100#" 13.4288
-cap "a_n285_n100#" "a_n1181_n100#" 15.4387
-cap "a_n1565_n100#" "a_n1053_n100#" 28.021
-cap "a_n1693_n100#" "a_n1053_n100#" 22.0348
-cap "a_285_n197#" "a_n867_n197#" 7.92226
-cap "w_n2087_n319#" "a_541_n197#" 213.786
-cap "a_n669_n100#" "a_n1181_n100#" 28.021
-cap "a_n1821_n100#" "a_n797_n100#" 13.4288
-cap "a_n355_n197#" "a_157_n197#" 19.3132
-cap "w_n2087_n319#" "a_n1821_n100#" 49.985
-cap "a_1309_n197#" "a_669_n197#" 14.9997
-cap "a_413_n197#" "a_285_n197#" 141.126
-cap "a_n355_n197#" "a_n611_n197#" 45.4667
-cap "a_n739_n197#" "a_669_n197#" 6.41003
-cap "a_n1379_n197#" "a_n1763_n197#" 27.1097
-cap "a_n1309_n100#" "a_n1053_n100#" 61.3771
-cap "a_29_n197#" "a_n99_n197#" 141.126
-cap "a_1123_n100#" "a_995_n100#" 151.957
-cap "a_1763_n100#" "a_355_n100#" 9.65722
-cap "a_n1437_n100#" "a_n1053_n100#" 38.4743
-cap "a_285_n197#" "a_n1251_n197#" 5.85155
-cap "a_157_n197#" "a_541_n197#" 27.1097
-cap "a_n355_n197#" "a_925_n197#" 7.08637
-cap "a_867_n100#" "a_1251_n100#" 38.4743
-cap "a_995_n100#" "a_n285_n100#" 10.6547
-cap "a_n925_n100#" "a_n413_n100#" 28.021
-cap "a_n611_n197#" "a_541_n197#" 7.92226
-cap "a_n1123_n197#" "a_285_n197#" 6.41003
-cap "a_29_n197#" "a_1053_n197#" 8.98173
-cap "a_483_n100#" "a_1891_n100#" 9.65722
-cap "a_99_n100#" "a_611_n100#" 28.021
-cap "a_n1053_n100#" "a_n1181_n100#" 151.957
-cap "a_925_n197#" "a_541_n197#" 27.1097
-cap "a_29_n197#" "a_1181_n197#" 7.92226
-cap "a_n355_n197#" "a_n99_n197#" 45.4667
-cap "a_n227_n197#" "a_n739_n197#" 19.3132
-cap "a_n227_n197#" "a_n1635_n197#" 6.41003
-cap "a_1309_n197#" "a_n227_n197#" 5.85155
-cap "a_867_n100#" "a_n541_n100#" 9.65722
-cap "a_n355_n197#" "a_n1891_n197#" 5.85155
-cap "a_1693_n197#" "w_n2087_n319#" 163.719
-cap "a_1507_n100#" "a_1251_n100#" 61.3771
-cap "a_611_n100#" "a_n797_n100#" 9.65722
-cap "a_n99_n197#" "a_541_n197#" 14.9997
-cap "a_n355_n197#" "a_1053_n197#" 6.41003
-cap "w_n2087_n319#" "a_611_n100#" 26.2299
-cap "a_n29_n100#" "a_867_n100#" 15.4387
-cap "a_n355_n197#" "a_1181_n197#" 5.85155
-cap "a_797_n197#" "a_n867_n197#" 3.26349
-cap "a_1891_n100#" "a_355_n100#" 8.83052
-cap "a_1565_n197#" "a_1309_n197#" 45.4667
-cap "a_227_n100#" "a_1251_n100#" 13.4288
-cap "a_797_n197#" "a_413_n197#" 27.1097
-cap "a_1309_n197#" "a_1437_n197#" 141.126
-cap "a_1693_n197#" "a_157_n197#" 5.85155
-cap "a_1309_n197#" "a_1821_n197#" 19.3132
-cap "a_1053_n197#" "a_541_n197#" 19.3132
-cap "a_1123_n100#" "a_867_n100#" 61.3771
-cap "a_99_n100#" "a_n797_n100#" 15.4387
-cap "a_285_n197#" "a_29_n197#" 45.4667
-cap "a_n483_n197#" "a_n867_n197#" 27.1097
-cap "a_99_n100#" "w_n2087_n319#" 21.985
-cap "a_1181_n197#" "a_541_n197#" 14.9997
-cap "a_n227_n197#" "a_n1379_n197#" 7.92226
-cap "a_867_n100#" "a_n285_n100#" 11.882
-cap "a_1693_n197#" "a_925_n197#" 12.2612
-cap "a_n483_n197#" "a_413_n197#" 10.3683
-cap "a_n29_n100#" "a_1507_n100#" 8.83052
-cap "a_227_n100#" "a_n541_n100#" 18.1562
-cap "a_483_n100#" "a_1635_n100#" 11.882
-cap "a_n669_n100#" "a_867_n100#" 8.83052
-cap "a_611_n100#" "a_1379_n100#" 18.1562
-cap "a_n157_n100#" "a_611_n100#" 18.1562
-cap "w_n2087_n319#" "a_n797_n100#" 26.7123
-cap "a_n483_n197#" "a_n1251_n197#" 12.2612
-cap "a_n29_n100#" "a_227_n100#" 61.3771
-cap "a_n355_n197#" "a_285_n197#" 14.9997
-cap "a_n483_n197#" "a_n1123_n197#" 14.9997
-cap "a_1507_n100#" "a_1123_n100#" 38.4743
-cap "w_n2087_n319#" "a_n1507_n197#" 219.945
-cap "a_n995_n197#" "a_n1635_n197#" 14.9997
-cap "a_739_n100#" "a_611_n100#" 151.957
-cap "a_483_n100#" "a_995_n100#" 28.021
-cap "a_1123_n100#" "a_227_n100#" 15.4387
-cap "a_99_n100#" "a_1379_n100#" 10.6547
-cap "a_99_n100#" "a_n157_n100#" 61.3771
-cap "a_355_n100#" "a_n1181_n100#" 8.83052
-cap "a_285_n197#" "a_541_n197#" 45.4667
-cap "a_n739_n197#" "a_n995_n197#" 45.4667
-cap "a_157_n197#" "w_n2087_n319#" 216.212
-cap "a_1635_n100#" "a_355_n100#" 10.6547
-cap "a_1693_n197#" "a_1053_n197#" 14.9997
-cap "a_157_n197#" "a_n1507_n197#" 3.26349
-cap "a_n611_n197#" "w_n2087_n319#" 217.566
-cap "a_227_n100#" "a_n285_n100#" 28.021
-cap "a_n541_n100#" "a_n413_n100#" 151.957
-cap "a_1693_n197#" "a_1181_n197#" 19.3132
-cap "a_n611_n197#" "a_n1507_n197#" 10.3683
-cap "a_227_n100#" "a_n669_n100#" 15.4387
-cap "a_n157_n100#" "a_n797_n100#" 22.0348
-cap "a_n1763_n197#" "a_n867_n197#" 10.3683
-cap "w_n2087_n319#" "a_925_n197#" 201.832
-cap "w_n2087_n319#" "a_1379_n100#" 31.0963
-cap "a_n157_n100#" "w_n2087_n319#" 21.985
-cap "a_797_n197#" "a_29_n197#" 12.2612
-cap "a_99_n100#" "a_739_n100#" 22.0348
-cap "a_n29_n100#" "a_n413_n100#" 38.4743
-cap "a_n1949_n100#" "a_n1565_n100#" 38.4743
-cap "a_n1693_n100#" "a_n1949_n100#" 61.3771
-cap "a_1763_n100#" "a_611_n100#" 11.882
-cap "a_n611_n197#" "a_157_n197#" 12.2612
-cap "a_995_n100#" "a_355_n100#" 22.0348
-cap "a_n1763_n197#" "a_n1251_n197#" 19.3132
-cap "w_n2087_n319#" "a_n99_n197#" 216.212
-cap "a_739_n100#" "a_n797_n100#" 8.83052
-cap "a_n1949_n100#" "a_n1309_n100#" 22.0348
-cap "a_157_n197#" "a_925_n197#" 12.2612
-cap "a_n483_n197#" "a_29_n197#" 19.3132
-cap "a_1123_n100#" "a_n413_n100#" 8.83052
-cap "a_n1565_n100#" "a_n1821_n100#" 61.3771
-cap "a_n1693_n100#" "a_n1821_n100#" 151.957
-cap "a_n1949_n100#" "a_n1437_n100#" 28.021
-cap "a_n99_n197#" "a_n1507_n197#" 6.41003
-cap "a_n739_n197#" "a_n1635_n197#" 10.3683
-cap "a_n1123_n197#" "a_n1763_n197#" 14.9997
-cap "a_739_n100#" "w_n2087_n319#" 26.6148
-cap "a_n355_n197#" "a_797_n197#" 7.92226
-cap "a_n611_n197#" "a_925_n197#" 5.85155
-cap "a_n1379_n197#" "a_n995_n197#" 27.1097
-cap "a_n925_n100#" "a_n541_n100#" 38.4743
-cap "a_n285_n100#" "a_n413_n100#" 151.957
-cap "a_1693_n197#" "a_285_n197#" 6.41003
-cap "w_n2087_n319#" "a_n1891_n197#" 282.803
-cap "a_227_n100#" "a_n1053_n100#" 10.6547
-cap "a_n1309_n100#" "a_n1821_n100#" 28.021
-cap "a_157_n197#" "a_n99_n197#" 45.4667
-cap "w_n2087_n319#" "a_1053_n197#" 196.227
-cap "a_n669_n100#" "a_n413_n100#" 61.3771
-cap "a_n1891_n197#" "a_n1507_n197#" 27.1097
-cap "a_n157_n100#" "a_1379_n100#" 8.83052
-cap "a_n1437_n100#" "a_n1821_n100#" 38.4743
-cap "a_797_n197#" "a_541_n197#" 45.4667
-cap "a_n611_n197#" "a_n99_n197#" 19.3132
-cap "a_669_n197#" "a_n867_n197#" 5.85155
-cap "w_n2087_n319#" "a_1181_n197#" 189.996
-cap "a_483_n100#" "a_867_n100#" 38.4743
-cap "a_n29_n100#" "a_n925_n100#" 15.4387
-cap "a_n1949_n100#" "a_n1181_n100#" 18.1562
-cap "a_n355_n197#" "a_n483_n197#" 141.126
-cap "a_413_n197#" "a_669_n197#" 45.4667
-cap "a_1763_n100#" "w_n2087_n319#" 48.6947
-cap "a_611_n100#" "a_1891_n100#" 10.6547
-cap "a_157_n197#" "a_1053_n197#" 10.3683
-cap "a_925_n197#" "a_n99_n197#" 8.98173
-cap "a_n611_n197#" "a_n1891_n197#" 7.08637
-cap "a_739_n100#" "a_1379_n100#" 22.0348
-cap "a_n1821_n100#" "a_n1181_n100#" 22.0348
-cap "a_157_n197#" "a_1181_n197#" 8.98173
-cap "a_n483_n197#" "a_541_n197#" 8.98173
-cap "a_n611_n197#" "a_1053_n197#" 3.26349
-cap "a_n157_n100#" "a_739_n100#" 15.4387
-cap "a_n1379_n197#" "a_n739_n197#" 14.9997
-cap "a_n1379_n197#" "a_n1635_n197#" 45.4667
-cap "a_867_n100#" "a_355_n100#" 28.021
-cap "a_n925_n100#" "a_n285_n100#" 22.0348
-cap "a_925_n197#" "a_1053_n197#" 141.126
-cap "a_n227_n197#" "a_n867_n197#" 14.9997
-cap "a_1507_n100#" "a_483_n100#" 13.4288
-cap "a_n413_n100#" "a_n1053_n100#" 22.0348
-cap "a_n925_n100#" "a_n669_n100#" 61.3771
-cap "a_1181_n197#" "a_925_n197#" 45.4667
-cap "a_n227_n197#" "a_413_n197#" 14.9997
-cap "w_n2087_n319#" "a_285_n197#" 215.813
-cap "a_483_n100#" "a_227_n100#" 61.3771
-cap "a_n99_n197#" "a_1053_n197#" 7.92226
-cap "a_1763_n100#" "a_1379_n100#" 38.4743
-cap "a_1693_n197#" "a_797_n197#" 10.3683
-cap "a_n227_n197#" "a_n1251_n197#" 8.98173
-cap "a_1181_n197#" "a_n99_n197#" 7.08637
-cap "a_n227_n197#" "a_n1123_n197#" 10.3683
-cap "w_n2087_n319#" "a_1891_n100#" 93.2923
-cap "a_1507_n100#" "a_355_n100#" 11.882
-cap "a_157_n197#" "a_285_n197#" 141.126
-cap "a_n355_n197#" "a_n1763_n197#" 6.41003
-cap "a_1565_n197#" "a_413_n197#" 7.92226
-cap "a_99_n100#" "a_n1309_n100#" 9.65722
-cap "a_n611_n197#" "a_285_n197#" 10.3683
-cap "a_739_n100#" "a_1763_n100#" 13.4288
-cap "a_n1565_n100#" "a_n797_n100#" 18.1562
-cap "a_n1693_n100#" "a_n797_n100#" 15.4387
-cap "a_1437_n197#" "a_413_n197#" 8.98173
-cap "a_611_n100#" "a_1635_n100#" 13.4288
-cap "a_n925_n100#" "a_n1053_n100#" 151.957
-cap "a_99_n100#" "a_n1437_n100#" 8.83052
-cap "a_n1565_n100#" "w_n2087_n319#" 34.5367
-cap "a_n1693_n100#" "w_n2087_n319#" 39.3183
-cap "a_1181_n197#" "a_1053_n197#" 141.126
-cap "a_413_n197#" "a_1821_n197#" 6.41003
-cap "a_227_n100#" "a_355_n100#" 151.957
-cap "a_29_n197#" "a_669_n197#" 14.9997
-cap "a_285_n197#" "a_925_n197#" 14.9997
-cap "a_n29_n100#" "a_1251_n100#" 10.6547
-cap "a_n1309_n100#" "a_n797_n100#" 28.021
-cap "a_483_n100#" "a_n413_n100#" 15.4387
-cap "a_n1437_n100#" "a_n797_n100#" 22.0348
-cap "a_n1309_n100#" "w_n2087_n319#" 30.0739
-cap "a_99_n100#" "a_n1181_n100#" 10.6547
-cap "w_n2087_n319#" "a_n1437_n100#" 31.8228
-cap "a_1891_n100#" "a_1379_n100#" 28.021
-cap "a_99_n100#" "a_1635_n100#" 8.83052
-cap "a_285_n197#" "a_n99_n197#" 27.1097
-cap "a_995_n100#" "a_611_n100#" 38.4743
-cap "a_1123_n100#" "a_1251_n100#" 151.957
-cap "a_n355_n197#" "a_669_n197#" 8.98173
-cap "a_797_n197#" "w_n2087_n319#" 206.688
-cap "a_n29_n100#" "a_n541_n100#" 28.021
-cap "a_n797_n100#" "a_n1181_n100#" 38.4743
-cap "a_n227_n197#" "a_29_n197#" 45.4667
-cap "a_n285_n100#" "a_1251_n100#" 8.83052
-cap "a_n157_n100#" "a_n1565_n100#" 9.65722
-cap "a_n157_n100#" "a_n1693_n100#" 8.83052
-cap "w_n2087_n319#" "a_n1181_n100#" 28.8529
-cap "a_285_n197#" "a_1053_n197#" 12.2612
-cap "a_n995_n197#" "a_n867_n197#" 141.126
-cap "a_739_n100#" "a_1891_n100#" 11.882
-cap "a_355_n100#" "a_n413_n100#" 18.1562
-cap "w_n2087_n319#" "a_1635_n100#" 38.2252
-cap "a_669_n197#" "a_541_n197#" 141.126
-cap "a_285_n197#" "a_1181_n197#" 10.3683
-cap "a_413_n197#" "a_n995_n197#" 6.41003
-cap "a_n483_n197#" "w_n2087_n319#" 217.453
-cap "a_99_n100#" "a_995_n100#" 15.4387
-cap "a_483_n100#" "a_n925_n100#" 9.65722
-cap "a_797_n197#" "a_157_n197#" 14.9997
-cap "a_n157_n100#" "a_n1309_n100#" 11.882
-cap "a_n483_n197#" "a_n1507_n197#" 8.98173
-cap "a_n611_n197#" "a_797_n197#" 6.41003
-cap "a_n1251_n197#" "a_n995_n197#" 45.4667
-cap "a_n157_n100#" "a_n1437_n100#" 10.6547
-cap "a_1565_n197#" "a_29_n197#" 5.85155
-cap "a_n355_n197#" "a_n227_n197#" 141.126
-cap "a_n285_n100#" "a_n541_n100#" 61.3771
-cap "a_n1123_n197#" "a_n995_n197#" 141.126
-cap "a_n29_n100#" "a_1123_n100#" 11.882
-cap "a_797_n197#" "a_925_n197#" 141.126
-cap "a_1437_n197#" "a_29_n197#" 6.41003
-cap "a_n483_n197#" "a_157_n197#" 14.9997
-cap "a_n669_n100#" "a_n541_n100#" 151.957
-cap "w_n2087_n319#" "a_995_n100#" 27.6638
-cap "a_1763_n100#" "a_1891_n100#" 151.957
-cap "a_n611_n197#" "a_n483_n197#" 141.126
-cap "a_n29_n100#" "a_n285_n100#" 61.3771
-cap "a_n227_n197#" "a_541_n197#" 12.2612
-cap "a_n925_n100#" "a_355_n100#" 10.6547
-cap "a_n157_n100#" "a_n1181_n100#" 13.4288
-cap "a_n739_n197#" "a_n867_n197#" 141.126
-cap "a_n867_n197#" "a_n1635_n197#" 12.2612
-cap "a_1635_n100#" "a_1379_n100#" 61.3771
-cap "a_n29_n100#" "a_n669_n100#" 22.0348
-cap "a_797_n197#" "a_n99_n197#" 10.3683
-cap "a_n483_n197#" "a_925_n197#" 6.41003
-cap "a_867_n100#" "a_611_n100#" 61.3771
-cap "a_413_n197#" "a_n739_n197#" 7.92226
-cap "a_1123_n100#" "a_n285_n100#" 9.65722
-cap "a_1309_n197#" "a_413_n197#" 10.3683
-cap "a_1693_n197#" "a_669_n197#" 8.98173
-cap "a_n483_n197#" "a_n99_n197#" 27.1097
-cap "a_n1251_n197#" "a_n739_n197#" 19.3132
-cap "a_n1251_n197#" "a_n1635_n197#" 27.1097
-cap "a_739_n100#" "a_1635_n100#" 15.4387
-cap "a_n541_n100#" "a_n1053_n100#" 28.021
-cap "a_797_n197#" "a_1053_n197#" 45.4667
-cap "a_1565_n197#" "a_541_n197#" 8.98173
-cap "a_n1123_n197#" "a_n1635_n197#" 19.3132
-cap "a_n1949_n100#" "a_n413_n100#" 8.83052
-cap "a_n1123_n197#" "a_n739_n197#" 27.1097
-cap "a_n1763_n197#" "w_n2087_n319#" 223.708
-cap "a_995_n100#" "a_1379_n100#" 38.4743
-cap "a_n157_n100#" "a_995_n100#" 11.882
-cap "a_797_n197#" "a_1181_n197#" 27.1097
-cap "a_1437_n197#" "a_541_n197#" 10.3683
-cap "a_n1763_n197#" "a_n1507_n197#" 45.4667
-cap "a_99_n100#" "a_867_n100#" 18.1562
-cap "a_n669_n100#" "a_n285_n100#" 38.4743
-cap "a_1821_n197#" "a_541_n197#" 7.08637
-cap "a_n29_n100#" "a_n1053_n100#" 13.4288
-cap "a_29_n197#" "a_n995_n197#" 8.98173
-cap "a_n483_n197#" "a_n1891_n197#" 6.41003
-cap "a_1507_n100#" "a_611_n100#" 15.4387
-cap "a_n483_n197#" "a_1053_n197#" 5.85155
-cap "a_n1821_n100#" "a_n413_n100#" 9.65722
-cap "a_n1379_n197#" "a_n867_n197#" 19.3132
-cap "a_n483_n197#" "a_1181_n197#" 3.26349
-cap "a_739_n100#" "a_995_n100#" 61.3771
-cap "a_n611_n197#" "a_n1763_n197#" 7.92226
-cap "a_1763_n100#" "a_1635_n100#" 151.957
-cap "a_483_n100#" "a_1251_n100#" 18.1562
-cap "a_227_n100#" "a_611_n100#" 38.4743
-cap "a_867_n100#" "w_n2087_n319#" 27.0787
-cap "a_99_n100#" "a_1507_n100#" 9.65722
-cap "a_n925_n100#" "a_n1949_n100#" 13.4288
-cap "a_n355_n197#" "a_n995_n197#" 14.9997
-cap "a_n1379_n197#" "a_n1251_n197#" 141.126
-cap "a_n285_n100#" "a_n1053_n100#" 18.1562
-cap "a_797_n197#" "a_285_n197#" 19.3132
-cap "a_n1379_n197#" "a_n1123_n197#" 45.4667
-cap "w_n2087_n319#" "a_669_n197#" 210.699
-cap "a_n669_n100#" "a_n1053_n100#" 38.4743
-cap "a_n1693_n100#" "a_n1565_n100#" 151.957
-cap "a_1565_n197#" "a_1693_n197#" 141.126
-cap "a_99_n100#" "a_227_n100#" 151.957
-cap "a_483_n100#" "a_n541_n100#" 13.4288
-cap "a_1763_n100#" "a_995_n100#" 18.1562
-cap "a_n925_n100#" "a_n1821_n100#" 15.4387
-cap "a_1437_n197#" "a_1693_n197#" 45.4667
-cap "a_29_n197#" "a_n739_n197#" 12.2612
-cap "a_n995_n197#" "a_541_n197#" 5.85155
-cap "a_29_n197#" "a_n1635_n197#" 3.26349
-cap "a_n1763_n197#" "a_n99_n197#" 3.26349
-cap "a_355_n100#" "a_1251_n100#" 15.4387
-cap "a_n1309_n100#" "a_n1565_n100#" 61.3771
-cap "a_1507_n100#" "w_n2087_n319#" 33.6325
-cap "a_1693_n197#" "a_1821_n197#" 141.126
-cap "a_1309_n197#" "a_29_n197#" 7.08637
-cap "a_n1693_n100#" "a_n1309_n100#" 38.4743
-cap "a_n483_n197#" "a_285_n197#" 12.2612
-cap "a_867_n100#" "a_1379_n100#" 28.021
-cap "a_n29_n100#" "a_483_n100#" 28.021
-cap "a_n1565_n100#" "a_n1437_n100#" 151.957
-cap "a_157_n197#" "a_669_n197#" 19.3132
-cap "a_n157_n100#" "a_867_n100#" 13.4288
-cap "a_611_n100#" "a_n413_n100#" 13.4288
-cap "a_227_n100#" "a_n797_n100#" 13.4288
-cap "a_n1693_n100#" "a_n1437_n100#" 61.3771
-cap "a_n611_n197#" "a_669_n197#" 7.08637
-cap "a_n1763_n197#" "a_n1891_n197#" 141.126
-cap "a_227_n100#" "w_n2087_n319#" 21.985
-cap "a_1635_n100#" "a_1891_n100#" 61.3771
-cap "a_n227_n197#" "w_n2087_n319#" 216.212
-cap "a_n1309_n100#" "a_n1437_n100#" 151.957
-cap "a_n355_n197#" "a_n1635_n197#" 7.08637
-cap "a_n541_n100#" "a_355_n100#" 15.4387
-cap "a_925_n197#" "a_669_n197#" 45.4667
-cap "a_n355_n197#" "a_1309_n197#" 3.26349
-cap "a_n355_n197#" "a_n739_n197#" 27.1097
-cap "a_n227_n197#" "a_n1507_n197#" 7.08637
-cap "a_483_n100#" "a_1123_n100#" 22.0348
-cap "a_739_n100#" "a_867_n100#" 151.957
-cap "a_n1565_n100#" "a_n1181_n100#" 38.4743
-cap "a_99_n100#" "a_n413_n100#" 28.021
-cap "a_n1693_n100#" "a_n1181_n100#" 28.021
-cap "a_1507_n100#" "a_1379_n100#" 151.957
-cap "a_n29_n100#" "a_355_n100#" 38.4743
-cap "a_483_n100#" "a_n285_n100#" 18.1562
-cap "a_n227_n197#" "a_157_n197#" 27.1097
-cap "a_n99_n197#" "a_669_n197#" 12.2612
-cap "a_n739_n197#" "a_541_n197#" 7.08637
-cap "a_n1379_n197#" "a_29_n197#" 6.41003
-cap "a_1565_n197#" "w_n2087_n319#" 169.528
-cap "a_995_n100#" "a_1891_n100#" 15.4387
-cap "a_483_n100#" "a_n669_n100#" 11.882
-cap "a_n1309_n100#" "a_n1181_n100#" 151.957
-cap "a_1309_n197#" "a_541_n197#" 12.2612
-cap "a_n611_n197#" "a_n227_n197#" 27.1097
-cap "a_1437_n197#" "w_n2087_n319#" 176.349
-cap "a_n797_n100#" "a_n413_n100#" 38.4743
-cap "a_n925_n100#" "a_611_n100#" 8.83052
-cap "a_n1437_n100#" "a_n1181_n100#" 61.3771
-cap "w_n2087_n319#" "a_1821_n197#" 219.194
-cap "a_227_n100#" "a_1379_n100#" 11.882
-cap "a_n157_n100#" "a_227_n100#" 38.4743
-cap "w_n2087_n319#" "a_n413_n100#" 21.985
-cap "a_n227_n197#" "a_925_n197#" 7.92226
-cap "a_1763_n100#" "a_867_n100#" 15.4387
-cap "a_1123_n100#" "a_355_n100#" 18.1562
-cap "a_1053_n197#" "a_669_n197#" 27.1097
-cap "a_1507_n100#" "a_739_n100#" 18.1562
-cap "a_1565_n197#" "a_157_n197#" 6.41003
-cap "a_1181_n197#" "a_669_n197#" 19.3132
-cap "a_n285_n100#" "a_355_n100#" 22.0348
-cap "a_1437_n197#" "a_157_n197#" 7.08637
-cap "a_n483_n197#" "a_797_n197#" 7.08637
-cap "a_n355_n197#" "a_n1379_n197#" 8.98173
-cap "a_99_n100#" "a_n925_n100#" 13.4288
-cap "a_157_n197#" "a_1821_n197#" 3.26349
-cap "a_n227_n197#" "a_n99_n197#" 141.126
-cap "a_739_n100#" "a_227_n100#" 28.021
-cap "a_n669_n100#" "a_355_n100#" 13.4288
-cap "a_483_n100#" "a_n1053_n100#" 8.83052
-cap "a_1565_n197#" "a_925_n197#" 14.9997
-cap "a_1437_n197#" "a_925_n197#" 19.3132
-cap "a_1507_n100#" "a_1763_n100#" 61.3771
-cap "a_n925_n100#" "a_n797_n100#" 151.957
-cap "a_n1949_n100#" "a_n541_n100#" 9.65722
-cap "a_925_n197#" "a_1821_n197#" 10.3683
-cap "a_n227_n197#" "a_n1891_n197#" 3.26349
-cap "a_n925_n100#" "w_n2087_n319#" 27.2604
-cap "a_n227_n197#" "a_1053_n197#" 7.08637
-cap "a_n157_n100#" "a_n413_n100#" 61.3771
-cap "a_1309_n197#" "a_1693_n197#" 27.1097
-cap "a_1565_n197#" "a_n99_n197#" 3.26349
-cap "a_n227_n197#" "a_1181_n197#" 6.41003
-cap "a_1763_n100#" "a_227_n100#" 8.83052
-cap "a_413_n197#" "a_n867_n197#" 7.08637
-cap "w_n2087_n319#" "a_n995_n197#" 218.075
-cap "a_995_n100#" "a_1635_n100#" 22.0348
-cap "a_867_n100#" "a_1891_n100#" 13.4288
-cap "a_n1821_n100#" "a_n541_n100#" 10.6547
-cap "a_1437_n197#" "a_n99_n197#" 5.85155
-cap "a_n995_n197#" "a_n1507_n197#" 19.3132
-cap "a_355_n100#" "a_n1053_n100#" 9.65722
-cap "a_285_n197#" "a_669_n197#" 27.1097
-cap "a_n1251_n197#" "a_n867_n197#" 27.1097
-cap "a_739_n100#" "a_n413_n100#" 11.882
-cap "a_1565_n197#" "a_1053_n197#" 19.3132
-cap "a_n1123_n197#" "a_n867_n197#" 45.4667
-cap "a_413_n197#" "a_n1251_n197#" 3.26349
-cap "a_1565_n197#" "a_1181_n197#" 27.1097
-cap "a_1437_n197#" "a_1053_n197#" 27.1097
-cap "a_157_n197#" "a_n995_n197#" 7.92226
-cap "a_1821_n197#" "a_1053_n197#" 12.2612
-cap "a_n611_n197#" "a_n995_n197#" 27.1097
-cap "a_413_n197#" "a_n1123_n197#" 5.85155
-cap "a_1437_n197#" "a_1181_n197#" 45.4667
-cap "a_611_n100#" "a_1251_n100#" 22.0348
-cap "a_n157_n100#" "a_n925_n100#" 18.1562
-cap "a_1181_n197#" "a_1821_n197#" 14.9997
-cap "a_1507_n100#" "a_1891_n100#" 38.4743
-cap "a_n1949_n100#" "a_n669_n100#" 10.6547
-cap "a_n227_n197#" "a_285_n197#" 19.3132
-cap "a_n1123_n197#" "a_n1251_n197#" 141.126
-cap "w_n2087_n319#" "a_n739_n197#" 217.702
-cap "w_n2087_n319#" "a_n1635_n197#" 221.196
-cap "a_n483_n197#" "a_n1763_n197#" 7.08637
-cap "a_1309_n197#" "w_n2087_n319#" 183.296
-cap "a_n1821_n100#" "a_n285_n100#" 8.83052
-cap "a_n739_n197#" "a_n1507_n197#" 12.2612
-cap "a_n1507_n197#" "a_n1635_n197#" 141.126
-cap "a_99_n100#" "a_1251_n100#" 11.882
-cap "a_611_n100#" "a_n541_n100#" 11.882
-cap "a_n669_n100#" "a_n1821_n100#" 11.882
-cap "a_n995_n197#" "a_n99_n197#" 10.3683
-cap "a_483_n100#" "a_355_n100#" 151.957
-cap "a_157_n197#" "a_n739_n197#" 10.3683
-cap "a_867_n100#" "a_1635_n100#" 18.1562
-cap "a_1565_n197#" "a_285_n197#" 7.08637
-cap "a_1309_n197#" "a_157_n197#" 7.92226
-cap "a_n29_n100#" "a_611_n100#" 22.0348
-cap "a_797_n197#" "a_669_n197#" 141.126
-cap "a_1437_n197#" "a_285_n197#" 7.92226
-cap "a_n611_n197#" "a_n739_n197#" 141.126
-cap "a_n611_n197#" "a_n1635_n197#" 8.98173
-cap "a_n1949_n100#" "a_n1053_n100#" 15.4387
-cap "a_285_n197#" "a_1821_n197#" 5.85155
-cap "a_29_n197#" "a_n867_n197#" 10.3683
-cap "a_n1891_n197#" "a_n995_n197#" 10.3683
-cap "w_n2087_n319#" "a_1251_n100#" 29.5108
-cap "a_99_n100#" "a_n541_n100#" 22.0348
-cap "a_227_n100#" "a_n1309_n100#" 8.83052
-cap "a_413_n197#" "a_29_n197#" 27.1097
-cap "a_925_n197#" "a_n739_n197#" 3.26349
-cap "a_1309_n197#" "a_925_n197#" 27.1097
-cap "a_n1379_n197#" "w_n2087_n319#" 219.196
-cap "a_1123_n100#" "a_611_n100#" 28.021
-cap "a_n1821_n100#" "a_n1053_n100#" 18.1562
-cap "a_n483_n197#" "a_669_n197#" 7.92226
-cap "a_867_n100#" "a_995_n100#" 151.957
-cap "a_99_n100#" "a_n29_n100#" 151.957
-cap "a_29_n197#" "a_n1251_n197#" 7.08637
-cap "a_n1379_n197#" "a_n1507_n197#" 141.126
-cap "a_1507_n100#" "a_1635_n100#" 151.957
-cap "a_n797_n100#" "a_n541_n100#" 61.3771
-cap "a_n1123_n197#" "a_29_n197#" 7.92226
-cap "a_n99_n197#" "a_n1635_n197#" 5.85155
-cap "a_611_n100#" "a_n285_n100#" 15.4387
-cap "w_n2087_n319#" "a_n541_n100#" 25.899
-cap "a_1309_n197#" "a_n99_n197#" 6.41003
-cap "a_n739_n197#" "a_n99_n197#" 14.9997
-cap "a_n355_n197#" "a_n867_n197#" 19.3132
-cap "a_n227_n197#" "a_797_n197#" 8.98173
-cap "a_n669_n100#" "a_611_n100#" 10.6547
-cap "a_n355_n197#" "a_413_n197#" 12.2612
-cap "a_n1379_n197#" "a_157_n197#" 5.85155
-cap "a_n29_n100#" "a_n797_n100#" 18.1562
-cap "a_227_n100#" "a_n1181_n100#" 9.65722
-cap "a_n1565_n100#" "a_n413_n100#" 11.882
-cap "a_n1693_n100#" "a_n413_n100#" 10.6547
-cap "a_227_n100#" "a_1635_n100#" 9.65722
-cap "a_99_n100#" "a_1123_n100#" 13.4288
-cap "a_n29_n100#" "w_n2087_n319#" 21.985
-cap "a_n611_n197#" "a_n1379_n197#" 12.2612
-cap "a_n1891_n197#" "a_n739_n197#" 7.92226
-cap "a_n1891_n197#" "a_n1635_n197#" 45.4667
-cap "a_1379_n100#" "a_1251_n100#" 151.957
-cap "a_n157_n100#" "a_1251_n100#" 9.65722
-cap "a_541_n197#" "a_n867_n197#" 6.41003
-cap "a_n355_n197#" "a_n1251_n197#" 10.3683
-cap "a_n1309_n100#" "a_n413_n100#" 15.4387
-cap "a_1309_n197#" "a_1053_n197#" 45.4667
-cap "a_n227_n197#" "a_n483_n197#" 45.4667
-cap "a_1507_n100#" "a_995_n100#" 28.021
-cap "a_99_n100#" "a_n285_n100#" 38.4743
-cap "a_413_n197#" "a_541_n197#" 141.126
-cap "a_285_n197#" "a_n995_n197#" 7.08637
-cap "a_n355_n197#" "a_n1123_n197#" 12.2612
-cap "a_n1437_n100#" "a_n413_n100#" 13.4288
-cap "a_1309_n197#" "a_1181_n197#" 141.126
-cap "a_1565_n197#" "a_797_n197#" 12.2612
-cap "a_99_n100#" "a_n669_n100#" 18.1562
-cap "a_1123_n100#" "w_n2087_n319#" 28.4367
-cap "a_1437_n197#" "a_797_n197#" 14.9997
-cap "a_227_n100#" "a_995_n100#" 18.1562
-cap "a_797_n197#" "a_1821_n197#" 8.98173
-cap "a_739_n100#" "a_1251_n100#" 28.021
-cap "a_n157_n100#" "a_n541_n100#" 38.4743
-cap "a_n797_n100#" "a_n285_n100#" 28.021
-cap "a_n1123_n197#" "a_541_n197#" 3.26349
-cap "w_n2087_n319#" "a_n285_n100#" 21.985
-cap "a_n1379_n197#" "a_n99_n197#" 7.08637
-cap "a_n669_n100#" "a_n797_n100#" 151.957
-cap "a_n925_n100#" "a_n1565_n100#" 22.0348
-cap "a_n413_n100#" "a_n1181_n100#" 18.1562
-cap "a_n925_n100#" "a_n1693_n100#" 18.1562
-cap "a_n669_n100#" "w_n2087_n319#" 26.2674
-cap "a_n29_n100#" "a_1379_n100#" 9.65722
-cap "a_n157_n100#" "a_n29_n100#" 151.957
-cap "a_n1379_n197#" "a_n1891_n197#" 19.3132
-cap "a_n925_n100#" "a_n1309_n100#" 38.4743
-cap "a_739_n100#" "a_n541_n100#" 10.6547
-cap "a_99_n100#" "a_n1053_n100#" 11.882
-cap "a_285_n197#" "a_n739_n197#" 8.98173
-cap "a_1763_n100#" "a_1251_n100#" 28.021
-cap "a_n925_n100#" "a_n1437_n100#" 28.021
-cap "a_1309_n197#" "a_285_n197#" 8.98173
-cap "a_1123_n100#" "a_1379_n100#" 61.3771
-cap "a_n157_n100#" "a_1123_n100#" 10.6547
-cap "a_1693_n197#" "a_413_n197#" 7.08637
-cap "a_n29_n100#" "a_739_n100#" 18.1562
-cap "a_995_n100#" "a_n413_n100#" 9.65722
-cap "a_n355_n197#" "a_29_n197#" 27.1097
-cap "a_n227_n197#" "a_n1763_n197#" 5.85155
-cap "a_n797_n100#" "a_n1053_n100#" 61.3771
-cap "a_n157_n100#" "a_n285_n100#" 151.957
-cap "w_n2087_n319#" "a_n1053_n100#" 27.9522
-cap "a_1507_n100#" "a_867_n100#" 22.0348
-cap "a_n157_n100#" "a_n669_n100#" 28.021
-cap "a_n925_n100#" "a_n1181_n100#" 61.3771
-cap "a_739_n100#" "a_1123_n100#" 38.4743
-cap "a_483_n100#" "a_611_n100#" 151.957
-cap "a_29_n197#" "a_541_n197#" 19.3132
-cap "a_227_n100#" "a_867_n100#" 22.0348
-cap "a_739_n100#" "a_n285_n100#" 13.4288
-cap "a_n483_n197#" "a_n995_n197#" 19.3132
-cap "a_n1379_n197#" "a_285_n197#" 3.26349
-cap "a_739_n100#" "a_n669_n100#" 9.65722
-cap "a_1891_n100#" "a_1251_n100#" 22.0348
-cap "a_99_n100#" "a_483_n100#" 38.4743
-cap "w_n2087_n319#" "a_n867_n197#" 217.868
-cap "a_n227_n197#" "a_669_n197#" 10.3683
-cap "a_n355_n197#" "a_541_n197#" 10.3683
-cap "a_1763_n100#" "a_1123_n100#" 22.0348
-cap "a_611_n100#" "a_355_n100#" 61.3771
-cap "a_n157_n100#" "a_n1053_n100#" 15.4387
-cap "a_n867_n197#" "a_n1507_n197#" 14.9997
-cap "a_797_n197#" "a_n739_n197#" 5.85155
-cap "a_413_n197#" "w_n2087_n319#" 215.892
-cap "a_1507_n100#" "a_227_n100#" 10.6547
-cap "a_1309_n197#" "a_797_n197#" 19.3132
-cap "a_483_n100#" "a_n797_n100#" 10.6547
-cap "a_n1949_n100#" "a_n1821_n100#" 151.957
-cap "a_483_n100#" "w_n2087_n319#" 25.8967
-cap "a_157_n197#" "a_n867_n197#" 8.98173
-cap "w_n2087_n319#" "a_n1251_n197#" 218.698
-cap "a_867_n100#" "a_n413_n100#" 10.6547
-cap "a_1693_n197#" "a_29_n197#" 3.26349
-cap "a_n483_n197#" "a_n739_n197#" 45.4667
-cap "a_n611_n197#" "a_n867_n197#" 45.4667
-cap "a_n1251_n197#" "a_n1507_n197#" 45.4667
-cap "a_n483_n197#" "a_n1635_n197#" 7.92226
-cap "a_n1123_n197#" "w_n2087_n319#" 218.342
-cap "a_99_n100#" "a_355_n100#" 61.3771
-cap "a_1565_n197#" "a_669_n197#" 10.3683
-cap "a_413_n197#" "a_157_n197#" 45.4667
-cap "a_n1123_n197#" "a_n1507_n197#" 27.1097
-cap "a_n611_n197#" "a_413_n197#" 8.98173
-cap "a_n1565_n100#" "a_n541_n100#" 13.4288
-cap "a_n1693_n100#" "a_n541_n100#" 11.882
-cap "a_1437_n197#" "a_669_n197#" 12.2612
-cap "a_1821_n197#" "a_669_n197#" 7.92226
-cap "a_157_n197#" "a_n1251_n197#" 6.41003
-cap "a_n1763_n197#" "a_n995_n197#" 12.2612
-cap "a_n797_n100#" "a_355_n100#" 11.882
-cap "a_413_n197#" "a_925_n197#" 19.3132
-cap "a_n1123_n197#" "a_157_n197#" 7.08637
-cap "a_n611_n197#" "a_n1251_n197#" 14.9997
-cap "a_n1309_n100#" "a_n541_n100#" 18.1562
-cap "a_n29_n100#" "a_n1565_n100#" 8.83052
-cap "w_n2087_n319#" "a_355_n100#" 21.985
-cap "a_n611_n197#" "a_n1123_n197#" 19.3132
-cap "a_1123_n100#" "a_1891_n100#" 18.1562
-cap "a_1635_n100#" "a_1251_n100#" 38.4743
-cap "a_n1437_n100#" "a_n541_n100#" 15.4387
-cap "a_n99_n197#" "a_n867_n197#" 12.2612
-cap "a_483_n100#" "a_1379_n100#" 15.4387
-cap "a_n157_n100#" "a_483_n100#" 22.0348
-cap "a_n29_n100#" "a_n1309_n100#" 10.6547
-cap "a_413_n197#" "a_n99_n197#" 19.3132
+cap "a_541_n197#" "a_n413_n100#" 0.362902
+cap "a_n1693_n100#" "a_n1053_n100#" 1.19956
+cap "a_n995_n197#" "a_n1763_n197#" 0.531337
+cap "a_n995_n197#" "a_355_n100#" 0.160802
+cap "a_n355_n197#" "a_1053_n197#" 0.155017
+cap "a_n1763_n197#" "a_n611_n197#" 0.321893
+cap "a_355_n100#" "a_n611_n197#" 0.362902
+cap "a_n541_n100#" "a_n1309_n100#" 1.0853
+cap "a_n541_n100#" "a_n1949_n100#" 0.302014
+cap "a_n995_n197#" "a_n355_n197#" 0.585752
+cap "a_1693_n197#" "a_1635_n100#" 0.600992
+cap "a_n355_n197#" "a_n611_n197#" 0.690878
+cap "a_n541_n100#" "a_n1635_n197#" 0.29991
+cap "a_n99_n197#" "a_n157_n100#" 0.600992
+cap "a_285_n197#" "a_1437_n197#" 0.321893
+cap "a_669_n197#" "a_n669_n100#" 0.160802
+cap "a_797_n197#" "a_99_n100#" 0.470815
+cap "a_n1437_n100#" "a_n1821_n100#" 1.36983
+cap "a_n1437_n100#" "a_n227_n197#" 0.232187
+cap "a_995_n100#" "a_227_n100#" 1.0853
+cap "a_n1123_n197#" "a_n1379_n197#" 0.690878
+cap "a_285_n197#" "a_925_n197#" 0.585752
+cap "w_n2087_n319#" "a_739_n100#" 27.0076
+cap "a_n1693_n100#" "w_n2087_n319#" 27.9188
+cap "a_n1891_n197#" "a_n1251_n197#" 0.585752
+cap "a_285_n197#" "a_n285_n100#" 0.514034
+cap "a_669_n197#" "a_n867_n197#" 0.0672395
+cap "a_925_n197#" "a_n483_n197#" 0.155017
+cap "a_n157_n100#" "a_29_n197#" 0.59297
+cap "a_n285_n100#" "a_n483_n197#" 0.59297
+cap "a_611_n100#" "a_n669_n100#" 0.478951
+cap "a_483_n100#" "a_n797_n100#" 0.478951
+cap "a_1821_n197#" "a_867_n100#" 0.362902
+cap "a_1565_n197#" "a_739_n100#" 0.420172
+cap "a_1123_n100#" "a_1437_n197#" 0.575596
+cap "a_995_n100#" "a_1309_n197#" 0.575596
+cap "a_n541_n100#" "a_1053_n197#" 0.0126288
+cap "a_541_n197#" "a_157_n197#" 0.666157
+cap "a_413_n197#" "a_227_n100#" 0.59297
+cap "a_1181_n197#" "a_1635_n100#" 0.549145
+cap "a_1123_n100#" "a_925_n197#" 0.59297
+cap "a_n1507_n197#" "a_n669_n100#" 0.420172
+cap "a_n285_n100#" "a_1123_n100#" 0.302014
+cap "a_157_n197#" "a_1507_n100#" 0.160802
+cap "a_611_n100#" "a_n867_n197#" 0.0868821
+cap "a_413_n197#" "a_1309_n197#" 0.468543
+cap "a_n1507_n197#" "a_n867_n197#" 0.585752
+cap "a_n1053_n100#" "a_n1251_n197#" 0.59297
+cap "a_n995_n197#" "a_n541_n100#" 0.549145
+cap "a_n541_n100#" "a_n611_n197#" 0.600992
+cap "a_n227_n197#" "a_227_n100#" 0.549145
+cap "a_n413_n100#" "a_n1309_n100#" 0.953916
+cap "a_n1949_n100#" "a_n413_n100#" 0.120315
+cap "a_355_n100#" "a_995_n100#" 1.19956
+cap "a_483_n100#" "a_1251_n100#" 1.0853
+cap "a_n1123_n197#" "a_n99_n197#" 0.398359
+cap "a_n227_n197#" "a_1309_n197#" 0.0672395
+cap "a_n797_n100#" "a_n1565_n100#" 1.0853
+cap "a_1693_n197#" "a_1821_n197#" 109.281
+cap "a_1437_n197#" "a_99_n100#" 0.160802
+cap "a_n413_n100#" "a_n1635_n197#" 0.232187
+cap "a_285_n197#" "a_n157_n100#" 0.549145
+cap "a_355_n100#" "a_413_n197#" 0.600992
+cap "a_99_n100#" "a_925_n197#" 0.420172
+cap "a_n355_n197#" "a_995_n100#" 0.160802
+cap "a_n285_n100#" "a_99_n100#" 1.36983
+cap "a_n29_n100#" "a_n1379_n197#" 0.160802
+cap "a_n1123_n197#" "a_29_n197#" 0.321893
+cap "a_n157_n100#" "a_n483_n197#" 0.575596
+cap "a_n99_n197#" "a_867_n100#" 0.362902
+cap "w_n2087_n319#" "a_n1251_n197#" 90.8137
+cap "a_413_n197#" "a_n355_n197#" 0.531337
+cap "a_1891_n100#" "a_739_n100#" 0.648334
+cap "a_n157_n100#" "a_1123_n100#" 0.478951
+cap "a_n1891_n197#" "a_n669_n100#" 0.232187
+cap "a_541_n197#" "a_n925_n100#" 0.0868821
+cap "a_n413_n100#" "a_1053_n197#" 0.0868821
+cap "a_n1763_n197#" "a_n227_n197#" 0.0672395
+cap "a_1181_n197#" "a_1821_n197#" 0.585752
+cap "a_797_n197#" "a_227_n100#" 0.514034
+cap "a_n1763_n197#" "a_n1821_n100#" 0.600992
+cap "a_355_n100#" "a_n227_n197#" 0.514034
+cap "a_867_n100#" "a_29_n197#" 0.420172
+cap "a_n1891_n197#" "a_n867_n197#" 0.398359
+cap "a_n1693_n100#" "a_n739_n197#" 0.362902
+cap "a_157_n197#" "a_n1309_n100#" 0.0868821
+cap "a_n739_n197#" "a_739_n100#" 0.0868821
+cap "a_797_n197#" "a_1309_n197#" 0.63093
+cap "a_n995_n197#" "a_n413_n100#" 0.514034
+cap "a_n227_n197#" "a_n355_n197#" 109.281
+cap "a_n355_n197#" "a_n1821_n100#" 0.0868821
+cap "a_n413_n100#" "a_n611_n197#" 0.59297
+cap "a_n1053_n100#" "a_n669_n100#" 1.36983
+cap "a_n1437_n100#" "a_n285_n100#" 0.648334
+cap "a_n99_n197#" "a_n29_n100#" 0.600992
+cap "a_n541_n100#" "a_995_n100#" 0.120315
+cap "a_285_n197#" "a_n1123_n197#" 0.155017
+cap "a_541_n197#" "a_1379_n100#" 0.420172
+cap "a_n157_n100#" "a_99_n100#" 1.42315
+cap "a_n1949_n100#" "a_n1181_n100#" 1.0853
+cap "a_n1123_n197#" "a_n483_n197#" 0.585752
+cap "a_n1053_n100#" "a_n867_n197#" 0.59297
+cap "a_1379_n100#" "a_1507_n100#" 111.596
+cap "a_1251_n100#" "a_1635_n100#" 1.36983
+cap "a_n1181_n100#" "a_n1309_n100#" 111.596
+cap "a_n29_n100#" "a_29_n197#" 0.600992
+cap "a_413_n197#" "a_n541_n100#" 0.362902
+cap "a_1693_n197#" "a_29_n197#" 6.62611e-05
+cap "a_355_n100#" "a_797_n197#" 0.549145
+cap "a_669_n197#" "a_541_n197#" 109.281
+cap "a_n1181_n100#" "a_n1635_n197#" 0.549145
+cap "a_157_n197#" "a_1053_n197#" 0.468543
+cap "a_285_n197#" "a_867_n100#" 0.514034
+cap "a_669_n197#" "a_1507_n100#" 0.420172
+cap "a_1181_n197#" "a_n99_n197#" 0.24035
+cap "a_1437_n197#" "a_227_n100#" 0.232187
+cap "a_867_n100#" "a_n483_n197#" 0.160802
+cap "w_n2087_n319#" "a_n669_n100#" 26.4133
+cap "a_n355_n197#" "a_797_n197#" 0.321893
+cap "a_925_n197#" "a_227_n100#" 0.470815
+cap "a_541_n197#" "a_611_n100#" 0.600992
+cap "a_n285_n100#" "a_227_n100#" 1.29491
+cap "a_n541_n100#" "a_n1821_n100#" 0.478951
+cap "a_n541_n100#" "a_n227_n197#" 0.575596
+cap "a_n995_n197#" "a_157_n197#" 0.321893
+cap "a_1181_n197#" "a_29_n197#" 0.321893
+cap "a_1123_n100#" "a_867_n100#" 1.42315
+cap "a_611_n100#" "a_1507_n100#" 0.953916
+cap "a_483_n100#" "a_1635_n100#" 0.648334
+cap "a_1437_n197#" "a_1309_n197#" 109.281
+cap "w_n2087_n319#" "a_n867_n197#" 90.5309
+cap "a_157_n197#" "a_n611_n197#" 0.531337
+cap "a_n1949_n100#" "a_n925_n100#" 0.807493
+cap "a_n925_n100#" "a_n1309_n100#" 1.36983
+cap "a_n285_n100#" "a_1309_n197#" 0.0126288
+cap "a_1309_n197#" "a_925_n197#" 0.666157
+cap "a_n1437_n100#" "a_n157_n100#" 0.478951
+cap "a_n797_n100#" "a_n1379_n197#" 0.514034
+cap "a_n739_n197#" "a_n1251_n197#" 0.63093
+cap "a_n1123_n197#" "a_99_n100#" 0.232187
+cap "a_n925_n100#" "a_n1635_n197#" 0.470815
+cap "a_285_n197#" "a_n29_n100#" 0.575596
+cap "a_n413_n100#" "a_995_n100#" 0.302014
+cap "a_n995_n197#" "a_n1181_n100#" 0.59297
+cap "a_285_n197#" "a_1693_n197#" 0.155017
+cap "a_n1181_n100#" "a_n611_n197#" 0.514034
+cap "a_n29_n100#" "a_n483_n197#" 0.549145
+cap "a_355_n100#" "a_1437_n197#" 0.29991
+cap "a_1821_n197#" "a_1251_n100#" 0.514034
+cap "a_355_n100#" "a_925_n197#" 0.514034
+cap "a_n1763_n197#" "a_n285_n100#" 0.0868821
+cap "a_413_n197#" "a_n413_n100#" 0.420172
+cap "a_355_n100#" "a_n285_n100#" 1.19956
+cap "a_n541_n100#" "a_797_n197#" 0.160802
+cap "a_867_n100#" "a_99_n100#" 1.0853
+cap "a_1123_n100#" "a_n29_n100#" 0.648334
+cap "a_1693_n197#" "a_1123_n100#" 0.514034
+cap "a_n157_n100#" "a_227_n100#" 1.36983
+cap "a_285_n197#" "a_1181_n197#" 0.468543
+cap "a_n355_n197#" "a_925_n197#" 0.24035
+cap "a_n355_n197#" "a_n285_n100#" 0.600992
+cap "a_n99_n197#" "a_n797_n100#" 0.470815
+cap "a_1181_n197#" "a_n483_n197#" 6.62611e-05
+cap "a_n413_n100#" "a_n1821_n100#" 0.302014
+cap "a_n995_n197#" "a_n925_n100#" 0.600992
+cap "a_n227_n197#" "a_n413_n100#" 0.59297
+cap "a_n157_n100#" "a_1309_n197#" 0.0868821
+cap "a_n1693_n100#" "a_n1251_n197#" 0.549145
+cap "a_n1437_n100#" "a_n1123_n197#" 0.575596
+cap "a_n925_n100#" "a_n611_n197#" 0.575596
+cap "a_541_n197#" "a_1763_n100#" 0.232187
+cap "a_483_n100#" "a_1821_n197#" 0.160802
+cap "a_1181_n197#" "a_1123_n100#" 0.600992
+cap "a_n797_n100#" "a_29_n197#" 0.420172
+cap "a_1507_n100#" "a_1763_n100#" 1.42315
+cap "a_157_n197#" "a_995_n100#" 0.420172
+cap "a_n1507_n197#" "a_n1949_n100#" 0.549145
+cap "a_n1507_n197#" "a_n1309_n100#" 0.59297
+cap "a_99_n100#" "a_n29_n100#" 111.596
+cap "a_1693_n197#" "a_99_n100#" 0.0126288
+cap "a_1379_n100#" "a_1053_n197#" 0.575596
+cap "a_n739_n197#" "a_n669_n100#" 0.600992
+cap "a_541_n197#" "a_n1053_n100#" 0.0126288
+cap "a_n99_n197#" "a_1251_n100#" 0.160802
+cap "a_413_n197#" "a_157_n197#" 0.690878
+cap "a_n1763_n197#" "a_n157_n100#" 0.0126288
+cap "a_355_n100#" "a_n157_n100#" 1.29491
+cap "a_n1507_n197#" "a_n1635_n197#" 109.281
+cap "a_669_n197#" "a_1053_n197#" 0.666157
+cap "a_n739_n197#" "a_n867_n197#" 109.281
+cap "a_n541_n100#" "a_925_n197#" 0.0868821
+cap "a_n541_n100#" "a_n285_n100#" 1.42315
+cap "a_1251_n100#" "a_29_n197#" 0.232187
+cap "a_n1123_n197#" "a_227_n100#" 0.160802
+cap "a_797_n197#" "a_n413_n100#" 0.232187
+cap "a_1181_n197#" "a_99_n100#" 0.29991
+cap "a_n355_n197#" "a_n157_n100#" 0.59297
+cap "a_n995_n197#" "a_669_n197#" 6.62611e-05
+cap "a_611_n100#" "a_1053_n197#" 0.549145
+cap "a_413_n197#" "a_n1181_n100#" 0.0126288
+cap "a_n1565_n100#" "a_n1379_n197#" 0.59297
+cap "a_669_n197#" "a_n611_n197#" 0.24035
+cap "a_n227_n197#" "a_157_n197#" 0.666157
+cap "a_541_n197#" "w_n2087_n319#" 89.8843
+cap "a_n99_n197#" "a_483_n100#" 0.514034
+cap "a_285_n197#" "a_n797_n100#" 0.29991
+cap "a_n1437_n100#" "a_n29_n100#" 0.302014
+cap "a_867_n100#" "a_227_n100#" 1.19956
+cap "a_n797_n100#" "a_n483_n197#" 0.575596
+cap "w_n2087_n319#" "a_1507_n100#" 28.2565
+cap "a_n995_n197#" "a_611_n100#" 0.0126288
+cap "a_611_n100#" "a_n611_n197#" 0.232187
+cap "a_541_n197#" "a_1565_n197#" 0.398359
+cap "a_n1949_n100#" "a_n1891_n197#" 0.632634
+cap "a_n995_n197#" "a_n1507_n197#" 0.63093
+cap "a_n1891_n197#" "a_n1309_n100#" 0.514034
+cap "a_483_n100#" "a_29_n197#" 0.549145
+cap "a_n1693_n100#" "a_n669_n100#" 0.807493
+cap "a_n1181_n100#" "a_n1821_n100#" 1.19956
+cap "a_n1507_n197#" "a_n611_n197#" 0.468543
+cap "a_n227_n197#" "a_n1181_n100#" 0.362902
+cap "a_739_n100#" "a_n669_n100#" 0.302014
+cap "a_1821_n197#" "a_1635_n100#" 0.59297
+cap "a_1565_n197#" "a_1507_n100#" 0.600992
+cap "a_1309_n197#" "a_867_n100#" 0.549145
+cap "a_n1763_n197#" "a_n1123_n197#" 0.585752
+cap "a_355_n100#" "a_n1123_n197#" 0.0868821
+cap "a_n1891_n197#" "a_n1635_n197#" 0.690878
+cap "a_n1693_n100#" "a_n867_n197#" 0.420172
+cap "a_739_n100#" "a_n867_n197#" 0.0126288
+cap "a_413_n197#" "a_n925_n100#" 0.160802
+cap "a_285_n197#" "a_1251_n100#" 0.362902
+cap "a_n541_n100#" "a_n157_n100#" 1.36983
+cap "a_157_n197#" "a_797_n197#" 0.585752
+cap "a_n99_n197#" "a_n1565_n100#" 0.0868821
+cap "a_n29_n100#" "a_227_n100#" 1.42315
+cap "a_n1053_n100#" "a_n1309_n100#" 1.42315
+cap "a_n1949_n100#" "a_n1053_n100#" 0.953916
+cap "a_n1123_n197#" "a_n355_n197#" 0.531337
+cap "a_1693_n197#" "a_227_n100#" 0.0868821
+cap "a_n413_n100#" "a_925_n197#" 0.160802
+cap "a_355_n100#" "a_867_n100#" 1.29491
+cap "a_n285_n100#" "a_n413_n100#" 111.596
+cap "a_1123_n100#" "a_1251_n100#" 111.596
+cap "a_995_n100#" "a_1379_n100#" 1.36983
+cap "a_n1565_n100#" "a_29_n197#" 0.0126288
+cap "a_n227_n197#" "a_n925_n100#" 0.470815
+cap "a_n797_n100#" "a_99_n100#" 0.953916
+cap "a_n925_n100#" "a_n1821_n100#" 0.953916
+cap "a_1309_n197#" "a_n29_n100#" 0.160802
+cap "a_1693_n197#" "a_1309_n197#" 0.666157
+cap "a_n1053_n100#" "a_n1635_n197#" 0.514034
+cap "a_1763_n100#" "a_1053_n197#" 0.470815
+cap "a_n355_n197#" "a_867_n100#" 0.232187
+cap "a_413_n197#" "a_1379_n100#" 0.362902
+cap "a_285_n197#" "a_483_n100#" 0.59297
+cap "a_669_n197#" "a_995_n100#" 0.575596
+cap "a_n995_n197#" "a_n1891_n197#" 0.468543
+cap "a_483_n100#" "a_n483_n197#" 0.362902
+cap "a_1181_n197#" "a_227_n100#" 0.362902
+cap "a_n1891_n197#" "a_n611_n197#" 0.24035
+cap "a_541_n197#" "a_1891_n100#" 0.160802
+cap "a_1891_n100#" "a_1507_n100#" 1.36983
+cap "w_n2087_n319#" "a_n1309_n100#" 28.4139
+cap "w_n2087_n319#" "a_n1949_n100#" 83.9538
+cap "a_669_n197#" "a_413_n197#" 0.690878
+cap "a_611_n100#" "a_995_n100#" 1.36983
+cap "a_483_n100#" "a_1123_n100#" 1.19956
+cap "a_n669_n100#" "a_n1251_n197#" 0.514034
+cap "a_355_n100#" "a_n29_n100#" 1.36983
+cap "a_1181_n197#" "a_1309_n197#" 109.281
+cap "a_1635_n100#" "a_29_n197#" 0.0126288
+cap "a_355_n100#" "a_1693_n197#" 0.160802
+cap "a_n227_n197#" "a_1379_n100#" 0.0126288
+cap "a_1251_n100#" "a_99_n100#" 0.648334
+cap "a_n541_n100#" "a_n1123_n197#" 0.514034
+cap "a_541_n197#" "a_n739_n197#" 0.24035
+cap "a_157_n197#" "a_1437_n197#" 0.24035
+cap "w_n2087_n319#" "a_n1635_n197#" 90.192
+cap "a_n867_n197#" "a_n1251_n197#" 0.666157
+cap "a_413_n197#" "a_611_n100#" 0.59297
+cap "a_157_n197#" "a_925_n197#" 0.531337
+cap "a_n995_n197#" "a_n1053_n100#" 0.600992
+cap "a_157_n197#" "a_n285_n100#" 0.549145
+cap "a_n413_n100#" "a_n157_n100#" 1.42315
+cap "a_n1437_n100#" "a_n797_n100#" 1.19956
+cap "a_n1053_n100#" "a_n611_n197#" 0.549145
+cap "a_n355_n197#" "a_n29_n100#" 0.575596
+cap "a_669_n197#" "a_n227_n197#" 0.468543
+cap "a_n1565_n100#" "a_n483_n197#" 0.29991
+cap "a_n541_n100#" "a_867_n100#" 0.302014
+cap "a_355_n100#" "a_1181_n197#" 0.420172
+cap "w_n2087_n319#" "a_1053_n197#" 90.8178
+cap "a_483_n100#" "a_99_n100#" 1.36983
+cap "a_n227_n197#" "a_611_n100#" 0.420172
+cap "a_n1181_n100#" "a_n285_n100#" 0.953916
+cap "a_n1507_n197#" "a_n227_n197#" 0.24035
+cap "a_n1507_n197#" "a_n1821_n100#" 0.575596
+cap "a_1565_n197#" "a_1053_n197#" 0.63093
+cap "a_1181_n197#" "a_n355_n197#" 0.0672395
+cap "a_797_n197#" "a_1379_n100#" 0.514034
+cap "a_n995_n197#" "w_n2087_n319#" 90.7223
+cap "a_285_n197#" "a_1635_n100#" 0.160802
+cap "w_n2087_n319#" "a_n611_n197#" 89.8843
+cap "a_n797_n100#" "a_227_n100#" 0.807493
+cap "a_n99_n197#" "a_n1379_n197#" 0.24035
+cap "a_669_n197#" "a_797_n197#" 109.281
+cap "a_541_n197#" "a_739_n100#" 0.59297
+cap "a_n541_n100#" "a_n29_n100#" 1.29491
+cap "a_1123_n100#" "a_1635_n100#" 1.29491
+cap "a_995_n100#" "a_1763_n100#" 1.0853
+cap "a_739_n100#" "a_1507_n100#" 1.0853
+cap "a_157_n197#" "a_n157_n100#" 0.575596
+cap "a_n1123_n197#" "a_n413_n100#" 0.470815
+cap "a_n1379_n197#" "a_29_n197#" 0.155017
+cap "a_n285_n100#" "a_n925_n100#" 1.19956
+cap "a_797_n197#" "a_611_n100#" 0.59297
+cap "a_n669_n100#" "a_n867_n197#" 0.59297
+cap "a_413_n197#" "a_1763_n100#" 0.160802
+cap "a_n739_n197#" "a_n1949_n100#" 0.232187
+cap "a_n739_n197#" "a_n1309_n100#" 0.514034
+cap "a_1251_n100#" "a_227_n100#" 0.807493
+cap "a_n413_n100#" "a_867_n100#" 0.478951
+cap "a_n1181_n100#" "a_n157_n100#" 0.807493
+cap "a_n227_n197#" "a_n1891_n197#" 6.62611e-05
+cap "a_n1763_n197#" "a_n797_n100#" 0.362902
+cap "a_355_n100#" "a_n797_n100#" 0.648334
+cap "a_n1891_n197#" "a_n1821_n100#" 0.600992
+cap "a_1437_n197#" "a_1379_n100#" 0.600992
+cap "a_1251_n100#" "a_1309_n197#" 0.600992
+cap "a_n739_n197#" "a_n1635_n197#" 0.468543
+cap "a_1891_n100#" "a_1053_n197#" 0.420172
+cap "a_413_n197#" "a_n1053_n100#" 0.0868821
+cap "a_1635_n100#" "a_99_n100#" 0.120315
+cap "a_1379_n100#" "a_925_n197#" 0.549145
+cap "a_n355_n197#" "a_n797_n100#" 0.549145
+cap "a_285_n197#" "a_1821_n197#" 0.0672395
+cap "a_669_n197#" "a_1437_n197#" 0.531337
+cap "a_n1437_n100#" "a_n1565_n100#" 111.596
+cap "a_n99_n197#" "a_29_n197#" 109.281
+cap "a_483_n100#" "a_227_n100#" 1.42315
+cap "a_n1123_n197#" "a_157_n197#" 0.24035
+cap "a_669_n197#" "a_925_n197#" 0.690878
+cap "w_n2087_n319#" "a_995_n100#" 27.951
+cap "a_285_n197#" "a_n1379_n197#" 6.62611e-05
+cap "a_669_n197#" "a_n285_n100#" 0.362902
+cap "a_n227_n197#" "a_n1053_n100#" 0.420172
+cap "a_n1053_n100#" "a_n1821_n100#" 1.0853
+cap "a_n413_n100#" "a_n29_n100#" 1.36983
+cap "a_n157_n100#" "a_n925_n100#" 1.0853
+cap "a_n483_n197#" "a_n1379_n197#" 0.468543
+cap "a_355_n100#" "a_1251_n100#" 0.953916
+cap "a_1821_n197#" "a_1123_n100#" 0.470815
+cap "a_995_n100#" "a_1565_n197#" 0.514034
+cap "a_611_n100#" "a_1437_n197#" 0.420172
+cap "a_483_n100#" "a_1309_n197#" 0.420172
+cap "a_n1693_n100#" "a_n1949_n100#" 1.42315
+cap "a_413_n197#" "w_n2087_n319#" 89.4449
+cap "a_n1693_n100#" "a_n1309_n100#" 1.36983
+cap "a_611_n100#" "a_925_n197#" 0.575596
+cap "a_n285_n100#" "a_611_n100#" 0.953916
+cap "a_n995_n197#" "a_n739_n197#" 0.690878
+cap "a_157_n197#" "a_867_n100#" 0.470815
+cap "a_n739_n197#" "a_n611_n197#" 109.281
+cap "a_n1507_n197#" "a_n285_n100#" 0.232187
+cap "a_n1123_n197#" "a_n1181_n100#" 0.600992
+cap "a_n355_n197#" "a_1251_n100#" 0.0126288
+cap "a_413_n197#" "a_1565_n197#" 0.321893
+cap "a_797_n197#" "a_1763_n100#" 0.362902
+cap "a_n1693_n100#" "a_n1635_n197#" 0.600992
+cap "a_1181_n197#" "a_n413_n100#" 0.0126288
+cap "a_n157_n100#" "a_1379_n100#" 0.120315
+cap "a_n541_n100#" "a_n797_n100#" 1.42315
+cap "w_n2087_n319#" "a_n1821_n100#" 27.4034
+cap "w_n2087_n319#" "a_n227_n197#" 89.2994
+cap "a_355_n100#" "a_483_n100#" 111.596
+cap "a_285_n197#" "a_n99_n197#" 0.666157
+cap "a_n99_n197#" "a_n483_n197#" 0.666157
+cap "a_669_n197#" "a_n157_n100#" 0.420172
+cap "a_739_n100#" "a_1053_n197#" 0.575596
+cap "a_n355_n197#" "a_483_n100#" 0.420172
+cap "a_99_n100#" "a_n1379_n197#" 0.0868821
+cap "a_157_n197#" "a_n29_n100#" 0.59297
+cap "a_285_n197#" "a_29_n197#" 0.690878
+cap "a_1693_n197#" "a_157_n197#" 0.0672395
+cap "a_n1123_n197#" "a_n925_n100#" 0.59297
+cap "a_n99_n197#" "a_1123_n100#" 0.232187
+cap "a_n483_n197#" "a_29_n197#" 0.63093
+cap "a_1635_n100#" "a_227_n100#" 0.302014
+cap "a_n995_n197#" "a_n1693_n100#" 0.470815
+cap "a_1891_n100#" "a_995_n100#" 0.953916
+cap "a_n157_n100#" "a_611_n100#" 1.0853
+cap "a_n1693_n100#" "a_n611_n197#" 0.29991
+cap "a_739_n100#" "a_n611_n197#" 0.160802
+cap "a_541_n197#" "a_n669_n100#" 0.232187
+cap "a_n1763_n197#" "a_n1565_n100#" 0.59297
+cap "a_n1507_n197#" "a_n157_n100#" 0.160802
+cap "a_n285_n100#" "a_n1891_n197#" 0.0126288
+cap "a_1123_n100#" "a_29_n197#" 0.29991
+cap "a_n1181_n100#" "a_n29_n100#" 0.648334
+cap "a_1437_n197#" "a_1763_n100#" 0.575596
+cap "a_1309_n197#" "a_1635_n100#" 0.575596
+cap "w_n2087_n319#" "a_797_n197#" 90.5309
+cap "a_n1949_n100#" "a_n1251_n197#" 0.470815
+cap "a_n1309_n100#" "a_n1251_n197#" 0.600992
+cap "a_413_n197#" "a_1891_n100#" 0.0868821
+cap "a_1763_n100#" "a_925_n197#" 0.420172
+cap "a_541_n197#" "a_n867_n197#" 0.155017
+cap "a_1181_n197#" "a_157_n197#" 0.398359
+cap "a_797_n197#" "a_1565_n197#" 0.531337
+cap "a_n355_n197#" "a_n1565_n100#" 0.232187
+cap "a_n1635_n197#" "a_n1251_n197#" 0.666157
+cap "a_n1437_n100#" "a_n1379_n197#" 0.600992
+cap "a_n99_n197#" "a_99_n100#" 0.59297
+cap "a_n541_n100#" "a_483_n100#" 0.807493
+cap "a_n413_n100#" "a_n797_n100#" 1.36983
+cap "a_413_n197#" "a_n739_n197#" 0.321893
+cap "a_n1053_n100#" "a_n285_n100#" 1.0853
+cap "a_355_n100#" "a_1635_n100#" 0.478951
+cap "a_1379_n100#" "a_867_n100#" 1.29491
+cap "a_285_n197#" "a_n483_n197#" 0.531337
+cap "a_99_n100#" "a_29_n197#" 0.600992
+cap "a_n925_n100#" "a_n29_n100#" 0.953916
+cap "a_285_n197#" "a_1123_n100#" 0.420172
+cap "a_669_n197#" "a_867_n100#" 0.59297
+cap "a_n1507_n197#" "a_n1123_n197#" 0.666157
+cap "a_n739_n197#" "a_n227_n197#" 0.63093
+cap "a_n739_n197#" "a_n1821_n100#" 0.29991
+cap "a_1123_n100#" "a_n483_n197#" 0.0126288
+cap "a_1821_n197#" "a_227_n100#" 0.0126288
+cap "w_n2087_n319#" "a_1437_n197#" 90.5001
+cap "a_n995_n197#" "a_n1251_n197#" 0.690878
+cap "a_n611_n197#" "a_n1251_n197#" 0.585752
+cap "a_n541_n100#" "a_n1565_n100#" 0.807493
+cap "w_n2087_n319#" "a_925_n197#" 90.7223
+cap "a_n1379_n197#" "a_227_n100#" 0.0126288
+cap "w_n2087_n319#" "a_n285_n100#" 25.0424
+cap "a_995_n100#" "a_739_n100#" 1.42315
+cap "a_611_n100#" "a_867_n100#" 1.42315
+cap "a_1565_n197#" "a_1437_n197#" 109.281
+cap "a_1821_n197#" "a_1309_n197#" 0.63093
+cap "a_n1437_n100#" "a_n99_n197#" 0.160802
+cap "a_1379_n100#" "a_n29_n100#" 0.302014
+cap "a_n669_n100#" "a_n1309_n100#" 1.19956
+cap "a_n1949_n100#" "a_n669_n100#" 0.478951
+cap "a_1565_n197#" "a_925_n197#" 0.585752
+cap "a_797_n197#" "a_1891_n100#" 0.29991
+cap "a_1693_n197#" "a_1379_n100#" 0.575596
+cap "a_157_n197#" "a_n797_n100#" 0.362902
+cap "a_413_n197#" "a_739_n100#" 0.575596
+cap "a_n1437_n100#" "a_29_n197#" 0.0868821
+cap "a_n1053_n100#" "a_n157_n100#" 0.953916
+cap "a_n1949_n100#" "a_n867_n197#" 0.29991
+cap "a_n1309_n100#" "a_n867_n197#" 0.549145
+cap "a_n669_n100#" "a_n1635_n197#" 0.362902
+cap "a_285_n197#" "a_99_n100#" 0.59297
+cap "a_669_n197#" "a_n29_n100#" 0.470815
+cap "a_n413_n100#" "a_483_n100#" 0.953916
+cap "a_669_n197#" "a_1693_n197#" 0.398359
+cap "a_99_n100#" "a_n483_n197#" 0.514034
+cap "a_n739_n197#" "a_797_n197#" 0.0672395
+cap "a_355_n100#" "a_1821_n197#" 0.0868821
+cap "a_n1181_n100#" "a_n797_n100#" 1.36983
+cap "a_n1635_n197#" "a_n867_n197#" 0.531337
+cap "a_1181_n197#" "a_1379_n100#" 0.59297
+cap "a_n1693_n100#" "a_n227_n197#" 0.0868821
+cap "a_1123_n100#" "a_99_n100#" 0.807493
+cap "a_611_n100#" "a_n29_n100#" 1.19956
+cap "a_n1693_n100#" "a_n1821_n100#" 111.596
+cap "a_n1763_n197#" "a_n1379_n197#" 0.666157
+cap "a_n227_n197#" "a_739_n100#" 0.362902
+cap "a_1693_n197#" "a_611_n100#" 0.29991
+cap "a_n1123_n197#" "a_n1891_n197#" 0.531337
+cap "a_n99_n197#" "a_227_n100#" 0.575596
+cap "a_157_n197#" "a_1251_n100#" 0.29991
+cap "a_n1507_n197#" "a_n29_n100#" 0.0868821
+cap "a_669_n197#" "a_1181_n197#" 0.63093
+cap "w_n2087_n319#" "a_n157_n100#" 25.0424
+cap "a_n355_n197#" "a_n1379_n197#" 0.398359
+cap "a_n99_n197#" "a_1309_n197#" 0.155017
+cap "a_29_n197#" "a_227_n100#" 0.59297
+cap "a_n413_n100#" "a_n1565_n100#" 0.648334
+cap "a_1891_n100#" "a_1437_n197#" 0.549145
+cap "a_n995_n197#" "a_n669_n100#" 0.575596
+cap "a_n669_n100#" "a_n611_n197#" 0.600992
+cap "a_541_n197#" "a_1507_n100#" 0.362902
+cap "a_1891_n100#" "a_925_n197#" 0.362902
+cap "a_1181_n197#" "a_611_n100#" 0.514034
+cap "a_1309_n197#" "a_29_n197#" 0.24035
+cap "a_867_n100#" "a_1763_n100#" 0.953916
+cap "a_n797_n100#" "a_n925_n100#" 111.596
+cap "a_n1123_n197#" "a_n1053_n100#" 0.600992
+cap "a_n1437_n100#" "a_n483_n197#" 0.362902
+cap "a_n995_n197#" "a_n867_n197#" 109.281
+cap "a_157_n197#" "a_483_n100#" 0.575596
+cap "a_n611_n197#" "a_n867_n197#" 0.690878
+cap "a_413_n197#" "a_n1251_n197#" 6.62611e-05
+cap "a_797_n197#" "a_739_n100#" 0.600992
+cap "a_n1763_n197#" "a_n99_n197#" 6.62611e-05
+cap "a_355_n100#" "a_n99_n197#" 0.549145
+cap "a_n739_n197#" "a_925_n197#" 6.62611e-05
+cap "a_n739_n197#" "a_n285_n100#" 0.549145
+cap "a_355_n100#" "a_29_n197#" 0.575596
+cap "a_n355_n197#" "a_n99_n197#" 0.690878
+cap "a_n541_n100#" "a_n1379_n197#" 0.420172
+cap "a_n227_n197#" "a_n1251_n197#" 0.398359
+cap "a_n1821_n100#" "a_n1251_n197#" 0.514034
+cap "w_n2087_n319#" "a_n1123_n197#" 90.8178
+cap "a_285_n197#" "a_227_n100#" 0.600992
+cap "a_1693_n197#" "a_1763_n100#" 0.600992
+cap "a_n483_n197#" "a_227_n100#" 0.470815
+cap "a_n355_n197#" "a_29_n197#" 0.666157
+cap "a_285_n197#" "a_1309_n197#" 0.398359
+cap "a_669_n197#" "a_n797_n100#" 0.0868821
+cap "a_n1437_n100#" "a_99_n100#" 0.120315
+cap "a_1123_n100#" "a_227_n100#" 0.953916
+cap "w_n2087_n319#" "a_867_n100#" 27.5285
+cap "a_n1053_n100#" "a_n29_n100#" 0.807493
+cap "a_1251_n100#" "a_1379_n100#" 111.596
+cap "a_611_n100#" "a_n797_n100#" 0.302014
+cap "a_483_n100#" "a_n925_n100#" 0.302014
+cap "a_n1181_n100#" "a_n1565_n100#" 1.36983
+cap "a_1565_n197#" "a_867_n100#" 0.470815
+cap "a_1437_n197#" "a_739_n100#" 0.470815
+cap "a_1123_n100#" "a_1309_n197#" 0.59297
+cap "a_1181_n197#" "a_1763_n100#" 0.514034
+cap "a_n1693_n100#" "a_n285_n100#" 0.302014
+cap "a_739_n100#" "a_925_n197#" 0.59297
+cap "a_n1507_n197#" "a_n797_n100#" 0.470815
+cap "a_n285_n100#" "a_739_n100#" 0.807493
+cap "a_n739_n197#" "a_n157_n100#" 0.514034
+cap "a_n541_n100#" "a_n99_n197#" 0.549145
+cap "a_157_n197#" "a_1635_n100#" 0.0868821
+cap "a_285_n197#" "a_355_n100#" 0.600992
+cap "a_413_n197#" "a_n669_n100#" 0.29991
+cap "a_n1763_n197#" "a_n483_n197#" 0.24035
+cap "a_669_n197#" "a_1251_n100#" 0.514034
+cap "a_355_n100#" "a_n483_n197#" 0.420172
+cap "a_413_n197#" "a_n867_n197#" 0.24035
+cap "a_n541_n100#" "a_29_n197#" 0.514034
+cap "a_99_n100#" "a_227_n100#" 111.596
+cap "w_n2087_n319#" "a_n29_n100#" 25.0424
+cap "a_285_n197#" "a_n355_n197#" 0.585752
+cap "a_355_n100#" "a_1123_n100#" 1.0853
+cap "w_n2087_n319#" "a_1693_n197#" 89.7871
+cap "a_n413_n100#" "a_n1379_n197#" 0.362902
+cap "a_611_n100#" "a_1251_n100#" 1.19956
+cap "a_483_n100#" "a_1379_n100#" 0.953916
+cap "a_n355_n197#" "a_n483_n197#" 109.281
+cap "a_n925_n100#" "a_n1565_n100#" 1.19956
+cap "a_n669_n100#" "a_n1821_n100#" 0.648334
+cap "a_1565_n197#" "a_n29_n100#" 0.0126288
+cap "a_1309_n197#" "a_99_n100#" 0.232187
+cap "a_n227_n197#" "a_n669_n100#" 0.549145
+cap "a_541_n197#" "a_1053_n197#" 0.63093
+cap "a_1693_n197#" "a_1565_n197#" 109.281
+cap "a_1507_n100#" "a_1053_n197#" 0.549145
+cap "a_n355_n197#" "a_1123_n100#" 0.0868821
+cap "a_669_n197#" "a_483_n100#" 0.59297
+cap "a_n227_n197#" "a_n867_n197#" 0.585752
+cap "a_n1821_n100#" "a_n867_n197#" 0.362902
+cap "a_n995_n197#" "a_541_n197#" 0.0672395
+cap "a_1181_n197#" "w_n2087_n319#" 90.8137
+cap "a_541_n197#" "a_n611_n197#" 0.321893
+cap "a_n1693_n100#" "a_n157_n100#" 0.120315
+cap "a_1891_n100#" "a_867_n100#" 0.807493
+cap "a_n157_n100#" "a_739_n100#" 0.953916
+cap "a_n1891_n197#" "a_n797_n100#" 0.29991
+cap "a_n739_n197#" "a_n1123_n197#" 0.666157
+cap "a_483_n100#" "a_611_n100#" 111.596
+cap "a_1181_n197#" "a_1565_n197#" 0.666157
+cap "a_355_n100#" "a_99_n100#" 1.42315
+cap "a_n285_n100#" "a_n1251_n197#" 0.362902
+cap "a_157_n197#" "a_1821_n197#" 6.62611e-05
+cap "a_285_n197#" "a_n541_n100#" 0.420172
+cap "a_n1949_n100#" "a_n1309_n100#" 1.19956
+cap "a_n99_n197#" "a_n413_n100#" 0.575596
+cap "a_n541_n100#" "a_n483_n197#" 0.600992
+cap "a_157_n197#" "a_n1379_n197#" 0.0672395
+cap "a_n739_n197#" "a_867_n100#" 0.0126288
+cap "a_797_n197#" "a_n669_n100#" 0.0868821
+cap "a_n355_n197#" "a_99_n100#" 0.549145
+cap "a_n1053_n100#" "a_n797_n100#" 1.42315
+cap "a_n413_n100#" "a_29_n197#" 0.549145
+cap "a_n1949_n100#" "a_n1635_n197#" 0.575596
+cap "a_n1309_n100#" "a_n1635_n197#" 0.575596
+cap "a_797_n197#" "a_n867_n197#" 6.62611e-05
+cap "a_1693_n197#" "a_1891_n100#" 0.59297
+cap "a_1379_n100#" "a_1635_n100#" 1.42315
+cap "a_1251_n100#" "a_1763_n100#" 1.29491
+cap "a_n1181_n100#" "a_n1379_n197#" 0.59297
+cap "a_n1507_n197#" "a_n1565_n100#" 0.600992
+cap "a_n1437_n100#" "a_n1763_n197#" 0.575596
+cap "a_n1693_n100#" "a_n1123_n197#" 0.514034
+cap "a_669_n197#" "a_1635_n100#" 0.362902
+cap "a_n739_n197#" "a_n29_n100#" 0.470815
+cap "a_1309_n197#" "a_227_n100#" 0.29991
+cap "w_n2087_n319#" "a_n797_n100#" 27.0076
+cap "a_n157_n100#" "a_n1251_n197#" 0.29991
+cap "a_1181_n197#" "a_1891_n100#" 0.470815
+cap "a_n1437_n100#" "a_n355_n197#" 0.29991
+cap "a_157_n197#" "a_n99_n197#" 0.690878
+cap "a_541_n197#" "a_995_n100#" 0.549145
+cap "a_n541_n100#" "a_99_n100#" 1.19956
+cap "a_n995_n197#" "a_n1949_n100#" 0.362902
+cap "a_n995_n197#" "a_n1309_n100#" 0.575596
+cap "a_995_n100#" "a_1507_n100#" 1.29491
+cap "a_739_n100#" "a_867_n100#" 111.596
+cap "a_611_n100#" "a_1635_n100#" 0.807493
+cap "a_483_n100#" "a_1763_n100#" 0.478951
+cap "a_n1949_n100#" "a_n611_n197#" 0.160802
+cap "a_n1309_n100#" "a_n611_n197#" 0.470815
+cap "a_285_n197#" "a_n413_n100#" 0.470815
+cap "a_n669_n100#" "a_925_n197#" 0.0126288
+cap "a_157_n197#" "a_29_n197#" 109.281
+cap "a_541_n197#" "a_413_n197#" 109.281
+cap "a_n285_n100#" "a_n669_n100#" 1.36983
+cap "a_n925_n100#" "a_n1379_n197#" 0.549145
+cap "a_n413_n100#" "a_n483_n197#" 0.600992
+cap "a_n995_n197#" "a_n1635_n197#" 0.585752
+cap "a_413_n197#" "a_1507_n100#" 0.29991
+cap "a_n1635_n197#" "a_n611_n197#" 0.398359
+cap "a_n1181_n100#" "a_n99_n197#" 0.29991
+cap "a_355_n100#" "a_227_n100#" 111.596
+cap "a_n1053_n100#" "a_483_n100#" 0.120315
+cap "a_n285_n100#" "a_n867_n197#" 0.514034
+cap "a_n413_n100#" "a_1123_n100#" 0.120315
+cap "w_n2087_n319#" "a_1251_n100#" 28.4139
+cap "a_n1891_n197#" "a_n1565_n100#" 0.575596
+cap "a_n1181_n100#" "a_29_n197#" 0.232187
+cap "a_355_n100#" "a_1309_n197#" 0.362902
+cap "a_541_n197#" "a_n227_n197#" 0.531337
+cap "a_1821_n197#" "a_1379_n100#" 0.549145
+cap "a_1565_n197#" "a_1251_n100#" 0.575596
+cap "a_n355_n197#" "a_227_n100#" 0.514034
+cap "a_739_n100#" "a_n29_n100#" 1.0853
+cap "a_n611_n197#" "a_1053_n197#" 6.62611e-05
+cap "a_n541_n100#" "a_n1437_n100#" 0.953916
+cap "a_1693_n197#" "a_739_n100#" 0.362902
+cap "a_n1123_n197#" "a_n1251_n197#" 109.281
+cap "a_n355_n197#" "a_1309_n197#" 6.62611e-05
+cap "a_669_n197#" "a_1821_n197#" 0.321893
+cap "a_n99_n197#" "a_n925_n100#" 0.420172
+cap "w_n2087_n319#" "a_483_n100#" 25.7723
+cap "a_n995_n197#" "a_n611_n197#" 0.666157
+cap "a_285_n197#" "a_157_n197#" 109.281
+cap "a_n1053_n100#" "a_n1565_n100#" 1.29491
+cap "a_n413_n100#" "a_99_n100#" 1.29491
+cap "a_n157_n100#" "a_n669_n100#" 1.29491
+cap "a_157_n197#" "a_n483_n197#" 0.585752
+cap "a_611_n100#" "a_1821_n197#" 0.232187
+cap "a_483_n100#" "a_1565_n197#" 0.29991
+cap "a_1181_n197#" "a_739_n100#" 0.549145
+cap "a_n925_n100#" "a_29_n197#" 0.362902
+cap "a_1635_n100#" "a_1763_n100#" 111.596
+cap "a_n157_n100#" "a_n867_n197#" 0.470815
+cap "a_n1763_n197#" "a_n355_n197#" 0.155017
+cap "a_355_n100#" "a_n355_n197#" 0.470815
+cap "a_157_n197#" "a_1123_n100#" 0.362902
+cap "a_541_n197#" "a_797_n197#" 0.690878
+cap "a_n1507_n197#" "a_n1379_n197#" 109.281
+cap "a_n541_n100#" "a_227_n100#" 1.0853
+cap "a_797_n197#" "a_1507_n100#" 0.470815
+cap "a_285_n197#" "a_n1181_n100#" 0.0868821
+cap "a_n739_n197#" "a_n797_n100#" 0.600992
+cap "a_n1181_n100#" "a_n483_n197#" 0.470815
+cap "a_n99_n197#" "a_1379_n100#" 0.0868821
+cap "a_1891_n100#" "a_1251_n100#" 1.19956
+cap "w_n2087_n319#" "a_n1565_n100#" 28.2565
+cap "a_1379_n100#" "a_29_n197#" 0.160802
+cap "a_669_n197#" "a_n99_n197#" 0.531337
+cap "a_n29_n100#" "a_n1251_n197#" 0.232187
+cap "a_n1437_n100#" "a_n413_n100#" 0.807493
+cap "a_n1821_n100#" "a_n1309_n100#" 1.29491
+cap "a_n1949_n100#" "a_n1821_n100#" 111.596
+cap "a_995_n100#" "a_1053_n197#" 0.600992
+cap "a_n227_n197#" "a_n1309_n100#" 0.29991
+cap "a_157_n197#" "a_99_n100#" 0.600992
+cap "a_n1123_n197#" "a_n669_n100#" 0.549145
+cap "a_669_n197#" "a_29_n197#" 0.585752
+cap "a_n99_n197#" "a_611_n100#" 0.470815
+cap "a_285_n197#" "a_n925_n100#" 0.232187
+cap "a_n541_n100#" "a_n1763_n197#" 0.232187
+cap "a_355_n100#" "a_n541_n100#" 0.953916
+cap "a_n925_n100#" "a_n483_n197#" 0.549145
+cap "a_413_n197#" "a_1053_n197#" 0.585752
+cap "a_n227_n197#" "a_n1635_n197#" 0.155017
+cap "a_n1821_n100#" "a_n1635_n197#" 0.59297
+cap "a_n1507_n197#" "a_n99_n197#" 0.155017
+cap "a_1891_n100#" "a_483_n100#" 0.302014
+cap "w_n2087_n319#" "a_1635_n100#" 27.9188
+cap "a_n1123_n197#" "a_n867_n197#" 0.690878
+cap "a_541_n197#" "a_1437_n197#" 0.468543
+cap "a_995_n100#" "a_n611_n197#" 0.0126288
+cap "a_611_n100#" "a_29_n197#" 0.514034
+cap "a_n1693_n100#" "a_n797_n100#" 0.953916
+cap "a_541_n197#" "a_925_n197#" 0.666157
+cap "a_867_n100#" "a_n669_n100#" 0.120315
+cap "a_739_n100#" "a_n797_n100#" 0.120315
+cap "a_n1181_n100#" "a_99_n100#" 0.478951
+cap "a_1821_n197#" "a_1763_n100#" 0.600992
+cap "a_1565_n197#" "a_1635_n100#" 0.600992
+cap "a_1437_n197#" "a_1507_n100#" 0.600992
+cap "a_n1891_n197#" "a_n1379_n197#" 0.63093
+cap "a_541_n197#" "a_n285_n100#" 0.420172
+cap "a_n1507_n197#" "a_29_n197#" 0.0672395
+cap "a_n541_n100#" "a_n355_n197#" 0.59297
+cap "a_n995_n197#" "a_413_n197#" 0.155017
+cap "a_1507_n100#" "a_925_n197#" 0.514034
+cap "a_413_n197#" "a_n611_n197#" 0.398359
+cap "a_n413_n100#" "a_227_n100#" 1.19956
+cap "a_n739_n197#" "a_483_n100#" 0.232187
+cap "a_n227_n197#" "a_1053_n197#" 0.24035
+cap "a_285_n197#" "a_1379_n100#" 0.29991
+cap "a_n1437_n100#" "a_157_n197#" 0.0126288
+cap "a_n1053_n100#" "a_n1379_n197#" 0.575596
+cap "a_n995_n197#" "a_n227_n197#" 0.531337
+cap "a_n995_n197#" "a_n1821_n100#" 0.420172
+cap "a_285_n197#" "a_669_n197#" 0.666157
+cap "a_1123_n100#" "a_1379_n100#" 1.42315
+cap "a_1251_n100#" "a_739_n100#" 1.29491
+cap "a_n227_n197#" "a_n611_n197#" 0.666157
+cap "a_n1821_n100#" "a_n611_n197#" 0.232187
+cap "a_669_n197#" "a_n483_n197#" 0.321893
+cap "a_n669_n100#" "a_n29_n100#" 1.19956
+cap "a_n925_n100#" "a_99_n100#" 0.807493
+cap "a_n1437_n100#" "a_n1181_n100#" 1.42315
+cap "a_285_n197#" "a_611_n100#" 0.575596
+cap "a_669_n197#" "a_1123_n100#" 0.549145
+cap "a_n29_n100#" "a_n867_n197#" 0.420172
+cap "a_n739_n197#" "a_n1565_n100#" 0.420172
+cap "a_355_n100#" "a_n413_n100#" 1.0853
+cap "a_n1763_n197#" "a_n413_n100#" 0.160802
+cap "a_611_n100#" "a_n483_n197#" 0.29991
+cap "a_541_n197#" "a_n157_n100#" 0.470815
+cap "w_n2087_n319#" "a_1821_n197#" 115.954
+cap "a_797_n197#" "a_1053_n197#" 0.690878
+cap "a_n1507_n197#" "a_n483_n197#" 0.398359
+cap "a_1891_n100#" "a_1635_n100#" 1.42315
+cap "a_157_n197#" "a_227_n100#" 0.600992
+cap "w_n2087_n319#" "a_n1379_n197#" 90.7079
+cap "a_611_n100#" "a_1123_n100#" 1.29491
+cap "a_483_n100#" "a_739_n100#" 1.42315
+cap "a_1821_n197#" "a_1565_n197#" 0.690878
+cap "a_n797_n100#" "a_n1251_n197#" 0.549145
+cap "a_n355_n197#" "a_n413_n100#" 0.600992
+cap "a_1379_n100#" "a_99_n100#" 0.478951
+cap "a_n1053_n100#" "a_n99_n197#" 0.362902
+cap "a_797_n197#" "a_n611_n197#" 0.155017
+cap "a_157_n197#" "a_1309_n197#" 0.321893
+cap "a_n285_n100#" "a_n1309_n100#" 0.807493
+cap "a_413_n197#" "a_995_n100#" 0.514034
+cap "a_n1437_n100#" "a_n925_n100#" 1.29491
+cap "a_n1181_n100#" "a_227_n100#" 0.302014
+cap "a_669_n197#" "a_99_n100#" 0.514034
+cap "a_n1053_n100#" "a_29_n197#" 0.29991
+cap "a_n285_n100#" "a_n1635_n197#" 0.160802
+cap "a_n1693_n100#" "a_n1565_n100#" 111.596
+cap "a_611_n100#" "a_99_n100#" 1.29491
+cap "a_n227_n197#" "a_995_n100#" 0.232187
+cap "a_355_n100#" "a_157_n197#" 0.59297
+cap "a_1437_n197#" "a_1053_n197#" 0.666157
+cap "w_n2087_n319#" "a_n99_n197#" 89.2994
+cap "a_n1507_n197#" "a_99_n100#" 0.0126288
+cap "a_541_n197#" "a_n1123_n197#" 6.62611e-05
+cap "a_n1891_n197#" "a_n483_n197#" 0.155017
+cap "a_n541_n100#" "a_n413_n100#" 111.596
+cap "a_925_n197#" "a_1053_n197#" 109.281
+cap "a_n285_n100#" "a_1053_n197#" 0.160802
+cap "a_413_n197#" "a_n227_n197#" 0.585752
+cap "a_n355_n197#" "a_157_n197#" 0.63093
+cap "a_n99_n197#" "a_1565_n197#" 6.62611e-05
+cap "a_285_n197#" "a_1763_n100#" 0.0868821
+cap "w_n2087_n319#" "a_29_n197#" 89.2994
+cap "a_n925_n100#" "a_227_n100#" 0.648334
+cap "a_1891_n100#" "a_1821_n197#" 0.632634
+cap "a_n1763_n197#" "a_n1181_n100#" 0.514034
+cap "a_355_n100#" "a_n1181_n100#" 0.120315
+cap "a_541_n197#" "a_867_n100#" 0.575596
+cap "a_n157_n100#" "a_n1309_n100#" 0.648334
+cap "a_1565_n197#" "a_29_n197#" 0.0672395
+cap "a_n995_n197#" "a_n285_n100#" 0.470815
+cap "a_1123_n100#" "a_1763_n100#" 1.19956
+cap "a_867_n100#" "a_1507_n100#" 1.19956
+cap "a_739_n100#" "a_1635_n100#" 0.953916
+cap "a_n669_n100#" "a_n797_n100#" 111.596
+cap "a_925_n197#" "a_n611_n197#" 0.0672395
+cap "a_n285_n100#" "a_n611_n197#" 0.575596
+cap "a_285_n197#" "a_n1053_n100#" 0.160802
+cap "a_n1053_n100#" "a_n483_n197#" 0.514034
+cap "a_n227_n197#" "a_n1821_n100#" 0.0126288
+cap "a_n1181_n100#" "a_n355_n197#" 0.420172
+cap "a_797_n197#" "a_995_n100#" 0.59297
+cap "a_n157_n100#" "a_n1635_n197#" 0.0868821
+cap "a_n797_n100#" "a_n867_n197#" 0.600992
+cap "a_n1437_n100#" "a_n1507_n197#" 0.600992
+cap "a_n739_n197#" "a_n1379_n197#" 0.585752
+cap "a_1379_n100#" "a_227_n100#" 0.648334
+cap "a_413_n197#" "a_797_n197#" 0.666157
+cap "a_n1763_n197#" "a_n925_n100#" 0.420172
+cap "a_355_n100#" "a_n925_n100#" 0.478951
+cap "a_n541_n100#" "a_157_n197#" 0.470815
+cap "a_541_n197#" "a_n29_n100#" 0.514034
+cap "a_1379_n100#" "a_1309_n197#" 0.600992
+cap "a_n1565_n100#" "a_n1251_n197#" 0.575596
+cap "a_541_n197#" "a_1693_n197#" 0.321893
+cap "a_n157_n100#" "a_1053_n197#" 0.232187
+cap "a_669_n197#" "a_227_n100#" 0.549145
+cap "a_285_n197#" "w_n2087_n319#" 89.3039
+cap "a_1507_n100#" "a_n29_n100#" 0.120315
+cap "a_1693_n197#" "a_1507_n100#" 0.59297
+cap "w_n2087_n319#" "a_n483_n197#" 89.4449
+cap "a_n355_n197#" "a_n925_n100#" 0.514034
+cap "a_n227_n197#" "a_797_n197#" 0.398359
+cap "a_285_n197#" "a_1565_n197#" 0.24035
+cap "a_669_n197#" "a_1309_n197#" 0.585752
+cap "a_n1949_n100#" "a_n1123_n197#" 0.420172
+cap "a_n1123_n197#" "a_n1309_n100#" 0.59297
+cap "a_n995_n197#" "a_n157_n100#" 0.420172
+cap "a_611_n100#" "a_227_n100#" 1.36983
+cap "a_n541_n100#" "a_n1181_n100#" 1.19956
+cap "w_n2087_n319#" "a_1123_n100#" 28.2526
+cap "a_n157_n100#" "a_n611_n197#" 0.549145
+cap "a_n1053_n100#" "a_99_n100#" 0.648334
+cap "a_541_n197#" "a_1181_n197#" 0.585752
+cap "a_355_n100#" "a_1379_n100#" 0.807493
+cap "a_n739_n197#" "a_n99_n197#" 0.585752
+cap "a_483_n100#" "a_n669_n100#" 0.648334
+cap "a_1821_n197#" "a_739_n100#" 0.29991
+cap "a_1565_n197#" "a_1123_n100#" 0.549145
+cap "a_995_n100#" "a_1437_n197#" 0.549145
+cap "a_611_n100#" "a_1309_n197#" 0.470815
+cap "a_n1123_n197#" "a_n1635_n197#" 0.63093
+cap "a_1181_n197#" "a_1507_n100#" 0.575596
+cap "a_995_n100#" "a_925_n197#" 0.600992
+cap "a_n285_n100#" "a_995_n100#" 0.478951
+cap "a_n1693_n100#" "a_n1379_n197#" 0.575596
+cap "a_n1437_n100#" "a_n1891_n197#" 0.549145
+cap "a_483_n100#" "a_n867_n197#" 0.160802
+cap "a_355_n100#" "a_669_n197#" 0.575596
+cap "a_n739_n197#" "a_29_n197#" 0.531337
+cap "a_413_n197#" "a_1437_n197#" 0.398359
+cap "a_413_n197#" "a_925_n197#" 0.63093
+cap "a_413_n197#" "a_n285_n100#" 0.470815
+cap "a_n541_n100#" "a_n925_n100#" 1.36983
+cap "a_669_n197#" "a_n355_n197#" 0.398359
+cap "w_n2087_n319#" "a_99_n100#" 25.0424
+cap "a_355_n100#" "a_611_n100#" 1.42315
+cap "a_157_n197#" "a_n413_n100#" 0.514034
+cap "a_n1507_n197#" "a_n1763_n197#" 0.690878
+cap "a_n1437_n100#" "a_n1053_n100#" 1.36983
+cap "a_n669_n100#" "a_n1565_n100#" 0.953916
+cap "a_1565_n197#" "a_99_n100#" 0.0868821
+cap "a_n227_n197#" "a_1437_n197#" 6.62611e-05
+cap "a_n995_n197#" "a_n1123_n197#" 109.281
+cap "a_285_n197#" "a_1891_n100#" 0.0126288
+cap "a_n1309_n100#" "a_n29_n100#" 0.478951
+cap "a_867_n100#" "a_1053_n197#" 0.59297
+cap "a_n1123_n197#" "a_n611_n197#" 0.63093
+cap "a_n227_n197#" "a_925_n197#" 0.321893
+cap "a_n285_n100#" "a_n1821_n100#" 0.120315
+cap "a_n355_n197#" "a_611_n100#" 0.362902
+cap "a_n227_n197#" "a_n285_n100#" 0.600992
+cap "a_n1565_n100#" "a_n867_n197#" 0.470815
+cap "a_n1507_n197#" "a_n355_n197#" 0.321893
+cap "a_n1693_n100#" "a_n99_n197#" 0.0126288
+cap "a_n99_n197#" "a_739_n100#" 0.420172
+cap "a_n1181_n100#" "a_n413_n100#" 1.0853
+cap "a_1763_n100#" "a_227_n100#" 0.120315
+cap "a_n29_n100#" "a_n1635_n197#" 0.0126288
+cap "a_1891_n100#" "a_1123_n100#" 1.0853
+cap "a_n157_n100#" "a_995_n100#" 0.648334
+cap "a_285_n197#" "a_n739_n197#" 0.398359
+cap "a_541_n197#" "a_n797_n100#" 0.160802
+cap "a_867_n100#" "a_n611_n197#" 0.0868821
+cap "a_n739_n197#" "a_n483_n197#" 0.690878
+cap "a_739_n100#" "a_29_n197#" 0.470815
+cap "a_1309_n197#" "a_1763_n100#" 0.549145
+cap "a_n1437_n100#" "w_n2087_n319#" 28.4191
+cap "a_n1379_n197#" "a_n1251_n197#" 109.281
+cap "a_413_n197#" "a_n157_n100#" 0.514034
+cap "a_669_n197#" "a_n541_n100#" 0.232187
+cap "a_n1053_n100#" "a_227_n100#" 0.478951
+cap "a_797_n197#" "a_1437_n197#" 0.585752
+cap "a_n29_n100#" "a_1053_n197#" 0.29991
+cap "a_1693_n197#" "a_1053_n197#" 0.585752
+cap "a_797_n197#" "a_925_n197#" 109.281
+cap "a_n285_n100#" "a_797_n197#" 0.29991
+cap "a_n1763_n197#" "a_n1891_n197#" 109.281
+cap "a_n541_n100#" "a_611_n100#" 0.648334
+cap "a_n413_n100#" "a_n925_n100#" 1.29491
+cap "a_541_n197#" "a_1251_n100#" 0.470815
+cap "a_n541_n100#" "a_n1507_n197#" 0.362902
+cap "a_n995_n197#" "a_n29_n100#" 0.362902
+cap "a_n227_n197#" "a_n157_n100#" 0.600992
+cap "a_355_n100#" "a_1763_n100#" 0.302014
+cap "a_1251_n100#" "a_1507_n100#" 1.42315
+cap "a_n29_n100#" "a_n611_n197#" 0.514034
+cap "a_n1181_n100#" "a_157_n197#" 0.160802
+cap "a_n355_n197#" "a_n1891_n197#" 0.0672395
+cap "a_1181_n197#" "a_1053_n197#" 109.281
+cap "w_n2087_n319#" "a_227_n100#" 25.0424
+cap "a_n99_n197#" "a_n1251_n197#" 0.321893
+cap "a_n1763_n197#" "a_n1053_n100#" 0.470815
+cap "a_n739_n197#" "a_99_n100#" 0.420172
+cap "a_285_n197#" "a_739_n100#" 0.549145
+cap "a_355_n100#" "a_n1053_n100#" 0.302014
+cap "a_n1693_n100#" "a_n483_n197#" 0.232187
+cap "a_739_n100#" "a_n483_n197#" 0.232187
+cap "a_1565_n197#" "a_227_n100#" 0.160802
+cap "w_n2087_n319#" "a_1309_n197#" 90.7079
+cap "a_413_n197#" "a_n1123_n197#" 0.0672395
+cap "a_541_n197#" "a_483_n100#" 0.600992
+cap "a_29_n197#" "a_n1251_n197#" 0.24035
+cap "a_995_n100#" "a_867_n100#" 111.596
+cap "a_1123_n100#" "a_739_n100#" 1.36983
+cap "a_483_n100#" "a_1507_n100#" 0.807493
+cap "a_1565_n197#" "a_1309_n197#" 0.690878
+cap "a_n355_n197#" "a_n1053_n100#" 0.470815
+cap "a_669_n197#" "a_n413_n100#" 0.29991
+cap "a_n797_n100#" "a_n1309_n100#" 1.29491
+cap "a_n1949_n100#" "a_n797_n100#" 0.648334
+cap "a_1437_n197#" "a_925_n197#" 0.63093
+cap "a_797_n197#" "a_n157_n100#" 0.362902
+cap "a_n669_n100#" "a_n1379_n197#" 0.470815
+cap "a_157_n197#" "a_n925_n100#" 0.29991
+cap "a_n285_n100#" "a_925_n197#" 0.232187
+cap "a_413_n197#" "a_867_n100#" 0.549145
+cap "a_n541_n100#" "a_n1891_n197#" 0.160802
+cap "a_n227_n197#" "a_n1123_n197#" 0.468543
+cap "a_n1123_n197#" "a_n1821_n100#" 0.470815
+cap "a_355_n100#" "w_n2087_n319#" 25.2874
+cap "w_n2087_n319#" "a_n1763_n197#" 89.7871
+cap "a_n1379_n197#" "a_n867_n197#" 0.63093
+cap "a_n797_n100#" "a_n1635_n197#" 0.420172
+cap "a_n413_n100#" "a_611_n100#" 0.807493
+cap "a_n1507_n197#" "a_n413_n100#" 0.29991
+cap "a_n739_n197#" "a_n1437_n100#" 0.470815
+cap "a_355_n100#" "a_1565_n197#" 0.232187
+cap "a_n1181_n100#" "a_n925_n100#" 1.42315
+cap "w_n2087_n319#" "a_n355_n197#" 89.3039
+cap "a_739_n100#" "a_99_n100#" 1.19956
+cap "a_995_n100#" "a_n29_n100#" 0.807493
+cap "a_n227_n197#" "a_867_n100#" 0.29991
+cap "a_1693_n197#" "a_995_n100#" 0.470815
+cap "a_157_n197#" "a_1379_n100#" 0.232187
+cap "a_n541_n100#" "a_n1053_n100#" 1.29491
+cap "a_285_n197#" "a_n1251_n197#" 0.0672395
+cap "a_n483_n197#" "a_n1251_n197#" 0.531337
+cap "a_413_n197#" "a_n29_n100#" 0.549145
+cap "a_n99_n197#" "a_n669_n100#" 0.514034
+cap "a_413_n197#" "a_1693_n197#" 0.24035
+cap "a_669_n197#" "a_157_n197#" 0.63093
+cap "a_n157_n100#" "a_1437_n197#" 0.0126288
+cap "a_1891_n100#" "a_1309_n197#" 0.514034
+cap "a_n995_n197#" "a_n797_n100#" 0.59297
+cap "a_541_n197#" "a_1635_n100#" 0.29991
+cap "a_n99_n197#" "a_n867_n197#" 0.531337
+cap "a_n797_n100#" "a_n611_n197#" 0.59297
+cap "a_1181_n197#" "a_995_n100#" 0.59297
+cap "a_n157_n100#" "a_925_n197#" 0.29991
+cap "a_n669_n100#" "a_29_n197#" 0.470815
+cap "a_n285_n100#" "a_n157_n100#" 111.596
+cap "a_n739_n197#" "a_227_n100#" 0.362902
+cap "a_1507_n100#" "a_1635_n100#" 111.596
+cap "a_157_n197#" "a_611_n100#" 0.549145
+cap "a_1251_n100#" "a_1053_n197#" 0.59297
+cap "a_n227_n197#" "a_n29_n100#" 0.59297
+cap "a_n1507_n197#" "a_157_n197#" 6.62611e-05
+cap "a_n867_n197#" "a_29_n197#" 0.468543
+cap "a_797_n197#" "a_867_n100#" 0.600992
+cap "a_413_n197#" "a_1181_n197#" 0.531337
+cap "a_n1693_n100#" "a_n1437_n100#" 1.42315
+cap "a_n541_n100#" "w_n2087_n319#" 25.7723
+cap "a_n1891_n197#" "a_n413_n100#" 0.0868821
+cap "a_355_n100#" "a_1891_n100#" 0.120315
+cap "a_99_n100#" "a_n1251_n197#" 0.160802
+cap "a_n1507_n197#" "a_n1181_n100#" 0.575596
+cap "a_1181_n197#" "a_n227_n197#" 0.155017
+cap "a_n1565_n100#" "a_n1309_n100#" 1.42315
+cap "a_n1949_n100#" "a_n1565_n100#" 1.36983
+cap "a_483_n100#" "a_1053_n197#" 0.514034
+cap "a_n739_n197#" "a_n1763_n197#" 0.398359
+cap "a_355_n100#" "a_n739_n197#" 0.29991
+cap "a_n1053_n100#" "a_n413_n100#" 1.19956
+cap "a_669_n197#" "a_n925_n100#" 0.0126288
+cap "a_797_n197#" "a_n29_n100#" 0.420172
+cap "a_285_n197#" "a_n669_n100#" 0.362902
+cap "a_1693_n197#" "a_797_n197#" 0.468543
+cap "a_739_n100#" "a_227_n100#" 1.29491
+cap "a_n1123_n197#" "a_n285_n100#" 0.420172
+cap "a_n669_n100#" "a_n483_n197#" 0.59297
+cap "a_n1565_n100#" "a_n1635_n197#" 0.600992
+cap "a_n995_n197#" "a_483_n100#" 0.0868821
+cap "a_n739_n197#" "a_n355_n197#" 0.666157
+cap "a_541_n197#" "a_1821_n197#" 0.24035
+cap "a_285_n197#" "a_n867_n197#" 0.321893
+cap "a_483_n100#" "a_n611_n197#" 0.29991
+cap "a_n483_n197#" "a_n867_n197#" 0.666157
+cap "a_611_n100#" "a_n925_n100#" 0.120315
+cap "a_1821_n197#" "a_1507_n100#" 0.575596
+cap "a_1437_n197#" "a_867_n100#" 0.514034
+cap "a_739_n100#" "a_1309_n197#" 0.514034
+cap "a_867_n100#" "a_925_n197#" 0.600992
+cap "a_n1507_n197#" "a_n925_n100#" 0.514034
+cap "a_n285_n100#" "a_867_n100#" 0.648334
+cap "a_157_n197#" "a_1763_n100#" 0.0126288
+cap "w_n2087_n319#" "a_n413_n100#" 25.2874
+cap "a_n1437_n100#" "a_n1251_n197#" 0.59297
+cap "a_1181_n197#" "a_797_n197#" 0.666157
+cap "a_413_n197#" "a_n797_n100#" 0.232187
+cap "a_669_n197#" "a_1379_n100#" 0.470815
+cap "a_n1181_n100#" "a_n1891_n197#" 0.470815
+cap "a_n1693_n100#" "a_n1763_n197#" 0.600992
+cap "a_355_n100#" "a_739_n100#" 1.36983
+cap "a_n995_n197#" "a_n1565_n100#" 0.514034
+cap "a_n1053_n100#" "a_157_n197#" 0.232187
+cap "a_995_n100#" "a_1251_n100#" 1.42315
+cap "a_611_n100#" "a_1379_n100#" 1.0853
+cap "a_n1565_n100#" "a_n611_n197#" 0.362902
+cap "a_n669_n100#" "a_99_n100#" 1.0853
+cap "a_n797_n100#" "a_n1821_n100#" 0.807493
+cap "a_1437_n197#" "a_n29_n100#" 0.0868821
+cap "a_n541_n100#" "a_n739_n197#" 0.59297
+cap "a_n227_n197#" "a_n797_n100#" 0.514034
+cap "a_1693_n197#" "a_1437_n197#" 0.690878
+cap "a_n1123_n197#" "a_n157_n100#" 0.362902
+cap "a_1635_n100#" "a_1053_n197#" 0.514034
+cap "a_n29_n100#" "a_925_n197#" 0.362902
+cap "a_n1693_n100#" "a_n355_n197#" 0.160802
+cap "a_n285_n100#" "a_n29_n100#" 1.42315
+cap "a_1693_n197#" "a_925_n197#" 0.531337
+cap "a_n355_n197#" "a_739_n100#" 0.29991
+cap "a_541_n197#" "a_n99_n197#" 0.585752
+cap "a_413_n197#" "a_1251_n100#" 0.420172
+cap "a_669_n197#" "a_611_n100#" 0.600992
+cap "a_99_n100#" "a_n867_n197#" 0.362902
+cap "a_n1181_n100#" "a_n1053_n100#" 111.596
+cap "a_n1251_n197#" "a_227_n100#" 0.0868821
+cap "a_n99_n197#" "a_1507_n100#" 0.0126288
+cap "a_541_n197#" "a_29_n197#" 0.63093
+cap "a_n157_n100#" "a_867_n100#" 0.807493
+cap "a_n1891_n197#" "a_n925_n100#" 0.362902
+cap "w_n2087_n319#" "a_157_n197#" 89.2994
+cap "a_483_n100#" "a_995_n100#" 1.29491
+cap "a_1181_n197#" "a_1437_n197#" 0.690878
+cap "a_1507_n100#" "a_29_n197#" 0.0868821
+cap "a_n227_n197#" "a_1251_n100#" 0.0868821
+cap "a_1181_n197#" "a_925_n197#" 0.690878
+cap "a_157_n197#" "a_1565_n197#" 0.155017
+cap "a_1181_n197#" "a_n285_n100#" 0.0868821
+cap "a_n1949_n100#" "a_n1379_n197#" 0.514034
+cap "a_n1309_n100#" "a_n1379_n197#" 0.600992
+cap "a_413_n197#" "a_483_n100#" 0.600992
+cap "a_797_n197#" "a_n797_n100#" 0.0126288
+cap "a_n1437_n100#" "a_n669_n100#" 1.0853
+cap "w_n2087_n319#" "a_n1181_n100#" 28.2526
+cap "a_n1053_n100#" "a_n925_n100#" 111.596
+cap "a_n1693_n100#" "a_n541_n100#" 0.648334
+cap "a_n541_n100#" "a_739_n100#" 0.478951
+cap "a_n1763_n197#" "a_n1251_n197#" 0.63093
+cap "a_n1635_n197#" "a_n1379_n197#" 0.690878
+cap "a_355_n100#" "a_n1251_n197#" 0.0126288
+cap "a_n1437_n100#" "a_n867_n197#" 0.514034
+cap "a_n157_n100#" "a_n29_n100#" 111.596
+cap "a_1379_n100#" "a_1763_n100#" 1.36983
+cap "a_n739_n197#" "a_n413_n100#" 0.575596
+cap "a_n227_n197#" "a_483_n100#" 0.470815
+cap "a_1821_n197#" "a_1053_n197#" 0.531337
+cap "a_n355_n197#" "a_n1251_n197#" 0.468543
+cap "a_285_n197#" "a_541_n197#" 0.690878
+cap "a_797_n197#" "a_1251_n100#" 0.549145
+cap "a_541_n197#" "a_n483_n197#" 0.398359
+cap "a_285_n197#" "a_1507_n100#" 0.232187
+cap "a_669_n197#" "a_1763_n100#" 0.29991
+cap "a_n669_n100#" "a_227_n100#" 0.953916
+cap "a_n99_n197#" "a_n1309_n100#" 0.232187
+cap "w_n2087_n319#" "a_n925_n100#" 27.5285
+cap "a_1181_n197#" "a_n157_n100#" 0.160802
+cap "a_541_n197#" "a_1123_n100#" 0.514034
+cap "a_n1507_n197#" "a_n1891_n197#" 0.666157
+cap "a_n995_n197#" "a_n1379_n197#" 0.666157
+cap "a_n867_n197#" "a_227_n100#" 0.29991
+cap "a_1123_n100#" "a_1507_n100#" 1.36983
+cap "a_995_n100#" "a_1635_n100#" 1.19956
+cap "a_611_n100#" "a_1763_n100#" 0.648334
+cap "a_n611_n197#" "a_n1379_n197#" 0.531337
+cap "a_n1309_n100#" "a_29_n197#" 0.160802
+cap "a_n99_n197#" "a_n1635_n197#" 0.0672395
+cap "a_n1565_n100#" "a_n1821_n100#" 1.42315
+cap "a_n227_n197#" "a_n1565_n100#" 0.160802
+cap "a_n285_n100#" "a_n797_n100#" 1.29491
+cap "a_797_n197#" "a_483_n100#" 0.575596
+cap "a_413_n197#" "a_1635_n100#" 0.232187
+cap "a_n1635_n197#" "a_29_n197#" 6.62611e-05
+cap "a_n1123_n197#" "a_n29_n100#" 0.29991
+cap "a_n739_n197#" "a_157_n197#" 0.468543
+cap "a_n413_n100#" "a_739_n100#" 0.648334
+cap "a_n1693_n100#" "a_n413_n100#" 0.478951
+cap "w_n2087_n319#" "a_1379_n100#" 28.4191
+cap "a_n541_n100#" "a_n1251_n197#" 0.470815
+cap "a_n1507_n197#" "a_n1053_n100#" 0.549145
+cap "a_n99_n197#" "a_1053_n197#" 0.321893
+cap "a_355_n100#" "a_n669_n100#" 0.807493
+cap "a_n1763_n197#" "a_n669_n100#" 0.29991
+cap "a_541_n197#" "a_99_n100#" 0.549145
+cap "a_1565_n197#" "a_1379_n100#" 0.59297
+cap "a_1251_n100#" "a_1437_n197#" 0.59297
+cap "a_669_n197#" "w_n2087_n319#" 90.2492
+cap "a_1507_n100#" "a_99_n100#" 0.302014
+cap "a_867_n100#" "a_n29_n100#" 0.953916
+cap "a_1693_n197#" "a_867_n100#" 0.420172
+cap "a_1251_n100#" "a_925_n197#" 0.575596
+cap "a_n285_n100#" "a_1251_n100#" 0.120315
+cap "a_n1763_n197#" "a_n867_n197#" 0.468543
+cap "a_1053_n197#" "a_29_n197#" 0.398359
+cap "a_n995_n197#" "a_n99_n197#" 0.468543
+cap "a_355_n100#" "a_n867_n197#" 0.232187
+cap "a_n739_n197#" "a_n1181_n100#" 0.549145
+cap "a_n99_n197#" "a_n611_n197#" 0.63093
+cap "a_n355_n197#" "a_n669_n100#" 0.575596
+cap "a_669_n197#" "a_1565_n197#" 0.468543
+cap "a_285_n197#" "a_n1309_n100#" 0.0126288
+cap "w_n2087_n319#" "a_611_n100#" 26.4133
+cap "a_n995_n197#" "a_29_n197#" 0.398359
+cap "a_n355_n197#" "a_n867_n197#" 0.63093
+cap "a_n1949_n100#" "a_n483_n197#" 0.0868821
+cap "a_n1309_n100#" "a_n483_n197#" 0.420172
+cap "a_n1507_n197#" "w_n2087_n319#" 90.5001
+cap "a_n157_n100#" "a_n797_n100#" 1.19956
+cap "a_n611_n197#" "a_29_n197#" 0.585752
+cap "a_1821_n197#" "a_995_n100#" 0.420172
+cap "a_611_n100#" "a_1565_n197#" 0.362902
+cap "a_483_n100#" "a_1437_n197#" 0.362902
+cap "a_1181_n197#" "a_867_n100#" 0.575596
+cap "a_483_n100#" "a_925_n197#" 0.549145
+cap "a_n285_n100#" "a_483_n100#" 1.0853
+cap "a_n483_n197#" "a_n1635_n197#" 0.321893
+cap "a_157_n197#" "a_739_n100#" 0.514034
+cap "a_413_n197#" "a_1821_n197#" 0.155017
+cap "a_797_n197#" "a_1635_n100#" 0.420172
+cap "a_n1053_n100#" "a_n1891_n197#" 0.420172
+cap "a_n739_n197#" "a_n925_n100#" 0.59297
+cap "a_n413_n100#" "a_n1251_n197#" 0.420172
+cap "a_285_n197#" "a_1053_n197#" 0.531337
+cap "a_n541_n100#" "a_n669_n100#" 111.596
+cap "a_1891_n100#" "a_1379_n100#" 1.29491
+cap "a_n157_n100#" "a_1251_n100#" 0.302014
+cap "a_n1693_n100#" "a_n1181_n100#" 1.29491
+cap "a_n483_n197#" "a_1053_n197#" 0.0672395
+cap "a_n541_n100#" "a_n867_n197#" 0.575596
+cap "a_1181_n197#" "a_n29_n100#" 0.232187
+cap "a_1181_n197#" "a_1693_n197#" 0.63093
+cap "a_n995_n197#" "a_285_n197#" 0.24035
+cap "a_669_n197#" "a_1891_n100#" 0.232187
+cap "a_99_n100#" "a_n1309_n100#" 0.302014
+cap "a_1123_n100#" "a_1053_n197#" 0.600992
+cap "a_n285_n100#" "a_n1565_n100#" 0.478951
+cap "a_n227_n197#" "a_n1379_n197#" 0.321893
+cap "a_n1821_n100#" "a_n1379_n197#" 0.549145
+cap "a_285_n197#" "a_n611_n197#" 0.468543
+cap "a_n995_n197#" "a_n483_n197#" 0.63093
+cap "a_n1123_n197#" "a_n797_n100#" 0.575596
+cap "a_n483_n197#" "a_n611_n197#" 109.281
+cap "w_n2087_n319#" "a_n1891_n197#" 115.954
+cap "a_541_n197#" "a_227_n100#" 0.575596
+cap "a_n99_n197#" "a_995_n100#" 0.29991
+cap "a_1507_n100#" "a_227_n100#" 0.478951
+cap "w_n2087_n319#" "a_1763_n100#" 27.4034
+cap "a_1891_n100#" "a_611_n100#" 0.478951
+cap "a_n157_n100#" "a_483_n100#" 1.19956
+cap "a_669_n197#" "a_n739_n197#" 0.155017
+cap "a_541_n197#" "a_1309_n197#" 0.531337
+cap "a_413_n197#" "a_n99_n197#" 0.63093
+cap "a_995_n100#" "a_29_n197#" 0.362902
+cap "a_n1693_n100#" "a_n925_n100#" 1.0853
+cap "a_1565_n197#" "a_1763_n100#" 0.59297
+cap "a_1437_n197#" "a_1635_n100#" 0.59297
+cap "a_1309_n197#" "a_1507_n100#" 0.59297
+cap "a_157_n197#" "a_n1251_n197#" 0.155017
+cap "a_1635_n100#" "a_925_n197#" 0.470815
+cap "w_n2087_n319#" "a_n1053_n100#" 27.951
+cap "a_n739_n197#" "a_611_n100#" 0.160802
+cap "a_413_n197#" "a_29_n197#" 0.666157
+cap "a_797_n197#" "a_1821_n197#" 0.398359
+cap "a_99_n100#" "a_1053_n197#" 0.362902
+cap "a_n739_n197#" "a_n1507_n197#" 0.531337
+cap "a_n1437_n100#" "a_n1309_n100#" 111.596
+cap "a_n1437_n100#" "a_n1949_n100#" 1.29491
+cap "a_n227_n197#" "a_n99_n197#" 109.281
+cap "a_n413_n100#" "a_n669_n100#" 1.42315
+cap "a_355_n100#" "a_541_n197#" 0.59297
+cap "a_n1181_n100#" "a_n1251_n197#" 0.600992
+cap "a_355_n100#" "a_1507_n100#" 0.648334
+cap "a_n157_n100#" "a_n1565_n100#" 0.302014
+cap "a_n995_n197#" "a_99_n100#" 0.29991
+cap "a_99_n100#" "a_n611_n197#" 0.470815
+cap "a_1251_n100#" "a_867_n100#" 1.36983
+cap "a_739_n100#" "a_1379_n100#" 1.19956
+cap "a_n1437_n100#" "a_n1635_n197#" 0.59297
+cap "a_n413_n100#" "a_n867_n197#" 0.549145
+cap "a_n227_n197#" "a_29_n197#" 0.690878
+cap "a_n797_n100#" "a_n29_n100#" 1.0853
+cap "a_541_n197#" "a_n355_n197#" 0.468543
+cap "a_n1123_n197#" "a_483_n100#" 0.0126288
+cap "a_285_n197#" "a_995_n100#" 0.470815
+cap "a_669_n197#" "a_739_n100#" 0.600992
+cap "a_995_n100#" "a_n483_n197#" 0.0868821
+cap "w_n2087_n319#" "a_1565_n197#" 90.192
+cap "a_n1309_n100#" "a_227_n100#" 0.120315
+cap "a_285_n197#" "a_413_n197#" 109.281
+cap "a_1891_n100#" "a_1763_n100#" 111.596
+cap "a_n925_n100#" "a_n1251_n197#" 0.575596
+cap "a_n99_n197#" "a_797_n197#" 0.468543
+cap "a_995_n100#" "a_1123_n100#" 111.596
+cap "a_611_n100#" "a_739_n100#" 111.596
+cap "a_483_n100#" "a_867_n100#" 1.36983
+cap "a_1821_n197#" "a_1437_n197#" 0.666157
+cap "a_413_n197#" "a_n483_n197#" 0.468543
+cap "a_n1693_n100#" "a_n1507_n197#" 0.59297
+cap "a_1251_n100#" "a_n29_n100#" 0.478951
+cap "a_1693_n197#" "a_1251_n100#" 0.549145
+cap "a_1821_n197#" "a_925_n197#" 0.468543
+cap "a_n739_n197#" "a_n1891_n197#" 0.321893
+cap "a_n995_n197#" "a_n1437_n100#" 0.549145
+cap "a_157_n197#" "a_n669_n100#" 0.420172
+cap "a_n1437_n100#" "a_n611_n197#" 0.420172
+cap "a_797_n197#" "a_29_n197#" 0.531337
+cap "a_413_n197#" "a_1123_n100#" 0.470815
+cap "a_n285_n100#" "a_n1379_n197#" 0.29991
+cap "a_n1123_n197#" "a_n1565_n100#" 0.549145
+cap "a_157_n197#" "a_n867_n197#" 0.398359
+cap "a_285_n197#" "a_n227_n197#" 0.63093
+cap "a_541_n197#" "a_n541_n100#" 0.29991
+cap "a_n227_n197#" "a_n483_n197#" 0.690878
+cap "a_n1821_n100#" "a_n483_n197#" 0.160802
+cap "a_1053_n197#" "a_227_n100#" 0.420172
+cap "a_n1181_n100#" "a_n669_n100#" 1.29491
+cap "a_n1763_n197#" "a_n1949_n100#" 0.59297
+cap "a_1181_n197#" "a_1251_n100#" 0.600992
+cap "a_n1763_n197#" "a_n1309_n100#" 0.549145
+cap "a_n739_n197#" "a_n1053_n100#" 0.575596
+cap "a_n227_n197#" "a_1123_n100#" 0.160802
+cap "a_995_n100#" "a_99_n100#" 0.953916
+cap "a_483_n100#" "a_n29_n100#" 1.29491
+cap "a_1693_n197#" "a_483_n100#" 0.232187
+cap "a_1309_n197#" "a_1053_n197#" 0.690878
+cap "a_n1181_n100#" "a_n867_n197#" 0.575596
+cap "a_n995_n197#" "a_227_n100#" 0.232187
+cap "w_n2087_n319#" "a_1891_n100#" 61.2872
+cap "a_n1763_n197#" "a_n1635_n197#" 109.281
+cap "a_n1949_n100#" "a_n355_n197#" 0.0126288
+cap "a_n355_n197#" "a_n1309_n100#" 0.362902
+cap "a_n611_n197#" "a_227_n100#" 0.420172
+cap "a_413_n197#" "a_99_n100#" 0.575596
+cap "a_n99_n197#" "a_1437_n197#" 0.0672395
+cap "a_n1693_n100#" "a_n1891_n197#" 0.59297
+cap "a_n99_n197#" "a_925_n197#" 0.398359
+cap "a_n99_n197#" "a_n285_n100#" 0.59297
+cap "a_1891_n100#" "a_1565_n197#" 0.575596
+cap "a_285_n197#" "a_797_n197#" 0.63093
+cap "a_n355_n197#" "a_n1635_n197#" 0.24035
+cap "a_1181_n197#" "a_483_n100#" 0.470815
+cap "a_797_n197#" "a_n483_n197#" 0.24035
+cap "a_1437_n197#" "a_29_n197#" 0.155017
+cap "a_n1507_n197#" "a_n1251_n197#" 0.690878
+cap "a_n157_n100#" "a_n1379_n197#" 0.232187
+cap "a_867_n100#" "a_1635_n100#" 1.0853
+cap "a_739_n100#" "a_1763_n100#" 0.807493
+cap "a_n669_n100#" "a_n925_n100#" 1.42315
+cap "a_n739_n197#" "w_n2087_n319#" 90.2492
+cap "a_355_n100#" "a_1053_n197#" 0.470815
+cap "a_925_n197#" "a_29_n197#" 0.468543
+cap "a_n227_n197#" "a_99_n100#" 0.575596
+cap "a_n285_n100#" "a_29_n197#" 0.575596
+cap "a_n1565_n100#" "a_n29_n100#" 0.120315
+cap "a_797_n197#" "a_1123_n100#" 0.575596
+cap "a_n925_n100#" "a_n867_n197#" 0.600992
 device msubckt sky130_fd_pr__pfet_01v8_lvt 1821 -100 1822 -99 l=70 w=200 "w_n2087_n319#" "a_1821_n197#" 140 0 "a_1763_n100#" 200 0 "a_1891_n100#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt 1693 -100 1694 -99 l=70 w=200 "w_n2087_n319#" "a_1693_n197#" 140 0 "a_1635_n100#" 200 0 "a_1763_n100#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt 1565 -100 1566 -99 l=70 w=200 "w_n2087_n319#" "a_1565_n197#" 140 0 "a_1507_n100#" 200 0 "a_1635_n100#" 200 0
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4.ext b/mag/sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4.ext
index b058489..ca595c0 100644
--- a/mag/sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4.ext
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4.ext
@@ -5,150 +5,260 @@
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
 parameters sky130_fd_pr__pfet_01v8_lvt l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_611_n100#" 758 0 611 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_483_n100#" 758 0 483 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_355_n100#" 758 0 355 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_227_n100#" 758 0 227 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_99_n100#" 758 0 99 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n29_n100#" 758 0 -29 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n157_n100#" 758 0 -157 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n285_n100#" 758 0 -285 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n413_n100#" 758 0 -413 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n541_n100#" 758 0 -541 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n669_n100#" 758 0 -669 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_541_n197#" 361 0 541 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_413_n197#" 361 0 413 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_285_n197#" 361 0 285 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_157_n197#" 361 0 157 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_29_n197#" 361 0 29 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n99_n197#" 361 0 -99 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n227_n197#" 361 0 -227 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n355_n197#" 361 0 -355 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n483_n197#" 361 0 -483 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "a_n611_n197#" 361 0 -611 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
-node "w_n807_n319#" 18073 3089.2 -807 -319 nw 0 0 0 0 1029732 4504 0 0 138720 8160 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 138720 8160 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_611_n100#" 758 48.0481 611 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_483_n100#" 758 17.1268 483 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_355_n100#" 758 15.6142 355 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_227_n100#" 758 14.5083 227 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_99_n100#" 758 13.8345 99 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n29_n100#" 758 13.6081 -29 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n157_n100#" 758 13.8345 -157 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n285_n100#" 758 14.5083 -285 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n413_n100#" 758 15.6142 -413 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n541_n100#" 758 17.1268 -541 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_n669_n100#" 758 48.0481 -669 -100 pdif 0 0 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
+node "a_541_n197#" 361 141.049 541 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_413_n197#" 361 85.954 413 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_285_n197#" 361 85.4809 285 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_157_n197#" 361 85.1604 157 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_29_n197#" 361 84.9986 29 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n99_n197#" 361 84.9986 -99 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n227_n197#" 361 85.1604 -227 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n355_n197#" 361 85.4809 -355 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n483_n197#" 361 85.954 -483 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "a_n611_n197#" 361 141.049 -611 -197 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27580 928 0 0 4760 416 5704 432 0 0 0 0 0 0 0 0 0 0
+node "w_n807_n319#" 18073 3995.92 -807 -319 nw 0 0 0 0 1029732 4504 0 0 138720 8160 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 138720 8160 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n29_n100#" "a_227_n100#" 61.3771
-cap "a_413_n197#" "a_n611_n197#" 8.98173
-cap "a_n483_n197#" "a_413_n197#" 10.3683
-cap "a_157_n197#" "a_n611_n197#" 12.2612
-cap "a_227_n100#" "a_n157_n100#" 38.4743
-cap "a_355_n100#" "a_n413_n100#" 18.1562
-cap "a_n227_n197#" "a_n355_n197#" 141.126
-cap "a_157_n197#" "a_n483_n197#" 14.9997
-cap "a_99_n100#" "a_n541_n100#" 22.0348
-cap "a_285_n197#" "a_n227_n197#" 19.3132
-cap "a_157_n197#" "a_413_n197#" 45.4667
-cap "a_n99_n197#" "a_n611_n197#" 19.3132
-cap "a_n227_n197#" "a_541_n197#" 12.2612
-cap "a_n483_n197#" "a_n99_n197#" 27.1097
-cap "a_483_n100#" "a_n285_n100#" 18.1562
-cap "a_n99_n197#" "a_413_n197#" 19.3132
-cap "a_483_n100#" "a_227_n100#" 61.3771
-cap "a_611_n100#" "a_99_n100#" 28.021
-cap "a_157_n197#" "a_n99_n197#" 45.4667
-cap "a_99_n100#" "a_n669_n100#" 18.1562
-cap "a_611_n100#" "a_n541_n100#" 11.882
-cap "a_n541_n100#" "a_n669_n100#" 151.957
-cap "w_n807_n319#" "a_99_n100#" 37.9642
-cap "w_n807_n319#" "a_n541_n100#" 54.6148
-cap "a_n413_n100#" "a_n285_n100#" 151.957
-cap "a_n227_n197#" "a_n611_n197#" 27.1097
-cap "a_n29_n100#" "a_99_n100#" 151.957
-cap "a_611_n100#" "a_n669_n100#" 10.6547
-cap "a_n483_n197#" "a_n227_n197#" 45.4667
-cap "a_99_n100#" "a_n157_n100#" 61.3771
-cap "a_227_n100#" "a_n413_n100#" 22.0348
-cap "a_n29_n100#" "a_n541_n100#" 28.021
-cap "a_n157_n100#" "a_n541_n100#" 38.4743
-cap "a_n227_n197#" "a_413_n197#" 14.9997
-cap "a_157_n197#" "a_n227_n197#" 27.1097
-cap "w_n807_n319#" "a_611_n100#" 97.5746
-cap "w_n807_n319#" "a_n669_n100#" 99.0076
-cap "a_355_n100#" "a_n285_n100#" 22.0348
-cap "a_n227_n197#" "a_n99_n197#" 141.126
-cap "a_n29_n100#" "a_611_n100#" 22.0348
-cap "a_355_n100#" "a_227_n100#" 151.957
-cap "a_483_n100#" "a_99_n100#" 38.4743
-cap "a_611_n100#" "a_n157_n100#" 18.1562
-cap "a_n29_n100#" "a_n669_n100#" 22.0348
-cap "a_n157_n100#" "a_n669_n100#" 28.021
-cap "a_483_n100#" "a_n541_n100#" 13.4288
-cap "w_n807_n319#" "a_29_n197#" 185.782
-cap "w_n807_n319#" "a_n29_n100#" 37.5997
-cap "w_n807_n319#" "a_n157_n100#" 38.2745
-cap "a_611_n100#" "a_483_n100#" 151.957
-cap "a_n29_n100#" "a_n157_n100#" 151.957
-cap "a_483_n100#" "a_n669_n100#" 11.882
-cap "a_99_n100#" "a_n413_n100#" 28.021
-cap "w_n807_n319#" "a_n355_n197#" 206.816
-cap "a_n355_n197#" "a_29_n197#" 27.1097
-cap "a_n413_n100#" "a_n541_n100#" 151.957
-cap "a_285_n197#" "w_n807_n319#" 171.391
-cap "a_285_n197#" "a_29_n197#" 45.4667
-cap "w_n807_n319#" "a_483_n100#" 53.422
-cap "w_n807_n319#" "a_541_n197#" 220.684
-cap "a_29_n197#" "a_541_n197#" 19.3132
-cap "a_227_n100#" "a_n285_n100#" 28.021
-cap "a_n29_n100#" "a_483_n100#" 28.021
-cap "a_355_n100#" "a_99_n100#" 61.3771
-cap "a_483_n100#" "a_n157_n100#" 22.0348
-cap "a_611_n100#" "a_n413_n100#" 13.4288
-cap "a_n413_n100#" "a_n669_n100#" 61.3771
-cap "a_355_n100#" "a_n541_n100#" 15.4387
-cap "a_285_n197#" "a_n355_n197#" 14.9997
-cap "a_n355_n197#" "a_541_n197#" 10.3683
-cap "w_n807_n319#" "a_n413_n100#" 44.4121
-cap "a_285_n197#" "a_541_n197#" 45.4667
-cap "w_n807_n319#" "a_n611_n197#" 277.29
-cap "a_611_n100#" "a_355_n100#" 61.3771
-cap "w_n807_n319#" "a_n483_n197#" 214.184
-cap "a_29_n197#" "a_n611_n197#" 14.9997
-cap "a_n29_n100#" "a_n413_n100#" 38.4743
-cap "a_355_n100#" "a_n669_n100#" 13.4288
-cap "a_n483_n197#" "a_29_n197#" 19.3132
-cap "a_n157_n100#" "a_n413_n100#" 61.3771
-cap "w_n807_n319#" "a_413_n197#" 165.375
-cap "a_29_n197#" "a_413_n197#" 27.1097
-cap "w_n807_n319#" "a_157_n197#" 178.479
-cap "a_157_n197#" "a_29_n197#" 141.126
-cap "w_n807_n319#" "a_355_n100#" 43.5006
-cap "a_n355_n197#" "a_n611_n197#" 45.4667
-cap "a_99_n100#" "a_n285_n100#" 38.4743
-cap "a_n483_n197#" "a_n355_n197#" 141.126
-cap "w_n807_n319#" "a_n99_n197#" 192.98
-cap "a_n29_n100#" "a_355_n100#" 38.4743
-cap "a_n285_n100#" "a_n541_n100#" 61.3771
-cap "a_n99_n197#" "a_29_n197#" 141.126
-cap "a_227_n100#" "a_99_n100#" 151.957
-cap "a_355_n100#" "a_n157_n100#" 28.021
-cap "a_483_n100#" "a_n413_n100#" 15.4387
-cap "a_285_n197#" "a_n611_n197#" 10.3683
-cap "a_285_n197#" "a_n483_n197#" 12.2612
-cap "a_n355_n197#" "a_413_n197#" 12.2612
-cap "a_227_n100#" "a_n541_n100#" 18.1562
-cap "a_157_n197#" "a_n355_n197#" 19.3132
-cap "a_n611_n197#" "a_541_n197#" 7.92226
-cap "a_285_n197#" "a_413_n197#" 141.126
-cap "a_n483_n197#" "a_541_n197#" 8.98173
-cap "a_285_n197#" "a_157_n197#" 141.126
-cap "a_413_n197#" "a_541_n197#" 141.126
-cap "a_611_n100#" "a_n285_n100#" 15.4387
-cap "a_157_n197#" "a_541_n197#" 27.1097
-cap "a_n99_n197#" "a_n355_n197#" 45.4667
-cap "a_n285_n100#" "a_n669_n100#" 38.4743
-cap "a_483_n100#" "a_355_n100#" 151.957
-cap "a_611_n100#" "a_227_n100#" 38.4743
-cap "a_285_n197#" "a_n99_n197#" 27.1097
-cap "a_227_n100#" "a_n669_n100#" 15.4387
-cap "w_n807_n319#" "a_n227_n197#" 199.96
-cap "a_n227_n197#" "a_29_n197#" 45.4667
-cap "a_n99_n197#" "a_541_n197#" 14.9997
-cap "w_n807_n319#" "a_n285_n100#" 40.2155
-cap "w_n807_n319#" "a_227_n100#" 39.5997
-cap "a_n483_n197#" "a_n611_n197#" 141.126
-cap "a_n29_n100#" "a_n285_n100#" 61.3771
-cap "a_n157_n100#" "a_n285_n100#" 151.957
+cap "a_n413_n100#" "a_355_n100#" 1.0853
+cap "a_355_n100#" "w_n807_n319#" 30.4049
+cap "a_n483_n197#" "a_413_n197#" 0.468543
+cap "a_n413_n100#" "a_n483_n197#" 0.600992
+cap "a_n483_n197#" "w_n807_n319#" 90.9943
+cap "a_n29_n100#" "a_285_n197#" 0.575596
+cap "a_483_n100#" "a_n669_n100#" 0.648334
+cap "a_n285_n100#" "a_29_n197#" 0.575596
+cap "a_285_n197#" "a_n611_n197#" 0.468543
+cap "a_227_n100#" "a_541_n197#" 0.575596
+cap "a_n541_n100#" "a_n227_n197#" 0.575596
+cap "a_99_n100#" "a_355_n100#" 1.42315
+cap "a_n541_n100#" "a_n99_n197#" 0.549145
+cap "a_611_n100#" "a_n669_n100#" 0.478951
+cap "a_99_n100#" "a_n483_n197#" 0.514034
+cap "a_355_n100#" "a_n355_n197#" 0.470815
+cap "a_483_n100#" "a_29_n197#" 0.549145
+cap "a_n355_n197#" "a_n483_n197#" 109.281
+cap "a_n29_n100#" "a_n541_n100#" 1.29491
+cap "a_n541_n100#" "a_n611_n197#" 0.600992
+cap "a_n285_n100#" "a_227_n100#" 1.29491
+cap "a_355_n100#" "a_157_n197#" 0.59297
+cap "a_541_n197#" "a_413_n197#" 109.281
+cap "a_n157_n100#" "a_n227_n197#" 0.600992
+cap "a_n483_n197#" "a_157_n197#" 0.585752
+cap "a_n413_n100#" "a_541_n197#" 0.362902
+cap "a_611_n100#" "a_29_n197#" 0.514034
+cap "a_n157_n100#" "a_n99_n197#" 0.600992
+cap "a_541_n197#" "w_n807_n319#" 116.881
+cap "a_355_n100#" "a_285_n197#" 0.600992
+cap "a_n29_n100#" "a_n157_n100#" 111.596
+cap "a_n483_n197#" "a_285_n197#" 0.531337
+cap "a_227_n100#" "a_483_n100#" 1.42315
+cap "a_n157_n100#" "a_n611_n197#" 0.549145
+cap "a_99_n100#" "a_541_n197#" 0.549145
+cap "a_n285_n100#" "a_413_n197#" 0.470815
+cap "a_n413_n100#" "a_n285_n100#" 111.596
+cap "a_n285_n100#" "w_n807_n319#" 31.1651
+cap "a_n355_n197#" "a_541_n197#" 0.468543
+cap "a_227_n100#" "a_611_n100#" 1.36983
+cap "a_355_n100#" "a_n541_n100#" 0.953916
+cap "a_541_n197#" "a_157_n197#" 0.666157
+cap "a_n541_n100#" "a_n483_n197#" 0.600992
+cap "a_483_n100#" "a_413_n197#" 0.600992
+cap "a_n413_n100#" "a_483_n100#" 0.953916
+cap "a_n285_n100#" "a_99_n100#" 1.36983
+cap "a_483_n100#" "w_n807_n319#" 29.3686
+cap "a_29_n197#" "a_n669_n100#" 0.470815
+cap "a_541_n197#" "a_285_n197#" 0.690878
+cap "a_n285_n100#" "a_n355_n197#" 0.600992
+cap "a_n157_n100#" "a_355_n100#" 1.29491
+cap "a_611_n100#" "a_413_n197#" 0.59297
+cap "a_n157_n100#" "a_n483_n197#" 0.575596
+cap "a_n413_n100#" "a_611_n100#" 0.807493
+cap "a_611_n100#" "w_n807_n319#" 62.6581
+cap "a_n285_n100#" "a_157_n197#" 0.549145
+cap "a_99_n100#" "a_483_n100#" 1.36983
+cap "a_n355_n197#" "a_483_n100#" 0.420172
+cap "a_n541_n100#" "a_541_n197#" 0.29991
+cap "a_n285_n100#" "a_285_n197#" 0.514034
+cap "a_n227_n197#" "a_n99_n197#" 109.281
+cap "a_227_n100#" "a_n669_n100#" 0.953916
+cap "a_99_n100#" "a_611_n100#" 1.29491
+cap "a_157_n197#" "a_483_n100#" 0.575596
+cap "a_611_n100#" "a_n355_n197#" 0.362902
+cap "a_n29_n100#" "a_n227_n197#" 0.59297
+cap "a_n227_n197#" "a_n611_n197#" 0.666157
+cap "a_n29_n100#" "a_n99_n197#" 0.600992
+cap "a_n611_n197#" "a_n99_n197#" 0.63093
+cap "a_483_n100#" "a_285_n197#" 0.59297
+cap "a_611_n100#" "a_157_n197#" 0.549145
+cap "a_n157_n100#" "a_541_n197#" 0.470815
+cap "a_227_n100#" "a_29_n197#" 0.59297
+cap "a_n285_n100#" "a_n541_n100#" 1.42315
+cap "a_n29_n100#" "a_n611_n197#" 0.514034
+cap "a_413_n197#" "a_n669_n100#" 0.29991
+cap "a_n413_n100#" "a_n669_n100#" 1.42315
+cap "w_n807_n319#" "a_n669_n100#" 85.3247
+cap "a_611_n100#" "a_285_n197#" 0.575596
+cap "a_n541_n100#" "a_483_n100#" 0.807493
+cap "a_n285_n100#" "a_n157_n100#" 111.596
+cap "a_413_n197#" "a_29_n197#" 0.666157
+cap "a_n413_n100#" "a_29_n197#" 0.549145
+cap "w_n807_n319#" "a_29_n197#" 92.1953
+cap "a_99_n100#" "a_n669_n100#" 1.0853
+cap "a_355_n100#" "a_n227_n197#" 0.514034
+cap "a_355_n100#" "a_n99_n197#" 0.549145
+cap "a_n483_n197#" "a_n227_n197#" 0.690878
+cap "a_611_n100#" "a_n541_n100#" 0.648334
+cap "a_n355_n197#" "a_n669_n100#" 0.575596
+cap "a_n483_n197#" "a_n99_n197#" 0.666157
+cap "a_n157_n100#" "a_483_n100#" 1.19956
+cap "a_157_n197#" "a_n669_n100#" 0.420172
+cap "a_n29_n100#" "a_355_n100#" 1.36983
+cap "a_355_n100#" "a_n611_n197#" 0.362902
+cap "a_99_n100#" "a_29_n197#" 0.600992
+cap "a_n29_n100#" "a_n483_n197#" 0.549145
+cap "a_n483_n197#" "a_n611_n197#" 109.281
+cap "a_227_n100#" "a_413_n197#" 0.59297
+cap "a_n413_n100#" "a_227_n100#" 1.19956
+cap "a_n355_n197#" "a_29_n197#" 0.666157
+cap "a_n157_n100#" "a_611_n100#" 1.0853
+cap "a_227_n100#" "w_n807_n319#" 31.1651
+cap "a_285_n197#" "a_n669_n100#" 0.362902
+cap "a_157_n197#" "a_29_n197#" 109.281
+cap "a_541_n197#" "a_n227_n197#" 0.531337
+cap "a_227_n100#" "a_99_n100#" 111.596
+cap "a_541_n197#" "a_n99_n197#" 0.585752
+cap "a_285_n197#" "a_29_n197#" 0.690878
+cap "a_n413_n100#" "a_413_n197#" 0.420172
+cap "a_227_n100#" "a_n355_n197#" 0.514034
+cap "w_n807_n319#" "a_413_n197#" 90.9943
+cap "a_n541_n100#" "a_n669_n100#" 111.596
+cap "a_n413_n100#" "w_n807_n319#" 30.4049
+cap "a_n29_n100#" "a_541_n197#" 0.514034
+cap "a_541_n197#" "a_n611_n197#" 0.321893
+cap "a_227_n100#" "a_157_n197#" 0.600992
+cap "a_355_n100#" "a_n483_n197#" 0.420172
+cap "a_n285_n100#" "a_n227_n197#" 0.600992
+cap "a_n285_n100#" "a_n99_n197#" 0.59297
+cap "a_99_n100#" "a_413_n197#" 0.575596
+cap "a_n157_n100#" "a_n669_n100#" 1.29491
+cap "a_227_n100#" "a_285_n197#" 0.600992
+cap "a_n541_n100#" "a_29_n197#" 0.514034
+cap "a_n413_n100#" "a_99_n100#" 1.29491
+cap "a_99_n100#" "w_n807_n319#" 31.6293
+cap "a_n355_n197#" "a_413_n197#" 0.531337
+cap "a_n29_n100#" "a_n285_n100#" 1.42315
+cap "a_n413_n100#" "a_n355_n197#" 0.600992
+cap "a_n285_n100#" "a_n611_n197#" 0.575596
+cap "a_n355_n197#" "w_n807_n319#" 91.5893
+cap "a_n227_n197#" "a_483_n100#" 0.470815
+cap "a_157_n197#" "a_413_n197#" 0.690878
+cap "a_483_n100#" "a_n99_n197#" 0.514034
+cap "a_n413_n100#" "a_157_n197#" 0.514034
+cap "a_157_n197#" "w_n807_n319#" 91.9921
+cap "a_n157_n100#" "a_29_n197#" 0.59297
+cap "a_355_n100#" "a_541_n197#" 0.59297
+cap "a_n29_n100#" "a_483_n100#" 1.29491
+cap "a_227_n100#" "a_n541_n100#" 1.0853
+cap "a_611_n100#" "a_n227_n197#" 0.420172
+cap "a_483_n100#" "a_n611_n197#" 0.29991
+cap "a_285_n197#" "a_413_n197#" 109.281
+cap "a_99_n100#" "a_n355_n197#" 0.549145
+cap "a_n483_n197#" "a_541_n197#" 0.398359
+cap "a_611_n100#" "a_n99_n197#" 0.470815
+cap "a_n413_n100#" "a_285_n197#" 0.470815
+cap "a_285_n197#" "w_n807_n319#" 91.5893
+cap "a_99_n100#" "a_157_n197#" 0.600992
+cap "a_n29_n100#" "a_611_n100#" 1.19956
+cap "a_611_n100#" "a_n611_n197#" 0.232187
+cap "a_n355_n197#" "a_157_n197#" 0.63093
+cap "a_227_n100#" "a_n157_n100#" 1.36983
+cap "a_n285_n100#" "a_355_n100#" 1.19956
+cap "a_99_n100#" "a_285_n197#" 0.59297
+cap "a_n541_n100#" "a_413_n197#" 0.362902
+cap "a_n285_n100#" "a_n483_n197#" 0.59297
+cap "a_n413_n100#" "a_n541_n100#" 111.596
+cap "a_n541_n100#" "w_n807_n319#" 29.3686
+cap "a_n355_n197#" "a_285_n197#" 0.585752
+cap "a_157_n197#" "a_285_n197#" 109.281
+cap "a_355_n100#" "a_483_n100#" 111.596
+cap "a_n157_n100#" "a_413_n197#" 0.514034
+cap "a_n483_n197#" "a_483_n100#" 0.362902
+cap "a_n227_n197#" "a_n669_n100#" 0.549145
+cap "a_n413_n100#" "a_n157_n100#" 1.42315
+cap "a_99_n100#" "a_n541_n100#" 1.19956
+cap "a_n157_n100#" "w_n807_n319#" 31.6293
+cap "a_n99_n197#" "a_n669_n100#" 0.514034
+cap "a_611_n100#" "a_355_n100#" 1.42315
+cap "a_n355_n197#" "a_n541_n100#" 0.59297
+cap "a_611_n100#" "a_n483_n197#" 0.29991
+cap "a_n29_n100#" "a_n669_n100#" 1.19956
+cap "a_n611_n197#" "a_n669_n100#" 0.632634
+cap "a_n285_n100#" "a_541_n197#" 0.420172
+cap "a_n541_n100#" "a_157_n197#" 0.470815
+cap "a_n227_n197#" "a_29_n197#" 0.690878
+cap "a_99_n100#" "a_n157_n100#" 1.42315
+cap "a_29_n197#" "a_n99_n197#" 109.281
+cap "a_n157_n100#" "a_n355_n197#" 0.59297
+cap "a_n541_n100#" "a_285_n197#" 0.420172
+cap "a_541_n197#" "a_483_n100#" 0.600992
+cap "a_n29_n100#" "a_29_n197#" 0.600992
+cap "a_n611_n197#" "a_29_n197#" 0.585752
+cap "a_n157_n100#" "a_157_n197#" 0.575596
+cap "a_227_n100#" "a_n227_n197#" 0.549145
+cap "a_227_n100#" "a_n99_n197#" 0.575596
+cap "a_611_n100#" "a_541_n197#" 0.632634
+cap "a_n157_n100#" "a_285_n197#" 0.549145
+cap "a_355_n100#" "a_n669_n100#" 0.807493
+cap "a_n285_n100#" "a_483_n100#" 1.0853
+cap "a_n29_n100#" "a_227_n100#" 1.42315
+cap "a_n483_n197#" "a_n669_n100#" 0.59297
+cap "a_227_n100#" "a_n611_n197#" 0.420172
+cap "a_n227_n197#" "a_413_n197#" 0.585752
+cap "a_n285_n100#" "a_611_n100#" 0.953916
+cap "a_355_n100#" "a_29_n197#" 0.575596
+cap "a_413_n197#" "a_n99_n197#" 0.63093
+cap "a_n157_n100#" "a_n541_n100#" 1.36983
+cap "a_n413_n100#" "a_n227_n197#" 0.59297
+cap "a_n227_n197#" "w_n807_n319#" 91.9921
+cap "a_n413_n100#" "a_n99_n197#" 0.575596
+cap "a_n483_n197#" "a_29_n197#" 0.63093
+cap "w_n807_n319#" "a_n99_n197#" 92.1953
+cap "a_n29_n100#" "a_413_n197#" 0.549145
+cap "a_n611_n197#" "a_413_n197#" 0.398359
+cap "a_n413_n100#" "a_n29_n100#" 1.36983
+cap "a_611_n100#" "a_483_n100#" 111.596
+cap "a_n29_n100#" "w_n807_n319#" 31.7854
+cap "a_n413_n100#" "a_n611_n197#" 0.59297
+cap "a_n611_n197#" "w_n807_n319#" 116.881
+cap "a_99_n100#" "a_n227_n197#" 0.575596
+cap "a_541_n197#" "a_n669_n100#" 0.232187
+cap "a_99_n100#" "a_n99_n197#" 0.59297
+cap "a_n355_n197#" "a_n227_n197#" 109.281
+cap "a_227_n100#" "a_355_n100#" 111.596
+cap "a_n355_n197#" "a_n99_n197#" 0.690878
+cap "a_227_n100#" "a_n483_n197#" 0.470815
+cap "a_n29_n100#" "a_99_n100#" 111.596
+cap "a_n227_n197#" "a_157_n197#" 0.666157
+cap "a_99_n100#" "a_n611_n197#" 0.470815
+cap "a_157_n197#" "a_n99_n197#" 0.690878
+cap "a_541_n197#" "a_29_n197#" 0.63093
+cap "a_n29_n100#" "a_n355_n197#" 0.575596
+cap "a_n355_n197#" "a_n611_n197#" 0.690878
+cap "a_n285_n100#" "a_n669_n100#" 1.36983
+cap "a_n227_n197#" "a_285_n197#" 0.63093
+cap "a_n29_n100#" "a_157_n197#" 0.59297
+cap "a_157_n197#" "a_n611_n197#" 0.531337
+cap "a_355_n100#" "a_413_n197#" 0.600992
+cap "a_285_n197#" "a_n99_n197#" 0.666157
 device msubckt sky130_fd_pr__pfet_01v8_lvt 541 -100 542 -99 l=70 w=200 "w_n807_n319#" "a_541_n197#" 140 0 "a_483_n100#" 200 0 "a_611_n100#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt 413 -100 414 -99 l=70 w=200 "w_n807_n319#" "a_413_n197#" 140 0 "a_355_n100#" 200 0 "a_483_n100#" 200 0
 device msubckt sky130_fd_pr__pfet_01v8_lvt 285 -100 286 -99 l=70 w=200 "w_n807_n319#" "a_285_n197#" 140 0 "a_227_n100#" 200 0 "a_355_n100#" 200 0
diff --git a/mag/sky130_fd_pr__res_high_po_2p85_MM89SS.ext b/mag/sky130_fd_pr__res_high_po_2p85_MM89SS.ext
index 6e76e0c..eb698a1 100644
--- a/mag/sky130_fd_pr__res_high_po_2p85_MM89SS.ext
+++ b/mag/sky130_fd_pr__res_high_po_2p85_MM89SS.ext
@@ -10,8 +10,8 @@
 parameters sky130_fd_pr__res_high_po_1p41 l=l
 parameters sky130_fd_pr__res_high_po_0p69 l=l
 parameters sky130_fd_pr__res_high_po_0p35 l=l
-node "a_n285_n1572#" 77 1142.22 -285 -1572 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
+node "a_n285_n1572#" 77 1392.39 -285 -1572 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
 node "a_n285_n1140#" 1279 0 -285 -1140 ppres 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1299600 5700 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n285_1140#" 77 1142.22 -285 1140 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
+node "a_n285_1140#" 77 1392.29 -285 1140 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
 substrate "a_n415_n1702#" 0 0 -415 -1702 ppd 0 0 0 0 0 0 0 0 0 0 283288 16664 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 283288 16664 0 0 0 0 0 0 0 0 0 0 0 0
 device rsubckt sky130_fd_pr__res_high_po_2p85 -285 -1140 -284 -1139 l=2280 "a_n415_n1702#" "a_n285_n1140#" 0 0 "a_n285_n1572#" 570 0 "a_n285_1140#" 570 0
diff --git a/mag/sky130_fd_pr__res_high_po_2p85_MXEQGY.ext b/mag/sky130_fd_pr__res_high_po_2p85_MXEQGY.ext
index 27d5409..b7545fb 100644
--- a/mag/sky130_fd_pr__res_high_po_2p85_MXEQGY.ext
+++ b/mag/sky130_fd_pr__res_high_po_2p85_MXEQGY.ext
@@ -10,8 +10,8 @@
 parameters sky130_fd_pr__res_high_po_1p41 l=l
 parameters sky130_fd_pr__res_high_po_0p69 l=l
 parameters sky130_fd_pr__res_high_po_0p35 l=l
-node "a_n285_n4632#" 77 1142.22 -285 -4632 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
+node "a_n285_n4632#" 77 1392.39 -285 -4632 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
 node "a_n285_n4200#" 4713 0 -285 -4200 ppres 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4788000 17940 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n285_4200#" 77 1142.22 -285 4200 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
+node "a_n285_4200#" 77 1392.29 -285 4200 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
 substrate "a_n415_n4762#" 0 0 -415 -4762 ppd 0 0 0 0 0 0 0 0 0 0 699448 41144 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 699448 41144 0 0 0 0 0 0 0 0 0 0 0 0
 device rsubckt sky130_fd_pr__res_high_po_2p85 -285 -4200 -284 -4199 l=8400 "a_n415_n4762#" "a_n285_n4200#" 0 0 "a_n285_n4632#" 570 0 "a_n285_4200#" 570 0
diff --git a/mag/sky130_fd_pr__res_high_po_2p85_P79JE3.ext b/mag/sky130_fd_pr__res_high_po_2p85_P79JE3.ext
index 61919f9..4fbff3f 100644
--- a/mag/sky130_fd_pr__res_high_po_2p85_P79JE3.ext
+++ b/mag/sky130_fd_pr__res_high_po_2p85_P79JE3.ext
@@ -10,9 +10,9 @@
 parameters sky130_fd_pr__res_high_po_1p41 l=l
 parameters sky130_fd_pr__res_high_po_0p69 l=l
 parameters sky130_fd_pr__res_high_po_0p35 l=l
-node "a_n285_n1192#" 77 1141.87 -285 -1192 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
+node "a_n285_n1192#" 77 1390.99 -285 -1192 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
 node "a_n285_n760#" 853 0 -285 -760 ppres 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 866400 4180 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n285_760#" 77 1141.99 -285 760 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
+node "a_n285_760#" 77 1390.89 -285 760 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 492480 4008 229858 1942 0 0 0 0 0 0 0 0 0 0
 substrate "a_n415_n1322#" 0 0 -415 -1322 ppd 0 0 0 0 0 0 0 0 0 0 231608 13624 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 231608 13624 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n285_n1192#" "a_n285_760#" 23.9486
+cap "a_n285_n1192#" "a_n285_760#" 27.6171
 device rsubckt sky130_fd_pr__res_high_po_2p85 -285 -760 -284 -759 l=1520 "a_n415_n1322#" "a_n285_n760#" 0 0 "a_n285_n1192#" 570 0 "a_n285_760#" 570 0
diff --git a/mag/sky130_fd_pr__res_high_po_5p73_W59YBA.ext b/mag/sky130_fd_pr__res_high_po_5p73_W59YBA.ext
index 243000f..f8f5ae9 100644
--- a/mag/sky130_fd_pr__res_high_po_5p73_W59YBA.ext
+++ b/mag/sky130_fd_pr__res_high_po_5p73_W59YBA.ext
@@ -10,8 +10,8 @@
 parameters sky130_fd_pr__res_high_po_1p41 l=l
 parameters sky130_fd_pr__res_high_po_0p69 l=l
 parameters sky130_fd_pr__res_high_po_0p35 l=l
-node "a_n573_n2072#" 102 1717.96 -573 -2072 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
+node "a_n573_n2072#" 102 2204.16 -573 -2072 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
 node "a_n573_n1640#" 915 0 -573 -1640 ppres 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3758880 8852 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n573_1640#" 102 1717.96 -573 1640 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
+node "a_n573_1640#" 102 2204.06 -573 1640 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
 substrate "a_n703_n2202#" 0 0 -703 -2202 ppd 0 0 0 0 0 0 0 0 0 0 390456 22968 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 390456 22968 0 0 0 0 0 0 0 0 0 0 0 0
 device rsubckt sky130_fd_pr__res_high_po_5p73 -573 -1640 -572 -1639 l=3280 "a_n703_n2202#" "a_n573_n1640#" 0 0 "a_n573_n2072#" 1146 0 "a_n573_1640#" 1146 0
diff --git a/mag/sky130_fd_pr__res_high_po_5p73_YZEQ6M.ext b/mag/sky130_fd_pr__res_high_po_5p73_YZEQ6M.ext
index 1f3081e..adf8dc6 100644
--- a/mag/sky130_fd_pr__res_high_po_5p73_YZEQ6M.ext
+++ b/mag/sky130_fd_pr__res_high_po_5p73_YZEQ6M.ext
@@ -10,8 +10,8 @@
 parameters sky130_fd_pr__res_high_po_1p41 l=l
 parameters sky130_fd_pr__res_high_po_0p69 l=l
 parameters sky130_fd_pr__res_high_po_0p35 l=l
-node "a_n573_n3472#" 102 1717.96 -573 -3472 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
+node "a_n573_n3472#" 102 2204.16 -573 -3472 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
 node "a_n573_n3040#" 1697 0 -573 -3040 ppres 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6967680 14452 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n573_3040#" 102 1717.96 -573 3040 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
+node "a_n573_3040#" 102 2204.06 -573 3040 xpc 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 990144 6312 465442 3094 0 0 0 0 0 0 0 0 0 0
 substrate "a_n703_n3602#" 0 0 -703 -3602 ppd 0 0 0 0 0 0 0 0 0 0 580856 34168 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 580856 34168 0 0 0 0 0 0 0 0 0 0 0 0
 device rsubckt sky130_fd_pr__res_high_po_5p73 -573 -3040 -572 -3039 l=6080 "a_n703_n3602#" "a_n573_n3040#" 0 0 "a_n573_n3472#" 1146 0 "a_n573_3040#" 1146 0
diff --git a/mag/user_analog_project_wrapper.ext b/mag/user_analog_project_wrapper.ext
index 01d1a5b..fcfd7a4 100644
--- a/mag/user_analog_project_wrapper.ext
+++ b/mag/user_analog_project_wrapper.ext
@@ -1,10 +1,14 @@
-timestamp 1662923024
+timestamp 1669926749
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
+use VGA_routing VGA_routing_0 1 0 280 0 1 -18
+use VCO VCO_1 0 1 115536 -1 0 464188
 use VCO VCO_0 1 0 -7837 0 1 638742
+use TX_line TX_line_0 1 0 200000 0 1 464000
+use BGR_lvs BGR_lvs_0 1 0 254230 0 1 568403
 port "io_analog[4]" 41 329294 702300 334294 704800 m5
 port "io_analog[4]" 47 318994 702300 323994 704800 m5
 port "io_analog[5]" 42 227594 702300 232594 704800 m5
@@ -15,8 +19,6 @@
 port "io_analog[4]" 47 318994 702300 323994 704800 m4
 port "io_analog[5]" 42 227594 702300 232594 704800 m4
 port "io_analog[5]" 48 217294 702300 222294 704800 m4
-port "io_analog[6]" 43 175894 702300 180894 704800 m4
-port "io_analog[6]" 49 165594 702300 170594 704800 m4
 port "io_in_3v3[0]" 83 583520 1544 584800 1656 m3
 port "io_oeb[26]" 128 -800 1544 480 1656 m3
 port "io_in[0]" 56 583520 2726 584800 2838 m3
@@ -83,8 +85,8 @@
 port "vssd2" 570 0 172888 1660 177688 m3
 port "vssd1" 569 582340 181430 584800 186230 m3
 port "vssd1" 568 582340 191430 584800 196230 m3
-port "vdda2" 560 0 204888 1660 209688 m3
 port "vdda2" 561 0 214888 1660 219688 m3
+port "vdda2" 560 0 204888 1660 209688 m3
 port "vdda1" 559 582340 225230 584800 230030 m3
 port "vdda1" 558 582340 235230 584800 240030 m3
 port "io_oeb[20]" 122 -800 246488 480 246600 m3
@@ -101,7 +103,6 @@
 port "io_oeb[7]" 134 583520 275140 584800 275252 m3
 port "io_oeb[19]" 120 -800 289510 480 289622 m3
 port "io_out[19]" 147 -800 290692 480 290804 m3
-port "io_in[19]" 66 -800 291874 480 291986 m3
 port "io_in_3v3[19]" 93 -800 293056 480 293168 m3
 port "gpio_noesd[12]" 21 -800 294238 480 294350 m3
 port "gpio_analog[12]" 3 -800 295420 480 295532 m3
@@ -122,28 +123,28 @@
 port "io_in[9]" 82 583520 362420 584800 362532 m3
 port "io_out[9]" 163 583520 363602 584800 363714 m3
 port "io_oeb[9]" 136 583520 364784 584800 364896 m3
-port "io_oeb[17]" 118 -800 375954 480 376066 m3
-port "io_out[17]" 145 -800 377136 480 377248 m3
-port "io_in_3v3[17]" 91 -800 379500 480 379612 m3
-port "gpio_noesd[10]" 19 -800 380682 480 380794 m3
-port "gpio_analog[10]" 1 -800 381864 480 381976 m3
 port "gpio_analog[3]" 11 583520 405296 584800 405408 m3
 port "gpio_noesd[3]" 29 583520 406478 584800 406590 m3
 port "io_in_3v3[10]" 84 583520 407660 584800 407772 m3
 port "io_in[10]" 57 583520 408842 584800 408954 m3
 port "io_out[10]" 138 583520 410024 584800 410136 m3
 port "io_oeb[10]" 111 583520 411206 584800 411318 m3
-port "io_oeb[16]" 117 -800 419176 480 419288 m3
-port "io_out[16]" 144 -800 420358 480 420470 m3
-port "io_in_3v3[16]" 90 -800 422722 480 422834 m3
-port "gpio_noesd[9]" 35 -800 423904 480 424016 m3
-port "gpio_analog[9]" 17 -800 425086 480 425198 m3
+port "io_oeb[17]" 118 -800 375954 480 376066 m3
+port "io_out[17]" 145 -800 377136 480 377248 m3
+port "io_in_3v3[17]" 91 -800 379500 480 379612 m3
+port "gpio_noesd[10]" 19 -800 380682 480 380794 m3
+port "gpio_analog[10]" 1 -800 381864 480 381976 m3
 port "gpio_analog[4]" 12 583520 449718 584800 449830 m3
 port "gpio_noesd[4]" 30 583520 450900 584800 451012 m3
 port "io_in_3v3[11]" 85 583520 452082 584800 452194 m3
 port "io_in[11]" 58 583520 453264 584800 453376 m3
 port "io_out[11]" 139 583520 454446 584800 454558 m3
 port "io_oeb[11]" 112 583520 455628 584800 455740 m3
+port "io_oeb[16]" 117 -800 419176 480 419288 m3
+port "io_out[16]" 144 -800 420358 480 420470 m3
+port "io_in_3v3[16]" 90 -800 422722 480 422834 m3
+port "gpio_noesd[9]" 35 -800 423904 480 424016 m3
+port "gpio_analog[9]" 17 -800 425086 480 425198 m3
 port "io_oeb[15]" 116 -800 462398 480 462510 m3
 port "io_out[15]" 143 -800 463580 480 463692 m3
 port "io_in[15]" 62 -800 464762 480 464874 m3
@@ -157,9 +158,9 @@
 port "io_oeb[12]" 113 583520 500050 584800 500162 m3
 port "io_oeb[14]" 115 -800 505620 480 505732 m3
 port "io_out[14]" 142 -800 506802 480 506914 m3
+port "io_in[14]" 61 -800 507984 480 508096 m3
 port "io_in_3v3[14]" 88 -800 509166 480 509278 m3
 port "gpio_noesd[7]" 33 -800 510348 480 510460 m3
-port "gpio_analog[7]" 15 -800 511530 480 511642 m3
 port "vdda1" 556 582340 540562 584800 545362 m3
 port "vdda1" 557 582340 550562 584800 555362 m3
 port "vssa2" 567 0 549442 1660 554242 m3
@@ -170,10 +171,9 @@
 port "io_in[13]" 60 583520 587108 584800 587220 m3
 port "io_out[13]" 141 583520 588290 584800 588402 m3
 port "io_oeb[13]" 114 583520 589472 584800 589584 m3
+port "gpio_analog[7]" 15 -800 511530 480 511642 m3
 port "vccd1" 553 582340 629784 584800 634584 m3
 port "vccd1" 552 582340 639784 584800 644584 m3
-port "vccd2" 555 0 633842 1660 638642 m3
-port "vccd2" 554 0 643842 1660 648642 m3
 port "io_analog[0]" 36 582300 677984 584800 682984 m3
 port "io_analog[1]" 38 566594 702300 571594 704800 m3
 port "vssa1" 562 520594 702340 525394 704800 m3
@@ -192,6 +192,8 @@
 port "io_clamp_high[2]" 52 173394 702300 175594 704800 m3
 port "io_clamp_low[2]" 55 170894 702300 173094 704800 m3
 port "io_analog[6]" 49 165594 702300 170594 704800 m3
+port "vccd2" 555 0 633842 1660 638642 m3
+port "vccd2" 554 0 643842 1660 648642 m3
 port "io_analog[7]" 44 120194 702300 125194 704800 m3
 port "io_analog[8]" 45 68194 702300 73194 704800 m3
 port "io_analog[9]" 46 16194 702300 21194 704800 m3
@@ -689,23 +691,24 @@
 port "wbs_ack_o" 574 2888 -800 3000 480 m2
 port "wb_rst_i" 573 1706 -800 1818 480 m2
 port "wb_clk_i" 572 524 -800 636 480 m2
-port "io_in[14]" 61 -800 507984 480 508096 m3
+port "io_in[19]" 66 -800 291874 480 291986 m3
+port "io_analog[6]" 49 165594 702300 170594 704800 m4
+port "io_analog[6]" 43 175894 702300 180894 704800 m4
 port "io_in_3v3[15]" 89 -800 465944 480 466056 m3
 port "io_in[16]" 63 -800 421540 480 421652 m3
 port "io_in[17]" 64 -800 378318 480 378430 m3
 port "io_in[18]" 65 -800 335096 480 335208 m3
-node "io_analog[4]" 0 2913.75 329294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[4]" 0 2913.75 318994 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[5]" 0 2913.75 227594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[5]" 0 2913.75 217294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[6]" 0 2913.75 175894 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[6]" 0 2913.75 165594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "io_analog[4]" 0 2751 329294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[4]" 0 2751 318994 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[5]" 0 2751 227594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[5]" 0 2751 217294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[6]" 0 2751 175894 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[6]" 0 2751 165594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
+port "io_analog[10]" 678 0 680242 1700 685242 m3
+node "io_analog[4]" 0 2569.09 329294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
+node "io_analog[4]" 0 2569.09 318994 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
+node "io_analog[5]" 0 2569.09 227594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
+node "io_analog[5]" 0 2569.09 217294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
+node "io_analog[6]" 0 1420.2 175894 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
+node "io_analog[6]" 0 1465.4 165594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
+node "io_analog[4]" 0 2425.6 329294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
+node "io_analog[4]" 0 2425.6 318994 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
+node "io_analog[5]" 0 2425.6 227594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
+node "io_analog[5]" 0 2425.6 217294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
 node "io_in_3v3[0]" 1 580.214 583520 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[26]" 1 580.214 -800 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in[0]" 1 545.514 583520 2726 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -768,12 +771,11 @@
 node "gpio_analog[14]" 1 580.214 -800 124776 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "vssa1" 0 6627.5 582340 136830 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vssa1" 0 6627.5 582340 146830 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssd2" 0 6627.5 0 162888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vssd2" 0 6627.5 0 172888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
+node "vssd2" 0 6665.32 0 162888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11903800 14560 0 0 0 0 0 0
+node "vssd2" 0 6671.64 0 172888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11910980 14580 0 0 0 0 0 0
 node "vssd1" 0 6627.5 582340 181430 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vssd1" 0 6627.5 582340 191430 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda2" 0 6627.5 0 204888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vdda2" 0 6627.5 0 214888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
+node "vdda2" 0 1.40179e+06 0 204888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 195558800 71664 4358713200 955020 1137600000 582800 0 0
 node "vdda1" 0 6627.5 582340 225230 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vdda1" 0 6627.5 582340 235230 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "io_oeb[20]" 1 580.214 -800 246488 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -790,10 +792,9 @@
 node "io_oeb[7]" 1 580.214 583520 275140 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[19]" 1 580.214 -800 289510 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_out[19]" 1 545.514 -800 290692 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[19]" 1 545.514 -800 291874 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in_3v3[19]" 1 545.514 -800 293056 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[12]" 1 545.514 -800 294238 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[12]" 1 580.214 -800 295420 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "gpio_noesd[12]" 1 716.011 -800 294238 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 232000 3600 0 0 0 0 0 0
+node "gpio_analog[12]" 1 761.741 -800 295420 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 232000 3600 0 0 0 0 0 0
 node "gpio_analog[1]" 1 580.214 583520 313652 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[1]" 1 545.514 583520 314834 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in_3v3[8]" 1 545.514 583520 316016 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -801,8 +802,8 @@
 node "io_out[8]" 1 545.514 583520 318380 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[8]" 1 580.214 583520 319562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[18]" 1 580.214 -800 332732 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[18]" 1 544.402 -800 333914 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[18]" 1 549.856 -800 336278 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_out[18]" 1 545.514 -800 333914 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in_3v3[18]" 1 545.514 -800 336278 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[11]" 1 545.514 -800 337460 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_analog[11]" 1 580.214 -800 338642 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_analog[2]" 1 580.214 583520 358874 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -811,32 +812,34 @@
 node "io_in[9]" 1 545.514 583520 362420 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_out[9]" 1 545.514 583520 363602 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[9]" 1 580.214 583520 364784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[17]" 1 580.214 -800 375954 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[17]" 1 545.367 -800 377136 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[17]" 1 558.256 -800 379500 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[10]" 1 545.514 -800 380682 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[10]" 1 580.214 -800 381864 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_analog[3]" 1 580.214 583520 405296 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[3]" 1 545.514 583520 406478 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in_3v3[10]" 1 545.514 583520 407660 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in[10]" 1 545.514 583520 408842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_out[10]" 1 545.514 583520 410024 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[10]" 1 580.214 583520 411206 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_oeb[16]" 1 580.214 -800 419176 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[16]" 1 544.796 -800 420358 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[16]" 1 548.014 -800 422722 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[9]" 1 545.514 -800 423904 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[9]" 1 580.214 -800 425086 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_oeb[17]" 1 580.214 -800 375954 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_out[17]" 1 545.514 -800 377136 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in_3v3[17]" 1 545.514 -800 379500 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "gpio_noesd[10]" 1 545.514 -800 380682 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "gpio_analog[10]" 1 580.214 -800 381864 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "txinb" 1 92670.3 152900 414400 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 155855000 197220 7250000 22000 4000000 8000 0 0
 node "gpio_analog[4]" 1 580.214 583520 449718 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[4]" 1 545.514 583520 450900 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in_3v3[11]" 1 545.514 583520 452082 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in[11]" 1 545.514 583520 453264 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_out[11]" 1 545.514 583520 454446 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[11]" 1 580.214 583520 455628 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_oeb[16]" 1 580.214 -800 419176 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_out[16]" 1 545.514 -800 420358 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in_3v3[16]" 1 545.514 -800 422722 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "gpio_noesd[9]" 1 545.514 -800 423904 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "gpio_analog[9]" 1 580.214 -800 425086 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[15]" 1 580.214 -800 462398 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_out[15]" 1 545.514 -800 463580 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[15]" 1 544.956 -800 464762 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_noesd[8]" 1 549.304 -800 467126 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in[15]" 1 545.514 -800 464762 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "gpio_noesd[8]" 1 545.514 -800 467126 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "txina" 0 88037.8 155900 415200 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 153150000 193800 4000000 8000 4000000 8000 0 0
 node "gpio_analog[8]" 1 580.214 -800 468308 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_analog[5]" 1 580.214 583520 494140 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[5]" 1 545.514 583520 495322 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -845,30 +848,29 @@
 node "io_out[12]" 1 545.514 583520 498868 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[12]" 1 580.214 583520 500050 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[14]" 1 580.214 -800 505620 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_out[14]" 1 544.902 -800 506802 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in_3v3[14]" 1 549.648 -800 509166 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_out[14]" 1 545.514 -800 506802 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in[14]" 1 545.514 -800 507984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in_3v3[14]" 1 545.514 -800 509166 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[7]" 1 545.514 -800 510348 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "gpio_analog[7]" 1 580.214 -800 511530 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "vdda1" 0 6627.5 582340 540562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vdda1" 0 6627.5 582340 550562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "GND" 0 314614 -800 549442 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 69767060 43084 73851000 103440 1043013100 501960 0 0
-equiv "GND" "vssa2"
+node "vssa2" 0 1.35879e+06 0 559442 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 69767060 43084 1894851004 1083088 4488753228 667396 0 0
 node "gpio_analog[6]" 1 580.214 583520 583562 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "gpio_noesd[6]" 1 545.514 583520 584744 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in_3v3[13]" 1 545.514 583520 585926 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "io_in[13]" 1 545.514 583520 587108 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_in[13]" 0 1767.16 583520 587108 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1476416 7984 0 0 0 0 0 0
 node "io_out[13]" 1 545.514 583520 588290 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[13]" 1 580.214 583520 589472 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
-node "vccd1" 0 6627.5 582340 629784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "vccd1" 0 6627.5 582340 639784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "VCCD" 0 134737 -800 633842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 75058900 45180 17605500 16960 278539400 337820 0 0
-equiv "VCCD" "vccd2"
+node "m3_292774_580566#" 0 11694.2 292774 580566 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21271600 29980 0 0 0 0 0 0
+node "m3_290506_594136#" 0 12368.3 290506 594136 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24205508 33828 0 0 0 0 0 0
+node "gpio_analog[7]" 0 219726 -800 511530 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 372154400 633800 0 0 0 0 0 0
+node "vccd1" 0 17369 582340 639784 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31882560 36640 0 0 0 0 0 0
 node "io_analog[0]" 0 6939.87 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_analog[1]" 0 6939.87 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "vssa1" 0 6627.5 520594 702340 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vssa1" 0 6627.5 510594 702340 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "io_analog[2]" 0 6939.87 465394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[3]" 0 6939.87 413394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_analog[2]" 0 11247.8 465394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22883280 20352 0 0 0 0 0 0
+node "io_analog[3]" 1 8737.03 413394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14067568 15928 2130444 11120 0 0 0 0
 node "io_analog[4]" 0 6576.25 329294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_clamp_high[0]" 0 2900.16 326794 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_clamp_low[0]" 0 2900.16 324294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
@@ -877,1614 +879,5229 @@
 node "io_clamp_high[1]" 0 2900.16 225094 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_clamp_low[1]" 0 2900.16 222594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_analog[5]" 0 6576.25 217294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[6]" 0 6576.25 175894 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_clamp_high[2]" 0 2900.16 173394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
+node "io_analog[6]" 0 6575.44 175894 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_clamp_high[2]" 0 3012.14 173394 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5724200 9612 0 0 0 0 0 0
 node "io_clamp_low[2]" 0 2900.16 170894 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_analog[6]" 0 6576.25 165594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[7]" 0 6939.87 120194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "OUTB" 1 51578 40720 676080 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 74749000 99300 14925400 32240 0 0 0 0
-equiv "OUTB" "io_analog[8]"
-node "OUTA" 0 46858.4 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 81070200 106380 0 0 0 0 0 0
-equiv "OUTA" "io_analog[9]"
-node "user_irq[2]" 1 554.223 583250 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "user_irq[1]" 1 522.256 582068 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "user_irq[0]" 1 522.256 580886 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "user_clock2" 1 522.256 579704 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[127]" 1 522.256 578522 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[127]" 1 522.256 577340 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[127]" 1 522.256 576158 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[126]" 1 522.256 574976 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[126]" 1 522.256 573794 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[126]" 1 522.256 572612 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[125]" 1 522.256 571430 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[125]" 1 522.256 570248 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[125]" 1 522.256 569066 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[124]" 1 522.256 567884 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[124]" 1 522.256 566702 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[124]" 1 522.256 565520 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[123]" 1 522.256 564338 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[123]" 1 522.256 563156 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[123]" 1 522.256 561974 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[122]" 1 522.256 560792 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[122]" 1 522.256 559610 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[122]" 1 522.256 558428 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[121]" 1 522.256 557246 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[121]" 1 522.256 556064 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[121]" 1 522.256 554882 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[120]" 1 522.256 553700 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[120]" 1 522.256 552518 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[120]" 1 522.256 551336 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[119]" 1 522.256 550154 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[119]" 1 522.256 548972 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[119]" 1 522.256 547790 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[118]" 1 522.256 546608 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[118]" 1 522.256 545426 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[118]" 1 522.256 544244 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[117]" 1 522.256 543062 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[117]" 1 522.256 541880 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[117]" 1 522.256 540698 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[116]" 1 522.256 539516 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[116]" 1 522.256 538334 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[116]" 1 522.256 537152 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[115]" 1 522.256 535970 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[115]" 1 522.256 534788 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[115]" 1 522.256 533606 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[114]" 1 522.256 532424 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[114]" 1 522.256 531242 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[114]" 1 522.256 530060 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[113]" 1 522.256 528878 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[113]" 1 522.256 527696 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[113]" 1 522.256 526514 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[112]" 1 522.256 525332 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[112]" 1 522.256 524150 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[112]" 1 522.256 522968 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[111]" 1 522.256 521786 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[111]" 1 522.256 520604 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[111]" 1 522.256 519422 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[110]" 1 522.256 518240 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[110]" 1 522.256 517058 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[110]" 1 522.256 515876 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[109]" 1 522.256 514694 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[109]" 1 522.256 513512 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[109]" 1 522.256 512330 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[108]" 1 522.256 511148 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[108]" 1 522.256 509966 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[108]" 1 522.256 508784 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[107]" 1 522.256 507602 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[107]" 1 522.256 506420 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[107]" 1 522.256 505238 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[106]" 1 522.256 504056 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[106]" 1 522.256 502874 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[106]" 1 522.256 501692 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[105]" 1 522.256 500510 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[105]" 1 522.256 499328 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[105]" 1 522.256 498146 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[104]" 1 522.256 496964 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[104]" 1 522.256 495782 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[104]" 1 522.256 494600 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[103]" 1 522.256 493418 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[103]" 1 522.256 492236 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[103]" 1 522.256 491054 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[102]" 1 522.256 489872 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[102]" 1 522.256 488690 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[102]" 1 522.256 487508 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[101]" 1 522.256 486326 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[101]" 1 522.256 485144 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[101]" 1 522.256 483962 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[100]" 1 522.256 482780 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[100]" 1 522.256 481598 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[100]" 1 522.256 480416 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[99]" 1 522.256 479234 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[99]" 1 522.256 478052 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[99]" 1 522.256 476870 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[98]" 1 522.256 475688 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[98]" 1 522.256 474506 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[98]" 1 522.256 473324 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[97]" 1 522.256 472142 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[97]" 1 522.256 470960 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[97]" 1 522.256 469778 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[96]" 1 522.256 468596 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[96]" 1 522.256 467414 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[96]" 1 522.256 466232 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[95]" 1 522.256 465050 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[95]" 1 522.256 463868 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[95]" 1 522.256 462686 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[94]" 1 522.256 461504 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[94]" 1 522.256 460322 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[94]" 1 522.256 459140 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[93]" 1 522.256 457958 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[93]" 1 522.256 456776 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[93]" 1 522.256 455594 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[92]" 1 522.256 454412 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[92]" 1 522.256 453230 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[92]" 1 522.256 452048 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[91]" 1 522.256 450866 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[91]" 1 522.256 449684 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[91]" 1 522.256 448502 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[90]" 1 522.256 447320 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[90]" 1 522.256 446138 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[90]" 1 522.256 444956 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[89]" 1 522.256 443774 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[89]" 1 522.256 442592 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[89]" 1 522.256 441410 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[88]" 1 522.256 440228 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[88]" 1 522.256 439046 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[88]" 1 522.256 437864 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[87]" 1 522.256 436682 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[87]" 1 522.256 435500 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[87]" 1 522.256 434318 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[86]" 1 522.256 433136 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[86]" 1 522.256 431954 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[86]" 1 522.256 430772 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[85]" 1 522.256 429590 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[85]" 1 522.256 428408 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[85]" 1 522.256 427226 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[84]" 1 522.256 426044 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[84]" 1 522.256 424862 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[84]" 1 522.256 423680 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[83]" 1 522.256 422498 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[83]" 1 522.256 421316 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[83]" 1 522.256 420134 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[82]" 1 522.256 418952 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[82]" 1 522.256 417770 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[82]" 1 522.256 416588 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[81]" 1 522.256 415406 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[81]" 1 522.256 414224 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[81]" 1 522.256 413042 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[80]" 1 522.256 411860 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[80]" 1 522.256 410678 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[80]" 1 522.256 409496 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[79]" 1 522.256 408314 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[79]" 1 522.256 407132 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[79]" 1 522.256 405950 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[78]" 1 522.256 404768 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[78]" 1 522.256 403586 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[78]" 1 522.256 402404 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[77]" 1 522.256 401222 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[77]" 1 522.256 400040 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[77]" 1 522.256 398858 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[76]" 1 522.256 397676 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[76]" 1 522.256 396494 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[76]" 1 522.256 395312 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[75]" 1 522.256 394130 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[75]" 1 522.256 392948 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[75]" 1 522.256 391766 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[74]" 1 522.256 390584 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[74]" 1 522.256 389402 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[74]" 1 522.256 388220 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[73]" 1 522.256 387038 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[73]" 1 522.256 385856 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[73]" 1 522.256 384674 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[72]" 1 522.256 383492 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[72]" 1 522.256 382310 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[72]" 1 522.256 381128 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[71]" 1 522.256 379946 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[71]" 1 522.256 378764 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[71]" 1 522.256 377582 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[70]" 1 522.256 376400 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[70]" 1 522.256 375218 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[70]" 1 522.256 374036 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[69]" 1 522.256 372854 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[69]" 1 522.256 371672 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[69]" 1 522.256 370490 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[68]" 1 522.256 369308 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[68]" 1 522.256 368126 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[68]" 1 522.256 366944 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[67]" 1 522.256 365762 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[67]" 1 522.256 364580 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[67]" 1 522.256 363398 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[66]" 1 522.256 362216 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[66]" 1 522.256 361034 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[66]" 1 522.256 359852 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[65]" 1 522.256 358670 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[65]" 1 522.256 357488 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[65]" 1 522.256 356306 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[64]" 1 522.256 355124 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[64]" 1 522.256 353942 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[64]" 1 522.256 352760 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[63]" 1 522.256 351578 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[63]" 1 522.256 350396 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[63]" 1 522.256 349214 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[62]" 1 522.256 348032 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[62]" 1 522.256 346850 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[62]" 1 522.256 345668 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[61]" 1 522.256 344486 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[61]" 1 522.256 343304 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[61]" 1 522.256 342122 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[60]" 1 522.256 340940 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[60]" 1 522.256 339758 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[60]" 1 522.256 338576 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[59]" 1 522.256 337394 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[59]" 1 522.256 336212 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[59]" 1 522.256 335030 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[58]" 1 522.256 333848 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[58]" 1 522.256 332666 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[58]" 1 522.256 331484 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[57]" 1 522.256 330302 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[57]" 1 522.256 329120 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[57]" 1 522.256 327938 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[56]" 1 522.256 326756 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[56]" 1 522.256 325574 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[56]" 1 522.256 324392 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[55]" 1 522.256 323210 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[55]" 1 522.256 322028 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[55]" 1 522.256 320846 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[54]" 1 522.256 319664 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[54]" 1 522.256 318482 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[54]" 1 522.256 317300 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[53]" 1 522.256 316118 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[53]" 1 522.256 314936 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[53]" 1 522.256 313754 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[52]" 1 522.256 312572 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[52]" 1 522.256 311390 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[52]" 1 522.256 310208 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[51]" 1 522.256 309026 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[51]" 1 522.256 307844 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[51]" 1 522.256 306662 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[50]" 1 522.256 305480 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[50]" 1 522.256 304298 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[50]" 1 522.256 303116 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[49]" 1 522.256 301934 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[49]" 1 522.256 300752 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[49]" 1 522.256 299570 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[48]" 1 522.256 298388 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[48]" 1 522.256 297206 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[48]" 1 522.256 296024 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[47]" 1 522.256 294842 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[47]" 1 522.256 293660 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[47]" 1 522.256 292478 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[46]" 1 522.256 291296 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[46]" 1 522.256 290114 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[46]" 1 522.256 288932 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[45]" 1 522.256 287750 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[45]" 1 522.256 286568 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[45]" 1 522.256 285386 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[44]" 1 522.256 284204 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[44]" 1 522.256 283022 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[44]" 1 522.256 281840 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[43]" 1 522.256 280658 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[43]" 1 522.256 279476 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[43]" 1 522.256 278294 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[42]" 1 522.256 277112 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[42]" 1 522.256 275930 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[42]" 1 522.256 274748 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[41]" 1 522.256 273566 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[41]" 1 522.256 272384 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[41]" 1 522.256 271202 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[40]" 1 522.256 270020 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[40]" 1 522.256 268838 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[40]" 1 522.256 267656 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[39]" 1 522.256 266474 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[39]" 1 522.256 265292 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[39]" 1 522.256 264110 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[38]" 1 522.256 262928 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[38]" 1 522.256 261746 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[38]" 1 522.256 260564 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[37]" 1 522.256 259382 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[37]" 1 522.256 258200 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[37]" 1 522.256 257018 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[36]" 1 522.256 255836 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[36]" 1 522.256 254654 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[36]" 1 522.256 253472 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[35]" 1 522.256 252290 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[35]" 1 522.256 251108 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[35]" 1 522.256 249926 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[34]" 1 522.256 248744 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[34]" 1 522.256 247562 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[34]" 1 522.256 246380 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[33]" 1 522.256 245198 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[33]" 1 522.256 244016 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[33]" 1 522.256 242834 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[32]" 1 522.256 241652 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[32]" 1 522.256 240470 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[32]" 1 522.256 239288 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[31]" 1 522.256 238106 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[31]" 1 522.256 236924 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[31]" 1 522.256 235742 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[30]" 1 522.256 234560 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[30]" 1 522.256 233378 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[30]" 1 522.256 232196 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[29]" 1 522.256 231014 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[29]" 1 522.256 229832 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[29]" 1 522.256 228650 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[28]" 1 522.256 227468 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[28]" 1 522.256 226286 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[28]" 1 522.256 225104 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[27]" 1 522.256 223922 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[27]" 1 522.256 222740 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[27]" 1 522.256 221558 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[26]" 1 522.256 220376 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[26]" 1 522.256 219194 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[26]" 1 522.256 218012 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[25]" 1 522.256 216830 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[25]" 1 522.256 215648 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[25]" 1 522.256 214466 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[24]" 1 522.256 213284 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[24]" 1 522.256 212102 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[24]" 1 522.256 210920 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[23]" 1 522.256 209738 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[23]" 1 522.256 208556 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[23]" 1 522.256 207374 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[22]" 1 522.256 206192 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[22]" 1 522.256 205010 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[22]" 1 522.256 203828 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[21]" 1 522.256 202646 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[21]" 1 522.256 201464 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[21]" 1 522.256 200282 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[20]" 1 522.256 199100 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[20]" 1 522.256 197918 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[20]" 1 522.256 196736 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[19]" 1 522.256 195554 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[19]" 1 522.256 194372 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[19]" 1 522.256 193190 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[18]" 1 522.256 192008 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[18]" 1 522.256 190826 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[18]" 1 522.256 189644 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[17]" 1 522.256 188462 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[17]" 1 522.256 187280 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[17]" 1 522.256 186098 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[16]" 1 522.256 184916 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[16]" 1 522.256 183734 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[16]" 1 522.256 182552 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[15]" 1 522.256 181370 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[15]" 1 522.256 180188 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[15]" 1 522.256 179006 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[14]" 1 522.256 177824 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[14]" 1 522.256 176642 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[14]" 1 522.256 175460 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[13]" 1 522.256 174278 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[13]" 1 522.256 173096 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[13]" 1 522.256 171914 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[12]" 1 522.256 170732 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[12]" 1 522.256 169550 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[12]" 1 522.256 168368 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[11]" 1 522.256 167186 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[11]" 1 522.256 166004 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[11]" 1 522.256 164822 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[10]" 1 522.256 163640 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[10]" 1 522.256 162458 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[10]" 1 522.256 161276 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[9]" 1 522.256 160094 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[9]" 1 522.256 158912 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[9]" 1 522.256 157730 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[8]" 1 522.256 156548 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[8]" 1 522.256 155366 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[8]" 1 522.256 154184 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[7]" 1 522.256 153002 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[7]" 1 522.256 151820 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[7]" 1 522.256 150638 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[6]" 1 522.256 149456 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[6]" 1 522.256 148274 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[6]" 1 522.256 147092 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[5]" 1 522.256 145910 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[5]" 1 522.256 144728 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[5]" 1 522.256 143546 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[4]" 1 522.256 142364 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[4]" 1 522.256 141182 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[4]" 1 522.256 140000 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[3]" 1 522.256 138818 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[3]" 1 522.256 137636 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[3]" 1 522.256 136454 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[2]" 1 522.256 135272 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[2]" 1 522.256 134090 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[2]" 1 522.256 132908 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[1]" 1 522.256 131726 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[1]" 1 522.256 130544 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[1]" 1 522.256 129362 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_oenb[0]" 1 522.256 128180 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_out[0]" 1 522.256 126998 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "la_data_in[0]" 1 522.256 125816 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[31]" 1 522.256 124634 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[31]" 1 522.256 123452 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[31]" 1 522.256 122270 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[30]" 1 522.256 121088 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[30]" 1 522.256 119906 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[30]" 1 522.256 118724 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[29]" 1 522.256 117542 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[29]" 1 522.256 116360 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[29]" 1 522.256 115178 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[28]" 1 522.256 113996 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[28]" 1 522.256 112814 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[28]" 1 522.256 111632 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[27]" 1 522.256 110450 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[27]" 1 522.256 109268 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[27]" 1 522.256 108086 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[26]" 1 522.256 106904 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[26]" 1 522.256 105722 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[26]" 1 522.256 104540 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[25]" 1 522.256 103358 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[25]" 1 522.256 102176 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[25]" 1 522.256 100994 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[24]" 1 522.256 99812 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[24]" 1 522.256 98630 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[24]" 1 522.256 97448 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[23]" 1 522.256 96266 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[23]" 1 522.256 95084 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[23]" 1 522.256 93902 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[22]" 1 522.256 92720 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[22]" 1 522.256 91538 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[22]" 1 522.256 90356 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[21]" 1 522.256 89174 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[21]" 1 522.256 87992 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[21]" 1 522.256 86810 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[20]" 1 522.256 85628 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[20]" 1 522.256 84446 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[20]" 1 522.256 83264 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[19]" 1 522.256 82082 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[19]" 1 522.256 80900 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[19]" 1 522.256 79718 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[18]" 1 522.256 78536 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[18]" 1 522.256 77354 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[18]" 1 522.256 76172 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[17]" 1 522.256 74990 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[17]" 1 522.256 73808 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[17]" 1 522.256 72626 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[16]" 1 522.256 71444 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[16]" 1 522.256 70262 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[16]" 1 522.256 69080 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[15]" 1 522.256 67898 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[15]" 1 522.256 66716 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[15]" 1 522.256 65534 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[14]" 1 522.256 64352 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[14]" 1 522.256 63170 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[14]" 1 522.256 61988 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[13]" 1 522.256 60806 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[13]" 1 522.256 59624 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[13]" 1 522.256 58442 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[12]" 1 522.256 57260 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[12]" 1 522.256 56078 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[12]" 1 522.256 54896 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[11]" 1 522.256 53714 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[11]" 1 522.256 52532 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[11]" 1 522.256 51350 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[10]" 1 522.256 50168 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[10]" 1 522.256 48986 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[10]" 1 522.256 47804 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[9]" 1 522.256 46622 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[9]" 1 522.256 45440 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[9]" 1 522.256 44258 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[8]" 1 522.256 43076 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[8]" 1 522.256 41894 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[8]" 1 522.256 40712 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[7]" 1 522.256 39530 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[7]" 1 522.256 38348 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[7]" 1 522.256 37166 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[6]" 1 522.256 35984 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[6]" 1 522.256 34802 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[6]" 1 522.256 33620 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[5]" 1 522.256 32438 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[5]" 1 522.256 31256 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[5]" 1 522.256 30074 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[4]" 1 522.256 28892 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[4]" 1 522.256 27710 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[4]" 1 522.256 26528 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[3]" 1 522.256 25346 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[3]" 1 522.256 24164 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[3]" 1 522.256 22982 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[3]" 1 522.256 21800 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[2]" 1 522.256 20618 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[2]" 1 522.256 19436 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[2]" 1 522.256 18254 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[2]" 1 522.256 17072 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[1]" 1 522.256 15890 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[1]" 1 522.256 14708 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[1]" 1 522.256 13526 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[1]" 1 522.256 12344 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_sel_i[0]" 1 522.256 11162 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_o[0]" 1 522.256 9980 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_dat_i[0]" 1 522.256 8798 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_adr_i[0]" 1 522.256 7616 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_we_i" 1 522.256 6434 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_stb_i" 1 522.256 5252 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_cyc_i" 1 522.256 4070 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wbs_ack_o" 1 522.256 2888 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wb_rst_i" 1 522.256 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "wb_clk_i" 1 554.223 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "REF" 2 34721.3 23190 671420 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 442000 4820 596000 6360 31850400 139760 0 0 0 0
-node "CTRL1" 0 67951.9 56980 660080 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19600 560 21316400 304800 10353520 116200 0 0 0 0 0 0
-equiv "CTRL1" "io_in[14]"
-node "CTRL2" 0 53314.9 56720 660440 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19600 560 27252400 389600 10305360 115680 0 0 0 0 0 0
-equiv "CTRL2" "io_in_3v3[15]"
-node "CTRL3" 0 66303.8 56480 660960 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19600 560 33544000 479480 10256720 115200 0 0 0 0 0 0
-equiv "CTRL3" "io_in[16]"
-node "CTRL4" 0 79104.1 56200 661560 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19600 560 39676000 567080 10203600 114640 0 0 0 0 0 0
-equiv "CTRL4" "io_in[17]"
-node "CTRL5" 0 150194 55960 662480 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19600 560 45858400 655400 10169920 114160 0 0 0 0 0 0
-equiv "CTRL5" "io_in[18]"
-node "VCTRL" 1 32248.2 14920 668060 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9217200 47540 460000 2840 25122400 42440 0 0 0 0 0 0
+node "vccd2" 0 954655 0 643842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 80535536 43552 1473880000 379600 3818690304 660496 0 0
+node "io_analog[7]" 0 417964 120194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 970400000 589600 0 0 0 0 0 0
+node "OUT180" 1 50962.9 40720 676080 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 74749000 99300 14925400 32240 0 0 0 0
+equiv "OUT180" "io_analog[8]"
+node "OUT0" 0 46790 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 81070200 106380 0 0 0 0 0 0
+equiv "OUT0" "io_analog[9]"
+node "user_irq[2]" 1 556.373 583250 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "user_irq[1]" 1 524.407 582068 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "user_irq[0]" 1 524.407 580886 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "user_clock2" 1 524.407 579704 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[127]" 1 524.407 578522 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[127]" 1 524.407 577340 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[127]" 1 524.407 576158 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[126]" 1 524.407 574976 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[126]" 1 524.407 573794 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[126]" 1 524.407 572612 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[125]" 1 524.407 571430 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[125]" 1 524.407 570248 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[125]" 1 524.407 569066 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[124]" 1 524.407 567884 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[124]" 1 524.407 566702 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[124]" 1 524.407 565520 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[123]" 1 524.407 564338 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[123]" 1 524.407 563156 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[123]" 1 524.407 561974 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[122]" 1 524.407 560792 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[122]" 1 524.407 559610 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[122]" 1 524.407 558428 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[121]" 1 524.407 557246 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[121]" 1 524.407 556064 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[121]" 1 524.407 554882 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[120]" 1 524.407 553700 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[120]" 1 524.407 552518 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[120]" 1 524.407 551336 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[119]" 1 524.407 550154 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[119]" 1 524.407 548972 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[119]" 1 524.407 547790 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[118]" 1 524.407 546608 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[118]" 1 524.407 545426 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[118]" 1 524.407 544244 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[117]" 1 524.407 543062 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[117]" 1 524.407 541880 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[117]" 1 524.407 540698 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[116]" 1 524.407 539516 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[116]" 1 524.407 538334 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[116]" 1 524.407 537152 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[115]" 1 524.407 535970 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[115]" 1 524.407 534788 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[115]" 1 524.407 533606 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[114]" 1 524.407 532424 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[114]" 1 524.407 531242 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[114]" 1 524.407 530060 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[113]" 1 524.407 528878 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[113]" 1 524.407 527696 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[113]" 1 524.407 526514 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[112]" 1 524.407 525332 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[112]" 1 524.407 524150 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[112]" 1 524.407 522968 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[111]" 1 524.407 521786 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[111]" 1 524.407 520604 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[111]" 1 524.407 519422 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[110]" 1 524.407 518240 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[110]" 1 524.407 517058 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[110]" 1 524.407 515876 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[109]" 1 524.407 514694 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[109]" 1 524.407 513512 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[109]" 1 524.407 512330 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[108]" 1 524.407 511148 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[108]" 1 524.407 509966 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[108]" 1 524.407 508784 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[107]" 1 524.407 507602 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[107]" 1 524.407 506420 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[107]" 1 524.407 505238 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[106]" 1 524.407 504056 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[106]" 1 524.407 502874 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[106]" 1 524.407 501692 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[105]" 1 524.407 500510 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[105]" 1 524.407 499328 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[105]" 1 524.407 498146 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[104]" 1 524.407 496964 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[104]" 1 524.407 495782 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[104]" 1 524.407 494600 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[103]" 1 524.407 493418 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[103]" 1 524.407 492236 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[103]" 1 524.407 491054 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[102]" 1 524.407 489872 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[102]" 1 524.407 488690 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[102]" 1 524.407 487508 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[101]" 1 524.407 486326 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[101]" 1 524.407 485144 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[101]" 1 524.407 483962 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[100]" 1 524.407 482780 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[100]" 1 524.407 481598 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[100]" 1 524.407 480416 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[99]" 1 524.407 479234 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[99]" 1 524.407 478052 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[99]" 1 524.407 476870 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[98]" 1 524.407 475688 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[98]" 1 524.407 474506 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[98]" 1 524.407 473324 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[97]" 1 524.407 472142 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[97]" 1 524.407 470960 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[97]" 1 524.407 469778 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[96]" 1 524.407 468596 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[96]" 1 524.407 467414 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[96]" 1 524.407 466232 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[95]" 1 524.407 465050 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[95]" 1 524.407 463868 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[95]" 1 524.407 462686 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[94]" 1 524.407 461504 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[94]" 1 524.407 460322 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[94]" 1 524.407 459140 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[93]" 1 524.407 457958 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[93]" 1 524.407 456776 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[93]" 1 524.407 455594 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[92]" 1 524.407 454412 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[92]" 1 524.407 453230 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[92]" 1 524.407 452048 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[91]" 1 524.407 450866 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[91]" 1 524.407 449684 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[91]" 1 524.407 448502 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[90]" 1 524.407 447320 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[90]" 1 524.407 446138 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[90]" 1 524.407 444956 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[89]" 1 524.407 443774 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[89]" 1 524.407 442592 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[89]" 1 524.407 441410 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[88]" 1 524.407 440228 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[88]" 1 524.407 439046 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[88]" 1 524.407 437864 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[87]" 1 524.407 436682 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[87]" 1 524.407 435500 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[87]" 1 524.407 434318 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[86]" 1 524.407 433136 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[86]" 1 524.407 431954 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[86]" 1 524.407 430772 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[85]" 1 524.407 429590 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[85]" 1 524.407 428408 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[85]" 1 524.407 427226 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[84]" 1 524.407 426044 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[84]" 1 524.407 424862 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[84]" 1 524.407 423680 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[83]" 1 524.407 422498 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[83]" 1 524.407 421316 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[83]" 1 524.407 420134 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[82]" 1 524.407 418952 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[82]" 1 524.407 417770 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[82]" 1 524.407 416588 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[81]" 1 524.407 415406 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[81]" 1 524.407 414224 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[81]" 1 524.407 413042 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[80]" 1 524.407 411860 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[80]" 1 524.407 410678 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[80]" 1 524.407 409496 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[79]" 1 524.407 408314 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[79]" 1 524.407 407132 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[79]" 1 524.407 405950 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[78]" 1 524.407 404768 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[78]" 1 524.407 403586 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[78]" 1 524.407 402404 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[77]" 1 524.407 401222 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[77]" 1 524.407 400040 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[77]" 1 524.407 398858 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[76]" 1 524.407 397676 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[76]" 1 524.407 396494 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[76]" 1 524.407 395312 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[75]" 1 524.407 394130 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[75]" 1 524.407 392948 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[75]" 1 524.407 391766 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[74]" 1 524.407 390584 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[74]" 1 524.407 389402 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[74]" 1 524.407 388220 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[73]" 1 524.407 387038 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[73]" 1 524.407 385856 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[73]" 1 524.407 384674 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[72]" 1 524.407 383492 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[72]" 1 524.407 382310 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[72]" 1 524.407 381128 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[71]" 1 524.407 379946 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[71]" 1 524.407 378764 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[71]" 1 524.407 377582 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[70]" 1 524.407 376400 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[70]" 1 524.407 375218 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[70]" 1 524.407 374036 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[69]" 1 524.407 372854 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[69]" 1 524.407 371672 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[69]" 1 524.407 370490 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[68]" 1 524.407 369308 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[68]" 1 524.407 368126 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[68]" 1 524.407 366944 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[67]" 1 524.407 365762 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[67]" 1 524.407 364580 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[67]" 1 524.407 363398 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[66]" 1 524.407 362216 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[66]" 1 524.407 361034 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[66]" 1 524.407 359852 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[65]" 1 524.407 358670 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[65]" 1 524.407 357488 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[65]" 1 524.407 356306 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[64]" 1 524.407 355124 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[64]" 1 524.407 353942 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[64]" 1 524.407 352760 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[63]" 1 524.407 351578 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[63]" 1 524.407 350396 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[63]" 1 524.407 349214 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[62]" 1 524.407 348032 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[62]" 1 524.407 346850 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[62]" 1 524.407 345668 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[61]" 1 524.407 344486 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[61]" 1 524.407 343304 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[61]" 1 524.407 342122 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[60]" 1 524.407 340940 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[60]" 1 524.407 339758 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[60]" 1 524.407 338576 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[59]" 1 524.407 337394 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[59]" 1 524.407 336212 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[59]" 1 524.407 335030 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[58]" 1 524.407 333848 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[58]" 1 524.407 332666 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[58]" 1 524.407 331484 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[57]" 1 524.407 330302 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[57]" 1 524.407 329120 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[57]" 1 524.407 327938 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[56]" 1 524.407 326756 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[56]" 1 524.407 325574 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[56]" 1 524.407 324392 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[55]" 1 524.407 323210 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[55]" 1 524.407 322028 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[55]" 1 524.407 320846 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[54]" 1 524.407 319664 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[54]" 1 524.407 318482 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[54]" 1 524.407 317300 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[53]" 1 524.407 316118 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[53]" 1 524.407 314936 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[53]" 1 524.407 313754 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[52]" 1 524.407 312572 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[52]" 1 524.407 311390 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[52]" 1 524.407 310208 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[51]" 1 524.407 309026 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[51]" 1 524.407 307844 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[51]" 1 524.407 306662 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[50]" 1 524.407 305480 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[50]" 1 524.407 304298 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[50]" 1 524.407 303116 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[49]" 1 524.407 301934 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[49]" 1 524.407 300752 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[49]" 1 524.407 299570 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[48]" 1 524.407 298388 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[48]" 1 524.407 297206 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[48]" 1 524.407 296024 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[47]" 1 524.407 294842 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[47]" 1 524.407 293660 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[47]" 1 524.407 292478 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[46]" 1 524.407 291296 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[46]" 1 524.407 290114 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[46]" 1 524.407 288932 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[45]" 1 524.407 287750 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[45]" 1 524.407 286568 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[45]" 1 524.407 285386 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[44]" 1 524.407 284204 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[44]" 1 524.407 283022 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[44]" 1 524.407 281840 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[43]" 1 524.407 280658 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[43]" 1 524.407 279476 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[43]" 1 524.407 278294 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[42]" 1 524.407 277112 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[42]" 1 524.407 275930 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[42]" 1 524.407 274748 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[41]" 1 524.407 273566 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[41]" 1 524.407 272384 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[41]" 1 524.407 271202 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[40]" 1 524.407 270020 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[40]" 1 524.407 268838 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[40]" 1 524.407 267656 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[39]" 1 524.407 266474 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[39]" 1 524.407 265292 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[39]" 1 524.407 264110 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[38]" 1 524.407 262928 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[38]" 1 524.407 261746 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[38]" 1 524.407 260564 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[37]" 1 524.407 259382 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[37]" 1 524.407 258200 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[37]" 1 524.407 257018 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[36]" 1 524.407 255836 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[36]" 1 524.407 254654 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[36]" 1 524.407 253472 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[35]" 1 524.407 252290 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[35]" 1 524.407 251108 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[35]" 1 524.407 249926 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[34]" 1 524.407 248744 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[34]" 1 524.407 247562 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[34]" 1 524.407 246380 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[33]" 1 524.407 245198 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[33]" 1 524.407 244016 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[33]" 1 524.407 242834 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[32]" 1 524.407 241652 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[32]" 1 524.407 240470 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[32]" 1 524.407 239288 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[31]" 1 524.407 238106 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[31]" 1 524.407 236924 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[31]" 1 524.407 235742 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[30]" 1 524.407 234560 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[30]" 1 524.407 233378 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[30]" 1 524.407 232196 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[29]" 1 524.407 231014 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[29]" 1 524.407 229832 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[29]" 1 524.407 228650 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[28]" 1 524.407 227468 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[28]" 1 524.407 226286 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[28]" 1 524.407 225104 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[27]" 1 524.407 223922 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[27]" 1 524.407 222740 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[27]" 1 524.407 221558 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[26]" 1 524.407 220376 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[26]" 1 524.407 219194 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[26]" 1 524.407 218012 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[25]" 1 524.407 216830 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[25]" 1 524.407 215648 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[25]" 1 524.407 214466 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[24]" 1 524.407 213284 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[24]" 1 524.407 212102 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[24]" 1 524.407 210920 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[23]" 1 524.407 209738 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[23]" 1 524.407 208556 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[23]" 1 524.407 207374 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[22]" 1 524.407 206192 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[22]" 1 524.407 205010 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[22]" 1 524.407 203828 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[21]" 1 524.407 202646 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[21]" 1 524.407 201464 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[21]" 1 524.407 200282 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[20]" 1 524.407 199100 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[20]" 1 524.407 197918 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[20]" 1 524.407 196736 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[19]" 1 524.407 195554 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[19]" 1 524.407 194372 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[19]" 1 524.407 193190 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[18]" 1 524.407 192008 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[18]" 1 524.407 190826 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[18]" 1 524.407 189644 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[17]" 1 524.407 188462 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[17]" 1 524.407 187280 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[17]" 1 524.407 186098 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[16]" 1 524.407 184916 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[16]" 1 524.407 183734 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[16]" 1 524.407 182552 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[15]" 1 524.407 181370 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[15]" 1 524.407 180188 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[15]" 1 524.407 179006 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[14]" 1 524.407 177824 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[14]" 1 524.407 176642 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[14]" 1 524.407 175460 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[13]" 1 524.407 174278 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[13]" 1 524.407 173096 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[13]" 1 524.407 171914 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[12]" 1 524.407 170732 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[12]" 1 524.407 169550 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[12]" 1 524.407 168368 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[11]" 1 524.407 167186 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[11]" 1 524.407 166004 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[11]" 1 524.407 164822 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[10]" 1 524.407 163640 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[10]" 1 524.407 162458 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[10]" 1 524.407 161276 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[9]" 1 524.407 160094 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[9]" 1 524.407 158912 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[9]" 1 524.407 157730 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[8]" 1 524.407 156548 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[8]" 1 524.407 155366 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[8]" 1 524.407 154184 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[7]" 1 524.407 153002 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[7]" 1 524.407 151820 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[7]" 1 524.407 150638 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[6]" 1 524.407 149456 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[6]" 1 524.407 148274 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[6]" 1 524.407 147092 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[5]" 1 524.407 145910 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[5]" 1 524.407 144728 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[5]" 1 524.407 143546 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[4]" 1 524.407 142364 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[4]" 1 524.407 141182 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[4]" 1 524.407 140000 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[3]" 1 524.407 138818 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[3]" 1 524.407 137636 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[3]" 1 524.407 136454 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[2]" 1 524.407 135272 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[2]" 1 524.407 134090 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[2]" 1 524.407 132908 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[1]" 1 524.407 131726 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[1]" 1 524.407 130544 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[1]" 1 524.407 129362 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_oenb[0]" 1 524.407 128180 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_out[0]" 1 524.407 126998 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "la_data_in[0]" 1 524.407 125816 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[31]" 1 524.407 124634 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[31]" 1 524.407 123452 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[31]" 1 524.407 122270 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[30]" 1 524.407 121088 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[30]" 1 524.407 119906 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[30]" 1 524.407 118724 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[29]" 1 524.407 117542 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[29]" 1 524.407 116360 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[29]" 1 524.407 115178 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[28]" 1 524.407 113996 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[28]" 1 524.407 112814 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[28]" 1 524.407 111632 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[27]" 1 524.407 110450 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[27]" 1 524.407 109268 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[27]" 1 524.407 108086 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[26]" 1 524.407 106904 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[26]" 1 524.407 105722 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[26]" 1 524.407 104540 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[25]" 1 524.407 103358 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[25]" 1 524.407 102176 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[25]" 1 524.407 100994 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[24]" 1 524.407 99812 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[24]" 1 524.407 98630 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[24]" 1 524.407 97448 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[23]" 1 524.407 96266 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[23]" 1 524.407 95084 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[23]" 1 524.407 93902 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[22]" 1 524.407 92720 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[22]" 1 524.407 91538 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[22]" 1 524.407 90356 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[21]" 1 524.407 89174 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[21]" 1 524.407 87992 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[21]" 1 524.407 86810 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[20]" 1 524.407 85628 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[20]" 1 524.407 84446 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[20]" 1 524.407 83264 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[19]" 1 524.407 82082 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[19]" 1 524.407 80900 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[19]" 1 524.407 79718 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[18]" 1 524.407 78536 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[18]" 1 524.407 77354 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[18]" 1 524.407 76172 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[17]" 1 524.407 74990 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[17]" 1 524.407 73808 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[17]" 1 524.407 72626 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[16]" 1 524.407 71444 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[16]" 1 524.407 70262 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[16]" 1 524.407 69080 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[15]" 1 524.407 67898 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[15]" 1 524.407 66716 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[15]" 1 524.407 65534 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[14]" 1 524.407 64352 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[14]" 1 524.407 63170 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[14]" 1 524.407 61988 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[13]" 1 524.407 60806 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[13]" 1 524.407 59624 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[13]" 1 524.407 58442 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[12]" 1 524.407 57260 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[12]" 1 524.407 56078 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[12]" 1 524.407 54896 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[11]" 1 524.407 53714 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[11]" 1 524.407 52532 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[11]" 1 524.407 51350 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[10]" 1 524.407 50168 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[10]" 1 524.407 48986 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[10]" 1 524.407 47804 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[9]" 1 524.407 46622 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[9]" 1 524.407 45440 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[9]" 1 524.407 44258 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[8]" 1 524.407 43076 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[8]" 1 524.407 41894 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[8]" 1 524.407 40712 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[7]" 1 524.407 39530 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[7]" 1 524.407 38348 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[7]" 1 524.407 37166 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[6]" 1 524.407 35984 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[6]" 1 524.407 34802 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[6]" 1 524.407 33620 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[5]" 1 524.407 32438 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[5]" 1 524.407 31256 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[5]" 1 524.407 30074 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[4]" 1 524.407 28892 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[4]" 1 524.407 27710 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[4]" 1 524.407 26528 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_sel_i[3]" 1 524.407 25346 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[3]" 1 524.407 24164 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[3]" 1 524.407 22982 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[3]" 1 524.407 21800 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_sel_i[2]" 1 524.407 20618 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[2]" 1 524.407 19436 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[2]" 1 524.407 18254 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[2]" 1 524.407 17072 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_sel_i[1]" 1 524.407 15890 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[1]" 1 524.407 14708 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[1]" 1 524.407 13526 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[1]" 1 524.407 12344 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_sel_i[0]" 1 524.407 11162 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_o[0]" 1 524.407 9980 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_dat_i[0]" 1 524.407 8798 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_adr_i[0]" 1 524.407 7616 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_we_i" 1 524.407 6434 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_stb_i" 1 524.407 5252 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_cyc_i" 1 524.407 4070 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wbs_ack_o" 1 524.407 2888 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wb_rst_i" 1 524.407 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "wb_clk_i" 1 556.373 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
+node "io_in[19]" 0 23139 -800 291874 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22400 600 10160400 114160 0 0 0 0 0 0
+node "REF2" 2 250050 148000 434000 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2677600 12160 300240000 313600 162000000 326000 0 0 0 0
+node "io_analog[6]" 0 706547 175894 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 105920000 43200 738160200 182040 2058031004 281206 58292472 33436 0 0
+node "REF" 1 323137 23190 671420 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 442000 4820 570876000 588400 27342000 131820 0 0 0 0
+node "CTRL1" 1 115499 56980 660080 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 36400 1080 46118000 681920 10352160 116200 0 0 0 0 0 0
+equiv "CTRL1" "io_in_3v3[15]"
+node "CTRL2" 2 58787.7 56720 399500 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 194800 3960 46081600 681520 10299920 115680 0 0 0 0 0 0
+equiv "CTRL2" "io_in[16]"
+node "CTRL3" 3 65499.3 56480 399760 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 223600 4440 49187200 726040 10254000 115200 0 0 0 0 0 0
+equiv "CTRL3" "io_in[17]"
+node "CTRL4" 3 73659.2 56200 400020 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 257200 5000 55396800 814920 10213120 114640 0 0 0 0 0 0
+equiv "CTRL4" "io_in[18]"
+node "CTRL5" 3 129627 55960 400280 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 283600 5440 61645200 904440 0 0 0 0 0 0 0 0
+node "VCTRL" 1 269272 14920 433480 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 147296000 777300 460000 2840 25122400 42440 0 0 0 0 0 0
+equiv "VCTRL" "io_analog[10]"
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "la_data_out[59]" "la_data_in[59]" 46.7738
-cap "io_oeb[8]" "io_out[8]" 67.2299
-cap "VCTRL" "REF" 143.005
-cap "la_data_in[111]" "la_oenb[110]" 46.7738
-cap "la_data_in[43]" "la_data_out[43]" 46.7738
-cap "wbs_adr_i[21]" "wbs_dat_o[20]" 46.7738
-cap "wbs_dat_i[7]" "wbs_adr_i[7]" 46.7738
-cap "io_in_3v3[23]" "gpio_noesd[16]" 67.2299
-cap "la_data_in[57]" "la_oenb[56]" 46.7738
-cap "la_data_out[0]" "la_data_in[0]" 46.7738
-cap "io_in[25]" "io_out[25]" 67.2299
-cap "io_in[24]" "io_in_3v3[24]" 67.2299
-cap "la_data_out[98]" "la_oenb[98]" 46.7738
-cap "la_data_in[2]" "la_oenb[1]" 46.7738
-cap "la_data_out[17]" "la_oenb[17]" 46.7738
-cap "la_oenb[102]" "la_data_out[102]" 46.7738
-cap "la_data_in[40]" "la_data_out[40]" 46.7738
-cap "wbs_dat_i[13]" "wbs_adr_i[13]" 46.7738
-cap "io_in[2]" "io_in_3v3[2]" 67.2299
-cap "gpio_noesd[14]" "gpio_analog[14]" 67.2299
-cap "OUTB" "OUTA" 86.5302
-cap "la_oenb[43]" "la_data_in[44]" 46.7738
-cap "la_data_in[30]" "la_data_out[30]" 46.7738
-cap "wbs_adr_i[24]" "wbs_dat_o[23]" 46.7738
-cap "la_oenb[75]" "la_data_out[75]" 46.7738
-cap "la_data_out[41]" "la_oenb[41]" 46.7738
-cap "gpio_noesd[17]" "gpio_analog[17]" 67.2299
-cap "la_data_out[87]" "la_data_in[87]" 46.7738
-cap "wbs_adr_i[28]" "wbs_dat_i[28]" 46.7738
-cap "io_in[5]" "io_out[5]" 67.2299
-cap "la_data_out[105]" "la_data_in[105]" 46.7738
-cap "la_data_out[39]" "la_data_in[39]" 46.7738
-cap "io_in[1]" "io_in_3v3[1]" 67.2299
-cap "la_oenb[46]" "la_data_out[46]" 46.7738
-cap "io_in_3v3[19]" "gpio_noesd[12]" 67.2299
-cap "la_data_in[50]" "la_oenb[49]" 46.7738
-cap "la_data_in[34]" "la_oenb[33]" 46.7738
-cap "la_data_in[31]" "la_oenb[30]" 46.7738
-cap "la_data_in[54]" "la_oenb[53]" 46.7738
-cap "wbs_dat_o[24]" "wbs_adr_i[25]" 46.7738
-cap "wbs_dat_i[10]" "wbs_adr_i[10]" 46.7738
-cap "la_data_out[112]" "la_oenb[112]" 46.7738
-cap "la_data_out[95]" "la_data_in[95]" 46.7738
-cap "la_data_in[58]" "la_oenb[57]" 46.7738
-cap "la_oenb[54]" "la_data_out[54]" 46.7738
-cap "CTRL1" "CTRL5" 6982.64
-cap "la_oenb[126]" "la_data_out[126]" 46.7738
-cap "la_data_out[34]" "la_oenb[34]" 46.7738
-cap "wbs_dat_i[14]" "wbs_adr_i[14]" 46.7738
-cap "io_out[26]" "io_oeb[26]" 67.2299
-cap "io_out[19]" "io_oeb[19]" 67.2299
-cap "la_oenb[125]" "la_data_out[125]" 46.7738
-cap "la_data_in[33]" "la_oenb[32]" 46.7738
-cap "la_data_in[43]" "la_oenb[42]" 46.7738
-cap "wbs_adr_i[19]" "wbs_dat_o[18]" 46.7738
-cap "io_out[3]" "io_oeb[3]" 67.2299
-cap "gpio_noesd[2]" "gpio_analog[2]" 67.2299
-cap "la_data_out[110]" "la_oenb[110]" 46.7738
-cap "la_oenb[109]" "la_data_out[109]" 46.7738
-cap "la_data_in[79]" "la_oenb[78]" 46.7738
-cap "la_oenb[74]" "la_data_out[74]" 46.7738
-cap "la_oenb[111]" "la_data_out[111]" 46.7738
-cap "la_data_in[41]" "la_oenb[40]" 46.7738
-cap "la_data_in[22]" "la_data_out[22]" 46.7738
-cap "wbs_dat_o[3]" "wbs_sel_i[3]" 46.7738
-cap "la_data_out[77]" "la_oenb[77]" 46.7738
-cap "la_data_in[4]" "la_oenb[3]" 46.7738
-cap "wbs_adr_i[31]" "wbs_dat_o[30]" 46.7738
-cap "la_data_in[64]" "la_oenb[63]" 46.7738
-cap "wbs_dat_o[15]" "wbs_adr_i[16]" 46.7738
-cap "io_in[4]" "io_out[4]" 67.2299
-cap "la_oenb[121]" "la_data_out[121]" 46.7738
-cap "la_data_in[101]" "la_oenb[100]" 46.7738
-cap "la_data_in[70]" "la_data_out[70]" 46.7738
-cap "la_data_out[28]" "la_data_in[28]" 46.7738
-cap "la_data_in[28]" "la_oenb[27]" 46.7738
-cap "la_oenb[4]" "la_data_in[5]" 46.7738
-cap "io_oeb[2]" "io_in_3v3[3]" 67.2299
-cap "la_data_in[110]" "la_oenb[109]" 46.7738
-cap "la_data_in[66]" "la_oenb[65]" 46.7738
-cap "wbs_dat_i[14]" "wbs_dat_o[14]" 46.7738
+cap "la_data_out[118]" "la_oenb[118]" 56.6372
+cap "la_data_in[68]" "la_data_out[68]" 56.6372
+cap "la_data_in[49]" "la_oenb[48]" 56.6372
+cap "io_in[25]" "io_out[25]" 82.3652
+cap "io_out[12]" "io_oeb[12]" 82.3652
+cap "la_oenb[11]" "la_data_out[11]" 56.6372
+cap "la_data_out[116]" "la_oenb[116]" 56.6372
+cap "la_oenb[47]" "la_data_in[48]" 56.6372
+cap "io_in[1]" "io_out[1]" 82.3652
+cap "la_oenb[43]" "la_data_out[43]" 56.6372
+cap "user_irq[0]" "user_irq[1]" 56.6372
+cap "la_data_in[124]" "la_data_out[124]" 56.6372
+cap "wbs_dat_i[24]" "wbs_dat_o[24]" 56.6372
+cap "CTRL1" "gpio_analog[7]" 411.335
+cap "CTRL5" "CTRL2" 294.76
+cap "vdda2" "io_in[19]" 7165.04
+cap "la_data_out[50]" "la_data_in[50]" 56.6372
+cap "la_data_out[2]" "la_data_in[2]" 56.6372
+cap "la_oenb[85]" "la_data_out[85]" 56.6372
+cap "io_oeb[9]" "io_out[9]" 82.3652
+cap "la_data_in[57]" "la_data_out[57]" 56.6372
+cap "la_data_out[55]" "la_oenb[55]" 56.6372
+cap "wbs_dat_o[19]" "wbs_adr_i[20]" 56.6372
+cap "la_data_out[104]" "la_data_in[104]" 56.6372
+cap "la_data_in[55]" "la_oenb[54]" 56.6372
+cap "la_data_in[31]" "la_data_out[31]" 56.6372
+cap "CTRL3" "io_out[17]" 82.4471
+cap "la_oenb[110]" "la_data_in[111]" 56.6372
+cap "wbs_dat_i[24]" "wbs_adr_i[24]" 56.6372
+cap "wbs_dat_o[22]" "wbs_adr_i[23]" 56.6372
 cap "io_analog[4]" "io_analog[4]" 26259.4
-cap "io_in_3v3[13]" "gpio_noesd[6]" 67.2299
-cap "la_oenb[86]" "la_data_out[86]" 46.7738
-cap "la_oenb[101]" "la_data_out[101]" 46.7738
-cap "la_oenb[34]" "la_data_in[35]" 46.7738
-cap "io_oeb[7]" "io_out[7]" 67.2299
-cap "la_data_out[6]" "la_data_in[6]" 46.7738
-cap "la_data_in[2]" "la_data_out[2]" 46.7738
-cap "la_data_out[12]" "la_data_in[12]" 46.7738
-cap "la_data_out[1]" "la_oenb[1]" 46.7738
-cap "la_oenb[51]" "la_data_out[51]" 46.7738
-cap "la_data_out[8]" "la_oenb[8]" 46.7738
-cap "wbs_adr_i[6]" "wbs_dat_o[5]" 46.7738
-cap "wbs_ack_o" "wb_rst_i" 46.7738
-cap "la_data_out[16]" "la_data_in[16]" 46.7738
-cap "la_data_in[92]" "la_oenb[91]" 46.7738
-cap "la_data_in[53]" "la_data_out[53]" 46.7738
-cap "wbs_dat_i[13]" "wbs_dat_o[13]" 46.7738
-cap "io_analog[6]" "io_analog[6]" 21353.1
-cap "la_data_out[110]" "la_data_in[110]" 46.7738
-cap "la_data_in[55]" "la_oenb[54]" 46.7738
-cap "io_in[11]" "io_out[11]" 67.2299
-cap "la_data_in[76]" "la_data_out[76]" 46.7738
-cap "la_oenb[14]" "la_data_out[14]" 46.7738
-cap "la_data_out[36]" "la_oenb[36]" 46.7738
-cap "la_oenb[6]" "la_data_in[7]" 46.7738
-cap "CTRL2" "CTRL3" 76281.3
-cap "CTRL2" "gpio_noesd[8]" 67.6804
-cap "la_data_out[48]" "la_oenb[48]" 46.7738
-cap "io_in[5]" "io_in_3v3[5]" 67.2299
-cap "io_in[20]" "io_out[20]" 67.2299
-cap "la_data_out[100]" "la_data_in[100]" 46.7738
-cap "wbs_adr_i[28]" "wbs_dat_o[27]" 46.7738
-cap "io_in[6]" "io_in_3v3[6]" 67.2299
-cap "la_data_out[102]" "la_data_in[102]" 46.7738
-cap "la_oenb[72]" "la_data_out[72]" 46.7738
-cap "wbs_adr_i[2]" "wbs_sel_i[1]" 46.7738
-cap "io_analog[5]" "io_analog[5]" 26259.4
-cap "la_data_in[58]" "la_data_out[58]" 46.7738
-cap "wbs_dat_i[16]" "wbs_dat_o[16]" 46.7738
-cap "io_oeb[13]" "io_out[13]" 67.2299
-cap "VCCD" "GND" 16651.9
-cap "la_oenb[94]" "la_data_in[95]" 46.7738
-cap "wbs_dat_i[23]" "wbs_dat_o[23]" 46.7738
-cap "wbs_dat_i[8]" "wbs_adr_i[8]" 46.7738
-cap "io_out[24]" "io_in[24]" 67.2299
-cap "la_data_in[29]" "la_oenb[28]" 46.7738
-cap "wbs_adr_i[5]" "wbs_dat_i[5]" 46.7738
-cap "la_data_out[19]" "la_oenb[19]" 46.7738
-cap "io_in[3]" "io_in_3v3[3]" 67.2299
-cap "la_oenb[74]" "la_data_in[75]" 46.7738
-cap "la_oenb[23]" "la_data_out[23]" 46.7738
-cap "wbs_dat_o[28]" "wbs_adr_i[29]" 46.7738
-cap "CTRL1" "io_in_3v3[14]" 67.7254
-cap "la_oenb[43]" "la_data_out[43]" 46.7738
-cap "wbs_dat_i[4]" "wbs_adr_i[4]" 46.7738
-cap "user_clock2" "la_oenb[127]" 46.7738
-cap "gpio_noesd[13]" "gpio_analog[13]" 67.2299
-cap "la_oenb[121]" "la_data_in[122]" 46.7738
-cap "la_data_in[9]" "la_oenb[8]" 46.7738
-cap "la_oenb[87]" "la_data_in[88]" 46.7738
-cap "la_data_in[60]" "la_oenb[59]" 46.7738
-cap "la_oenb[52]" "la_data_in[53]" 46.7738
-cap "wbs_dat_i[1]" "wbs_dat_o[1]" 46.7738
-cap "wbs_dat_o[22]" "wbs_dat_i[22]" 46.7738
-cap "gpio_noesd[15]" "gpio_analog[15]" 67.2299
-cap "la_oenb[122]" "la_data_in[123]" 46.7738
-cap "la_oenb[16]" "la_data_out[16]" 46.7738
-cap "la_oenb[126]" "la_data_in[127]" 46.7738
-cap "la_oenb[116]" "la_data_out[116]" 46.7738
-cap "la_data_in[114]" "la_data_out[114]" 46.7738
-cap "la_oenb[90]" "la_data_in[91]" 46.7738
-cap "io_analog[5]" "io_analog[5]" 26259.4
-cap "gpio_noesd[0]" "io_in_3v3[7]" 67.2299
-cap "wbs_dat_i[29]" "wbs_adr_i[29]" 46.7738
-cap "io_in[3]" "io_out[3]" 67.2299
-cap "io_clamp_low[0]" "io_clamp_high[0]" 468.333
-cap "la_data_in[118]" "la_data_out[118]" 46.7738
-cap "la_oenb[98]" "la_data_in[99]" 46.7738
-cap "wbs_adr_i[31]" "wbs_dat_i[31]" 46.7738
-cap "wbs_dat_o[10]" "wbs_adr_i[11]" 46.7738
-cap "la_data_out[124]" "la_oenb[124]" 46.7738
-cap "la_data_in[119]" "la_oenb[118]" 46.7738
-cap "io_out[0]" "io_oeb[0]" 67.2299
-cap "io_out[17]" "CTRL4" 67.3018
-cap "io_in[11]" "io_in_3v3[11]" 67.2299
-cap "la_oenb[37]" "la_data_in[38]" 46.7738
-cap "la_oenb[11]" "la_data_in[12]" 46.7738
-cap "la_data_out[32]" "la_oenb[32]" 46.7738
-cap "la_data_out[120]" "la_data_in[120]" 46.7738
-cap "la_oenb[75]" "la_data_in[76]" 46.7738
-cap "la_data_in[69]" "la_oenb[68]" 46.7738
-cap "la_data_in[59]" "la_oenb[58]" 46.7738
-cap "la_data_out[49]" "la_oenb[49]" 46.7738
-cap "la_data_out[48]" "la_data_in[48]" 46.7738
-cap "la_data_out[20]" "la_data_in[20]" 46.7738
-cap "io_in[10]" "io_in_3v3[10]" 67.2299
-cap "la_oenb[29]" "la_data_out[29]" 46.7738
-cap "io_oeb[25]" "io_in_3v3[26]" 67.2299
-cap "la_data_out[119]" "la_data_in[119]" 46.7738
-cap "la_oenb[68]" "la_data_out[68]" 46.7738
-cap "la_data_out[52]" "la_oenb[52]" 46.7738
-cap "la_data_out[18]" "la_oenb[18]" 46.7738
-cap "wbs_dat_i[15]" "wbs_dat_o[15]" 46.7738
-cap "io_out[9]" "io_oeb[9]" 67.2299
-cap "la_oenb[90]" "la_data_out[90]" 46.7738
-cap "la_data_in[23]" "la_data_out[23]" 46.7738
-cap "la_data_in[20]" "la_oenb[19]" 46.7738
-cap "wbs_dat_i[9]" "wbs_adr_i[9]" 46.7738
-cap "GND" "CTRL3" 425.435
-cap "io_out[18]" "CTRL5" 67.6322
-cap "la_oenb[70]" "la_data_in[71]" 46.7738
-cap "la_oenb[25]" "la_data_in[26]" 46.7738
-cap "wbs_dat_i[29]" "wbs_dat_o[29]" 46.7738
-cap "wbs_adr_i[6]" "wbs_dat_i[6]" 46.7738
-cap "CTRL2" "CTRL4" 20205.1
-cap "gpio_noesd[10]" "gpio_analog[10]" 67.2299
-cap "io_analog[5]" "io_clamp_low[1]" 468.333
-cap "la_oenb[4]" "la_data_out[4]" 46.7738
-cap "la_data_in[66]" "la_data_out[66]" 46.7738
-cap "la_data_in[19]" "la_data_out[19]" 46.7738
-cap "io_analog[5]" "io_analog[5]" 21353.1
-cap "io_oeb[22]" "io_out[22]" 67.2299
-cap "la_oenb[117]" "la_data_in[118]" 46.7738
-cap "la_data_out[86]" "la_data_in[86]" 46.7738
-cap "la_data_in[97]" "la_oenb[96]" 46.7738
-cap "la_data_out[62]" "la_oenb[62]" 46.7738
-cap "wbs_adr_i[9]" "wbs_dat_o[8]" 46.7738
-cap "la_data_in[117]" "la_oenb[116]" 46.7738
-cap "la_oenb[111]" "la_data_in[112]" 46.7738
-cap "la_data_out[81]" "la_data_in[81]" 46.7738
-cap "la_data_in[47]" "la_oenb[46]" 46.7738
-cap "io_oeb[5]" "io_out[5]" 67.2299
-cap "gpio_noesd[3]" "io_in_3v3[10]" 67.2299
-cap "io_in_3v3[25]" "io_oeb[24]" 67.2299
-cap "la_data_in[117]" "la_data_out[117]" 46.7738
-cap "la_data_out[76]" "la_oenb[76]" 46.7738
-cap "wbs_adr_i[3]" "wbs_sel_i[2]" 46.7738
-cap "io_clamp_high[2]" "io_analog[6]" 468.333
-cap "la_data_in[78]" "la_oenb[77]" 46.7738
-cap "la_data_in[18]" "la_data_out[18]" 46.7738
-cap "la_data_out[17]" "la_data_in[17]" 46.7738
-cap "la_data_out[12]" "la_oenb[12]" 46.7738
-cap "la_data_in[104]" "la_oenb[103]" 46.7738
-cap "la_oenb[36]" "la_data_in[37]" 46.7738
-cap "la_oenb[31]" "la_data_in[32]" 46.7738
-cap "la_data_out[21]" "la_data_in[21]" 46.7738
-cap "io_in[22]" "io_out[22]" 67.2299
-cap "la_data_in[106]" "la_oenb[105]" 46.7738
-cap "la_oenb[69]" "la_data_out[69]" 46.7738
-cap "la_oenb[66]" "la_data_out[66]" 46.7738
-cap "la_data_in[125]" "la_data_out[125]" 46.7738
-cap "la_oenb[51]" "la_data_in[52]" 46.7738
-cap "wbs_dat_i[11]" "wbs_adr_i[11]" 46.7738
-cap "io_in[1]" "io_out[1]" 67.2299
-cap "gpio_noesd[7]" "io_in_3v3[14]" 67.2299
-cap "la_data_in[82]" "la_data_out[82]" 46.7738
-cap "la_oenb[64]" "la_data_in[65]" 46.7738
-cap "la_data_in[31]" "la_data_out[31]" 46.7738
-cap "gpio_noesd[3]" "gpio_analog[3]" 67.2299
-cap "la_oenb[15]" "la_data_out[15]" 46.7738
-cap "wbs_stb_i" "wbs_we_i" 46.7738
-cap "la_oenb[26]" "la_data_in[27]" 46.7738
-cap "io_in[0]" "io_in_3v3[0]" 67.2299
-cap "user_irq[1]" "user_irq[0]" 46.7738
-cap "la_data_in[99]" "la_data_out[99]" 46.7738
-cap "la_data_out[62]" "la_data_in[62]" 46.7738
-cap "wbs_adr_i[17]" "wbs_dat_i[17]" 46.7738
-cap "CTRL1" "CTRL3" 16706.3
-cap "gpio_noesd[1]" "io_in_3v3[8]" 67.2299
-cap "la_data_out[15]" "la_data_in[15]" 46.7738
-cap "io_in[6]" "io_out[6]" 67.2299
-cap "la_oenb[95]" "la_data_out[95]" 46.7738
-cap "wbs_sel_i[2]" "wbs_dat_o[2]" 46.7738
-cap "gpio_analog[6]" "gpio_noesd[6]" 67.2299
-cap "io_in_3v3[16]" "CTRL3" 67.3993
-cap "la_data_in[108]" "la_data_out[108]" 46.7738
-cap "la_oenb[2]" "la_data_in[3]" 46.7738
-cap "io_out[6]" "io_oeb[6]" 67.2299
-cap "la_data_in[56]" "la_oenb[55]" 46.7738
-cap "la_data_in[109]" "la_oenb[108]" 46.7738
-cap "la_data_in[89]" "la_data_out[89]" 46.7738
-cap "la_oenb[20]" "la_data_out[20]" 46.7738
-cap "wbs_dat_i[16]" "wbs_adr_i[16]" 46.7738
-cap "la_data_out[115]" "la_data_in[115]" 46.7738
-cap "la_oenb[45]" "la_data_in[46]" 46.7738
-cap "la_oenb[16]" "la_data_in[17]" 46.7738
-cap "la_data_out[10]" "la_data_in[10]" 46.7738
-cap "gpio_noesd[12]" "gpio_analog[12]" 67.2299
-cap "wbs_dat_o[22]" "wbs_adr_i[23]" 46.7738
-cap "wbs_dat_i[9]" "wbs_dat_o[9]" 46.7738
-cap "GND" "CTRL4" 487.012
-cap "wbs_dat_o[3]" "wbs_dat_i[3]" 46.7738
-cap "wbs_dat_o[1]" "wbs_sel_i[1]" 46.7738
-cap "la_data_in[114]" "la_oenb[113]" 46.7738
-cap "la_data_in[37]" "la_data_out[37]" 46.7738
+cap "io_in_3v3[20]" "io_in[20]" 82.3652
+cap "wbs_dat_o[29]" "wbs_dat_i[29]" 56.6372
+cap "la_oenb[37]" "la_data_in[38]" 56.6372
+cap "vdda2" "CTRL5" 1271.8
+cap "io_in[23]" "io_in_3v3[23]" 82.3652
+cap "io_in[21]" "io_out[21]" 82.3652
+cap "la_oenb[49]" "la_data_in[50]" 56.6372
+cap "la_data_out[102]" "la_oenb[102]" 56.6372
+cap "wbs_dat_o[27]" "wbs_adr_i[28]" 56.6372
+cap "user_irq[1]" "user_irq[2]" 56.6372
+cap "la_data_in[17]" "la_oenb[16]" 56.6372
+cap "wbs_dat_o[23]" "wbs_adr_i[24]" 56.6372
+cap "wbs_adr_i[22]" "wbs_dat_o[21]" 56.6372
+cap "la_oenb[12]" "la_data_in[13]" 56.6372
+cap "io_in[26]" "io_out[26]" 82.3652
+cap "gpio_noesd[4]" "gpio_analog[4]" 82.3652
+cap "io_clamp_low[2]" "io_analog[6]" 384.544
+cap "CTRL3" "CTRL4" 58668.7
+cap "io_analog[4]" "io_clamp_high[0]" 486.842
+cap "la_data_in[46]" "la_data_out[46]" 56.6372
+cap "la_oenb[39]" "la_data_out[39]" 56.6372
+cap "VCTRL" "CTRL5" 201.326
+cap "la_oenb[41]" "la_data_in[42]" 56.6372
+cap "vssa2" "REF" 37113
+cap "la_oenb[119]" "la_data_out[119]" 56.6372
+cap "la_data_out[28]" "la_oenb[28]" 56.6372
+cap "wbs_dat_o[12]" "wbs_adr_i[13]" 56.6372
+cap "la_oenb[14]" "la_data_in[15]" 56.6372
+cap "io_clamp_high[1]" "io_analog[5]" 735.436
+cap "la_oenb[122]" "la_data_in[123]" 56.6372
+cap "la_oenb[61]" "la_data_out[61]" 56.6372
+cap "la_data_out[49]" "la_oenb[49]" 56.6372
+cap "io_clamp_low[1]" "io_analog[5]" 493.833
+cap "la_data_out[114]" "la_oenb[114]" 56.6372
+cap "la_oenb[107]" "la_data_in[108]" 56.6372
+cap "la_oenb[103]" "la_data_in[104]" 56.6372
+cap "la_oenb[79]" "la_data_out[79]" 56.6372
+cap "la_data_out[17]" "la_oenb[17]" 56.6372
+cap "wbs_dat_i[25]" "wbs_dat_o[25]" 56.6372
+cap "la_data_in[88]" "la_data_out[88]" 56.6372
+cap "io_analog[6]" "io_analog[6]" 27450.9
+cap "wbs_adr_i[6]" "wbs_dat_o[5]" 56.6372
+cap "io_clamp_high[0]" "io_analog[4]" 735.436
+cap "la_data_in[42]" "la_data_out[42]" 56.6372
+cap "io_oeb[6]" "io_out[6]" 82.3652
+cap "gpio_noesd[7]" "gpio_analog[7]" 84.4369
+cap "io_analog[6]" "io_clamp_low[2]" 5096.08
+cap "la_data_in[49]" "la_data_out[49]" 56.6372
+cap "la_data_in[19]" "la_oenb[18]" 56.6372
+cap "vssa2" "CTRL1" 3487.55
+cap "la_data_out[92]" "la_oenb[92]" 56.6372
+cap "la_oenb[53]" "la_data_in[54]" 56.6372
+cap "CTRL4" "vccd2" 761.391
+cap "io_clamp_high[1]" "io_analog[5]" 486.842
+cap "la_data_out[106]" "la_oenb[106]" 56.6372
+cap "la_data_in[33]" "la_data_out[33]" 56.6372
+cap "REF2" "vccd2" 47689.4
+cap "wbs_adr_i[19]" "wbs_dat_o[18]" 56.6372
+cap "wbs_adr_i[3]" "wbs_dat_i[3]" 56.6372
+cap "io_analog[6]" "io_analog[7]" 80084.7
+cap "wbs_adr_i[27]" "wbs_dat_o[26]" 56.6372
+cap "gpio_noesd[11]" "io_in_3v3[18]" 82.3652
+cap "la_data_in[115]" "la_oenb[114]" 56.6372
+cap "la_data_out[96]" "la_oenb[96]" 56.6372
+cap "la_oenb[93]" "la_data_in[94]" 56.6372
+cap "la_data_in[21]" "la_data_out[21]" 56.6372
 cap "io_analog[4]" "io_analog[4]" 26259.4
-cap "io_analog[4]" "io_clamp_high[0]" 468.333
-cap "la_data_out[59]" "la_oenb[59]" 46.7738
-cap "la_data_out[13]" "la_data_in[13]" 46.7738
-cap "wbs_dat_i[30]" "wbs_adr_i[30]" 46.7738
-cap "wbs_adr_i[1]" "wbs_sel_i[0]" 46.7738
-cap "io_analog[6]" "io_analog[6]" 26259.4
-cap "io_in[15]" "io_out[15]" 67.2299
-cap "io_out[14]" "io_oeb[14]" 67.2299
-cap "la_data_in[7]" "la_data_out[7]" 46.7738
-cap "la_data_in[109]" "la_data_out[109]" 46.7738
-cap "io_out[19]" "io_in[19]" 67.2299
-cap "io_in[8]" "io_out[8]" 67.2299
-cap "la_oenb[106]" "la_data_in[107]" 46.7738
-cap "la_oenb[88]" "la_data_out[88]" 46.7738
-cap "la_data_in[22]" "la_oenb[21]" 46.7738
-cap "io_out[23]" "io_in[23]" 67.2299
-cap "la_data_out[85]" "la_data_in[85]" 46.7738
-cap "la_data_out[38]" "la_data_in[38]" 46.7738
-cap "gpio_noesd[2]" "io_in_3v3[9]" 67.2299
-cap "la_oenb[23]" "la_data_in[24]" 46.7738
-cap "la_data_in[97]" "la_data_out[97]" 46.7738
-cap "la_data_in[74]" "la_data_out[74]" 46.7738
-cap "la_oenb[41]" "la_data_in[42]" 46.7738
-cap "la_data_in[35]" "la_data_out[35]" 46.7738
-cap "wbs_dat_i[25]" "wbs_dat_o[25]" 46.7738
-cap "la_data_in[80]" "la_data_out[80]" 46.7738
-cap "la_data_in[49]" "la_oenb[48]" 46.7738
-cap "la_oenb[12]" "la_data_in[13]" 46.7738
-cap "la_data_out[11]" "la_oenb[11]" 46.7738
-cap "gpio_noesd[4]" "io_in_3v3[11]" 67.2299
-cap "la_data_out[122]" "la_data_in[122]" 46.7738
-cap "la_oenb[113]" "la_data_out[113]" 46.7738
-cap "la_data_out[92]" "la_oenb[92]" 46.7738
-cap "la_data_out[79]" "la_data_in[79]" 46.7738
-cap "la_oenb[38]" "la_data_out[38]" 46.7738
-cap "wbs_stb_i" "wbs_cyc_i" 46.7738
-cap "io_in[10]" "io_out[10]" 67.2299
-cap "la_oenb[122]" "la_data_out[122]" 46.7738
-cap "la_oenb[61]" "la_data_in[62]" 46.7738
-cap "la_data_out[10]" "la_oenb[10]" 46.7738
-cap "io_in_3v3[18]" "gpio_noesd[11]" 67.2299
-cap "la_data_in[116]" "la_data_out[116]" 46.7738
-cap "la_data_out[101]" "la_data_in[101]" 46.7738
-cap "la_data_out[88]" "la_data_in[88]" 46.7738
-cap "la_data_out[27]" "la_oenb[27]" 46.7738
-cap "la_oenb[22]" "la_data_out[22]" 46.7738
-cap "la_data_out[127]" "la_data_in[127]" 46.7738
-cap "la_oenb[67]" "la_data_in[68]" 46.7738
-cap "la_data_out[36]" "la_data_in[36]" 46.7738
-cap "la_data_in[126]" "la_data_out[126]" 46.7738
-cap "la_data_out[96]" "la_oenb[96]" 46.7738
-cap "la_data_in[29]" "la_data_out[29]" 46.7738
-cap "wbs_dat_i[30]" "wbs_dat_o[30]" 46.7738
-cap "CTRL1" "CTRL4" 9477.69
-cap "la_oenb[104]" "la_data_in[105]" 46.7738
-cap "wbs_adr_i[20]" "wbs_dat_o[19]" 46.7738
-cap "la_oenb[104]" "la_data_out[104]" 46.7738
-cap "la_data_in[81]" "la_oenb[80]" 46.7738
-cap "la_data_out[11]" "la_data_in[11]" 46.7738
-cap "wbs_dat_i[18]" "wbs_adr_i[18]" 46.7738
-cap "wbs_dat_o[0]" "wbs_sel_i[0]" 46.7738
-cap "la_data_in[94]" "la_oenb[93]" 46.7738
-cap "la_data_out[73]" "la_data_in[73]" 46.7738
-cap "la_data_out[39]" "la_oenb[39]" 46.7738
-cap "la_data_in[24]" "la_data_out[24]" 46.7738
-cap "la_data_in[14]" "la_oenb[13]" 46.7738
-cap "gpio_noesd[17]" "io_in_3v3[24]" 67.2299
-cap "la_data_in[77]" "la_oenb[76]" 46.7738
-cap "la_oenb[38]" "la_data_in[39]" 46.7738
-cap "io_in[20]" "io_in_3v3[20]" 67.2299
-cap "io_in[9]" "io_out[9]" 67.2299
-cap "la_data_out[57]" "la_oenb[57]" 46.7738
-cap "la_data_out[33]" "la_oenb[33]" 46.7738
-cap "la_data_out[30]" "la_oenb[30]" 46.7738
-cap "la_oenb[14]" "la_data_in[15]" 46.7738
-cap "wbs_dat_o[25]" "wbs_adr_i[26]" 46.7738
-cap "la_data_in[90]" "la_data_out[90]" 46.7738
-cap "wbs_dat_i[8]" "wbs_dat_o[8]" 46.7738
-cap "CTRL5" "CTRL3" 24871.1
-cap "io_out[16]" "io_oeb[16]" 67.2299
-cap "la_data_in[104]" "la_data_out[104]" 46.7738
-cap "la_oenb[85]" "la_data_in[86]" 46.7738
-cap "la_data_in[56]" "la_data_out[56]" 46.7738
-cap "la_oenb[31]" "la_data_out[31]" 46.7738
-cap "la_data_out[14]" "la_data_in[14]" 46.7738
-cap "la_data_out[63]" "la_oenb[63]" 46.7738
-cap "la_oenb[60]" "la_data_out[60]" 46.7738
-cap "la_oenb[10]" "la_data_in[11]" 46.7738
-cap "la_oenb[102]" "la_data_in[103]" 46.7738
-cap "la_data_out[28]" "la_oenb[28]" 46.7738
-cap "wbs_dat_i[18]" "wbs_dat_o[18]" 46.7738
-cap "la_data_in[32]" "la_data_out[32]" 46.7738
-cap "wbs_sel_i[3]" "wbs_adr_i[4]" 46.7738
-cap "io_oeb[23]" "io_out[23]" 67.2299
-cap "la_oenb[123]" "la_data_out[123]" 46.7738
-cap "la_oenb[103]" "la_data_out[103]" 46.7738
-cap "la_data_out[96]" "la_data_in[96]" 46.7738
-cap "la_data_in[72]" "la_data_out[72]" 46.7738
-cap "la_data_in[93]" "la_oenb[92]" 46.7738
-cap "la_data_out[8]" "la_data_in[8]" 46.7738
-cap "wbs_dat_i[31]" "wbs_dat_o[31]" 46.7738
-cap "la_data_out[65]" "la_data_in[65]" 46.7738
-cap "la_oenb[47]" "la_data_out[47]" 46.7738
-cap "wbs_dat_o[28]" "wbs_dat_i[28]" 46.7738
-cap "wbs_adr_i[20]" "wbs_dat_i[20]" 46.7738
-cap "wbs_dat_i[12]" "wbs_adr_i[12]" 46.7738
-cap "la_data_in[50]" "la_data_out[50]" 46.7738
-cap "user_clock2" "user_irq[0]" 46.7738
-cap "wbs_adr_i[14]" "wbs_dat_o[13]" 46.7738
-cap "io_oeb[1]" "io_out[1]" 67.2299
-cap "la_oenb[123]" "la_data_in[124]" 46.7738
-cap "la_data_out[27]" "la_data_in[27]" 46.7738
-cap "gpio_noesd[8]" "gpio_analog[8]" 67.2299
-cap "la_oenb[108]" "la_data_out[108]" 46.7738
-cap "la_oenb[105]" "la_data_out[105]" 46.7738
-cap "la_oenb[119]" "la_data_out[119]" 46.7738
-cap "la_data_out[49]" "la_data_in[49]" 46.7738
-cap "wbs_adr_i[30]" "wbs_dat_o[29]" 46.7738
-cap "wbs_dat_i[20]" "wbs_dat_o[20]" 46.7738
-cap "CTRL2" "GND" 343.549
-cap "io_out[14]" "CTRL1" 67.495
-cap "la_data_out[40]" "la_oenb[40]" 46.7738
-cap "wbs_dat_i[5]" "wbs_dat_o[5]" 46.7738
-cap "la_data_out[106]" "la_oenb[106]" 46.7738
-cap "la_data_in[89]" "la_oenb[88]" 46.7738
-cap "la_oenb[53]" "la_data_out[53]" 46.7738
-cap "la_data_out[3]" "la_data_in[3]" 46.7738
-cap "la_data_in[80]" "la_oenb[79]" 46.7738
-cap "la_oenb[64]" "la_data_out[64]" 46.7738
-cap "la_data_in[0]" "wbs_dat_o[31]" 46.7738
-cap "wbs_dat_o[0]" "wbs_dat_i[0]" 46.7738
-cap "la_oenb[117]" "la_data_out[117]" 46.7738
-cap "la_oenb[42]" "la_data_out[42]" 46.7738
-cap "wbs_adr_i[12]" "wbs_dat_o[11]" 46.7738
-cap "gpio_noesd[4]" "gpio_analog[4]" 67.2299
-cap "la_oenb[101]" "la_data_in[102]" 46.7738
-cap "la_oenb[85]" "la_data_out[85]" 46.7738
-cap "la_data_in[83]" "la_oenb[82]" 46.7738
-cap "la_data_in[25]" "la_oenb[24]" 46.7738
-cap "wbs_adr_i[3]" "wbs_dat_i[3]" 46.7738
-cap "gpio_noesd[10]" "io_in_3v3[17]" 67.2299
-cap "la_oenb[100]" "la_data_out[100]" 46.7738
-cap "la_data_in[63]" "la_oenb[62]" 46.7738
-cap "la_oenb[9]" "la_data_in[10]" 46.7738
-cap "wbs_adr_i[27]" "wbs_dat_o[26]" 46.7738
-cap "la_oenb[95]" "la_data_in[96]" 46.7738
-cap "la_oenb[44]" "la_data_out[44]" 46.7738
-cap "wbs_dat_o[16]" "wbs_adr_i[17]" 46.7738
-cap "io_in[0]" "io_out[0]" 67.2299
-cap "la_data_in[108]" "la_oenb[107]" 46.7738
-cap "la_oenb[78]" "la_data_out[78]" 46.7738
-cap "io_out[16]" "CTRL3" 67.4747
-cap "io_oeb[15]" "io_out[15]" 67.2299
-cap "la_data_in[18]" "la_oenb[17]" 46.7738
-cap "io_in_3v3[21]" "gpio_noesd[14]" 67.2299
-cap "la_data_out[118]" "la_oenb[118]" 46.7738
-cap "la_oenb[58]" "la_data_out[58]" 46.7738
-cap "wb_rst_i" "wb_clk_i" 46.7738
-cap "io_in[13]" "io_out[13]" 67.2299
-cap "user_irq[2]" "user_irq[1]" 46.7738
-cap "la_oenb[9]" "la_data_out[9]" 46.7738
-cap "wbs_dat_i[15]" "wbs_adr_i[15]" 46.7738
-cap "la_oenb[37]" "la_data_out[37]" 46.7738
-cap "CTRL5" "CTRL4" 111027
-cap "gpio_analog[16]" "gpio_noesd[16]" 67.2299
-cap "io_in_3v3[18]" "CTRL5" 67.5861
-cap "la_data_in[74]" "la_oenb[73]" 46.7738
+cap "gpio_analog[17]" "gpio_noesd[17]" 82.3652
+cap "la_data_out[90]" "la_oenb[90]" 56.6372
+cap "la_data_out[22]" "la_oenb[22]" 56.6372
+cap "wbs_adr_i[19]" "wbs_dat_i[19]" 56.6372
+cap "io_oeb[1]" "io_out[1]" 82.3652
+cap "la_data_out[42]" "la_oenb[42]" 56.6372
+cap "CTRL4" "gpio_analog[7]" 293.707
+cap "la_data_in[89]" "la_oenb[88]" 56.6372
+cap "wbs_adr_i[18]" "wbs_dat_i[18]" 56.6372
+cap "REF2" "gpio_analog[7]" 4259.99
+cap "io_analog[6]" "io_clamp_high[2]" 384.118
+cap "la_data_out[68]" "la_oenb[68]" 56.6372
+cap "la_data_in[29]" "la_data_out[29]" 56.6372
+cap "la_data_in[13]" "la_data_out[13]" 56.6372
+cap "wbs_dat_i[19]" "wbs_dat_o[19]" 56.6372
+cap "REF" "CTRL2" 796.903
+cap "la_data_in[116]" "la_data_out[116]" 56.6372
+cap "wbs_dat_o[17]" "wbs_adr_i[18]" 56.6372
+cap "wbs_dat_i[14]" "wbs_adr_i[14]" 56.6372
+cap "wbs_dat_i[6]" "wbs_dat_o[6]" 56.6372
+cap "wbs_dat_i[4]" "wbs_dat_o[4]" 56.6372
+cap "io_out[18]" "CTRL4" 82.8227
+cap "la_oenb[90]" "la_data_in[91]" 56.6372
+cap "la_oenb[41]" "la_data_out[41]" 56.6372
+cap "la_oenb[109]" "la_data_in[110]" 56.6372
+cap "io_out[24]" "io_in[24]" 82.3652
+cap "la_oenb[33]" "la_data_in[34]" 56.6372
+cap "io_in_3v3[13]" "gpio_noesd[6]" 82.3652
+cap "la_data_in[125]" "la_data_out[125]" 56.6372
+cap "la_data_out[76]" "la_oenb[76]" 56.6372
+cap "wbs_dat_i[30]" "wbs_dat_o[30]" 56.6372
+cap "gpio_analog[6]" "gpio_noesd[6]" 82.3652
+cap "la_data_in[115]" "la_data_out[115]" 56.6372
+cap "la_oenb[52]" "la_data_in[53]" 56.6372
+cap "wbs_sel_i[1]" "wbs_adr_i[2]" 56.6372
+cap "gpio_noesd[14]" "io_in_3v3[21]" 82.3652
+cap "io_in[20]" "io_out[20]" 82.3652
+cap "la_data_in[93]" "la_oenb[92]" 56.6372
+cap "gpio_noesd[9]" "gpio_analog[9]" 82.3652
+cap "vdda2" "REF" 45375.4
+cap "la_data_out[82]" "la_oenb[82]" 56.6372
+cap "io_in_3v3[12]" "gpio_noesd[5]" 82.3652
+cap "io_clamp_low[0]" "io_analog[4]" 735.436
+cap "io_clamp_low[2]" "io_analog[6]" 486.842
+cap "la_data_in[91]" "la_data_out[91]" 56.6372
+cap "la_data_in[24]" "la_data_out[24]" 56.6372
+cap "la_data_in[11]" "la_data_out[11]" 56.6372
+cap "la_oenb[10]" "la_data_in[11]" 56.6372
+cap "la_data_out[10]" "la_oenb[10]" 56.6372
+cap "wbs_dat_i[12]" "wbs_adr_i[12]" 56.6372
+cap "io_out[19]" "io_in[19]" 82.5221
+cap "la_oenb[69]" "la_data_in[70]" 56.6372
+cap "CTRL1" "CTRL2" 83257.5
+cap "la_data_in[27]" "la_data_out[27]" 56.6372
+cap "io_analog[6]" "io_analog[6]" 27499.9
+cap "user_irq[0]" "user_clock2" 56.6372
+cap "la_oenb[77]" "la_data_in[78]" 56.6372
+cap "wbs_cyc_i" "wbs_stb_i" 56.6372
+cap "io_oeb[8]" "io_out[8]" 82.3652
+cap "la_data_in[101]" "la_data_out[101]" 56.6372
+cap "la_data_in[35]" "la_data_out[35]" 56.6372
+cap "CTRL5" "io_in[19]" 62.2374
+cap "la_data_out[99]" "la_oenb[99]" 56.6372
+cap "la_data_out[84]" "la_oenb[84]" 56.6372
+cap "la_data_in[75]" "la_data_out[75]" 56.6372
+cap "la_oenb[6]" "la_data_in[7]" 56.6372
+cap "VCTRL" "REF" 126.11
+cap "io_oeb[0]" "io_out[0]" 82.3652
+cap "la_data_in[83]" "la_data_out[83]" 56.6372
+cap "la_data_out[32]" "la_data_in[32]" 56.6372
+cap "wbs_we_i" "wbs_stb_i" 56.6372
+cap "gpio_noesd[10]" "io_in_3v3[17]" 82.3652
+cap "la_data_out[125]" "la_oenb[125]" 56.6372
+cap "la_data_out[100]" "la_data_in[100]" 56.6372
+cap "la_data_in[67]" "la_data_out[67]" 56.6372
+cap "la_data_out[36]" "la_data_in[36]" 56.6372
+cap "wbs_sel_i[2]" "wbs_dat_o[2]" 56.6372
+cap "vdda2" "CTRL1" 8451.37
+cap "la_oenb[87]" "la_data_in[88]" 56.6372
+cap "io_analog[7]" "m3_290506_594136#" 1343.53
+cap "la_oenb[117]" "la_data_out[117]" 56.6372
+cap "la_data_out[40]" "la_oenb[40]" 56.6372
+cap "io_clamp_low[0]" "io_analog[4]" 486.842
+cap "la_data_in[27]" "la_oenb[26]" 56.6372
+cap "la_data_in[23]" "la_data_out[23]" 56.6372
+cap "la_data_in[21]" "la_oenb[20]" 56.6372
+cap "la_data_in[125]" "la_oenb[124]" 56.6372
+cap "la_oenb[40]" "la_data_in[41]" 56.6372
+cap "wbs_adr_i[28]" "wbs_dat_i[28]" 56.6372
+cap "la_data_in[93]" "la_data_out[93]" 56.6372
+cap "wbs_adr_i[2]" "wbs_dat_i[2]" 56.6372
+cap "CTRL4" "vssa2" 1509.96
+cap "io_out[17]" "io_oeb[17]" 82.3652
+cap "wbs_dat_i[12]" "wbs_dat_o[12]" 56.6372
+cap "VCTRL" "CTRL1" 561.222
+cap "REF2" "vssa2" 31320.9
+cap "gpio_analog[11]" "gpio_noesd[11]" 82.3652
+cap "gpio_noesd[7]" "io_in_3v3[14]" 82.3652
+cap "la_oenb[105]" "la_data_in[106]" 56.6372
+cap "la_data_in[80]" "la_data_out[80]" 56.6372
+cap "la_data_out[74]" "la_oenb[74]" 56.6372
+cap "la_data_in[41]" "la_data_out[41]" 56.6372
+cap "wbs_adr_i[1]" "wbs_dat_i[1]" 56.6372
+cap "io_in_3v3[25]" "io_oeb[24]" 82.3652
+cap "io_in_3v3[24]" "io_in[24]" 82.3652
+cap "gpio_noesd[4]" "io_in_3v3[11]" 82.3652
+cap "la_oenb[97]" "la_data_in[98]" 56.6372
+cap "la_data_in[79]" "la_data_out[79]" 56.6372
+cap "la_data_in[25]" "la_data_out[25]" 56.6372
+cap "la_data_out[122]" "la_oenb[122]" 56.6372
+cap "la_data_out[70]" "la_data_in[70]" 56.6372
+cap "la_data_out[30]" "la_oenb[30]" 56.6372
+cap "la_data_out[26]" "la_oenb[26]" 56.6372
+cap "wbs_dat_o[3]" "wbs_dat_i[3]" 56.6372
+cap "io_analog[6]" "REF" 80084.7
+cap "la_oenb[125]" "la_data_in[126]" 56.6372
+cap "la_oenb[95]" "la_data_out[95]" 56.6372
+cap "io_in[12]" "io_in_3v3[12]" 82.3652
+cap "la_data_in[121]" "la_data_out[121]" 56.6372
+cap "la_data_out[86]" "la_oenb[86]" 56.6372
+cap "CTRL3" "vccd2" 761.391
+cap "la_data_in[98]" "la_data_out[98]" 56.6372
+cap "io_in[9]" "io_out[9]" 82.3652
+cap "la_oenb[123]" "la_data_out[123]" 56.6372
+cap "io_analog[5]" "io_analog[5]" 26259.4
+cap "io_oeb[25]" "io_in_3v3[26]" 82.3652
+cap "io_out[3]" "io_in[3]" 82.3652
+cap "wbs_adr_i[8]" "wbs_dat_i[8]" 56.6372
+cap "la_data_in[43]" "la_oenb[42]" 56.6372
+cap "io_oeb[11]" "io_out[11]" 82.3652
+cap "la_data_out[98]" "la_oenb[98]" 56.6372
+cap "la_data_in[28]" "la_data_out[28]" 56.6372
+cap "la_data_out[21]" "la_oenb[21]" 56.6372
+cap "CTRL3" "gpio_analog[7]" 293.707
+cap "la_data_in[76]" "la_data_out[76]" 56.6372
+cap "wbs_dat_i[11]" "wbs_adr_i[11]" 56.6372
+cap "io_out[6]" "io_in[6]" 82.3652
+cap "la_data_out[124]" "la_oenb[124]" 56.6372
+cap "la_data_out[112]" "la_oenb[112]" 56.6372
+cap "la_oenb[63]" "la_data_in[64]" 56.6372
+cap "io_in[26]" "io_in_3v3[26]" 82.3652
+cap "la_oenb[115]" "la_data_in[116]" 56.6372
+cap "la_data_in[89]" "la_data_out[89]" 56.6372
+cap "la_oenb[3]" "la_data_in[4]" 56.6372
+cap "io_out[2]" "io_oeb[2]" 82.3652
+cap "la_oenb[57]" "la_data_out[57]" 56.6372
+cap "la_data_out[31]" "la_oenb[31]" 56.6372
+cap "io_out[20]" "io_oeb[20]" 82.3652
+cap "la_data_out[6]" "la_data_in[6]" 56.6372
+cap "wbs_dat_i[26]" "wbs_dat_o[26]" 56.6372
+cap "wbs_adr_i[10]" "wbs_dat_i[10]" 56.6372
+cap "wbs_dat_o[1]" "wbs_dat_i[1]" 56.6372
+cap "CTRL4" "CTRL2" 232.119
+cap "io_in[14]" "io_out[14]" 82.3652
+cap "la_oenb[45]" "la_data_out[45]" 56.6372
+cap "wbs_adr_i[21]" "wbs_dat_o[20]" 56.6372
+cap "io_oeb[22]" "io_out[22]" 82.3652
+cap "gpio_noesd[0]" "gpio_analog[0]" 82.3652
+cap "gpio_noesd[12]" "io_in_3v3[19]" 82.9809
+cap "la_data_out[46]" "la_oenb[46]" 56.6372
+cap "la_data_out[20]" "la_oenb[20]" 56.6372
+cap "wbs_dat_i[5]" "wbs_dat_o[5]" 56.6372
+cap "la_data_in[86]" "la_data_out[86]" 56.6372
+cap "la_data_out[77]" "la_oenb[77]" 56.6372
+cap "la_data_in[74]" "la_data_out[74]" 56.6372
+cap "wbs_dat_i[22]" "wbs_dat_o[22]" 56.6372
+cap "io_in_3v3[25]" "io_in[25]" 82.3652
+cap "la_data_out[70]" "la_oenb[70]" 56.6372
+cap "wbs_dat_o[15]" "wbs_dat_i[15]" 56.6372
+cap "wbs_dat_o[0]" "wbs_sel_i[0]" 56.6372
+cap "io_in_3v3[8]" "gpio_noesd[1]" 82.3652
+cap "la_data_in[122]" "la_data_out[122]" 56.6372
+cap "la_oenb[96]" "la_data_in[97]" 56.6372
+cap "wbs_dat_i[6]" "wbs_adr_i[6]" 56.6372
+cap "txinb" "txina" 1775.07
+cap "io_in[15]" "io_out[15]" 82.3652
+cap "vccd2" "gpio_analog[7]" 24962.3
+cap "la_oenb[121]" "la_data_out[121]" 56.6372
+cap "io_in_3v3[7]" "gpio_noesd[0]" 82.3652
+cap "io_out[12]" "io_in[12]" 82.3652
+cap "wbs_dat_o[31]" "la_data_in[0]" 56.6372
+cap "vdda2" "CTRL4" 7786.91
+cap "io_oeb[5]" "io_out[5]" 82.3652
+cap "la_data_out[27]" "la_oenb[27]" 56.6372
+cap "io_oeb[10]" "io_out[10]" 82.3652
+cap "la_data_in[54]" "la_data_out[54]" 56.6372
+cap "wbs_sel_i[2]" "wbs_adr_i[3]" 56.6372
+cap "la_data_out[109]" "la_oenb[109]" 56.6372
+cap "wbs_adr_i[9]" "wbs_dat_o[8]" 56.6372
+cap "wbs_adr_i[1]" "wbs_sel_i[0]" 56.6372
+cap "la_oenb[101]" "la_data_out[101]" 56.6372
+cap "la_oenb[36]" "la_data_in[37]" 56.6372
+cap "CTRL5" "REF" 1188.97
+cap "io_in[7]" "io_in_3v3[7]" 82.3652
+cap "io_analog[7]" "REF" 2220
+cap "la_data_out[120]" "la_oenb[120]" 56.6372
+cap "la_oenb[1]" "la_data_in[2]" 56.6372
+cap "wbs_adr_i[29]" "wbs_dat_i[29]" 56.6372
+cap "la_data_out[14]" "la_oenb[14]" 56.6372
+cap "VCTRL" "CTRL4" 155.036
+cap "gpio_noesd[3]" "gpio_analog[3]" 82.3652
+cap "la_data_in[120]" "la_data_out[120]" 56.6372
+cap "la_data_out[62]" "la_oenb[62]" 56.6372
+cap "la_data_out[62]" "la_data_in[62]" 56.6372
+cap "la_oenb[61]" "la_data_in[62]" 56.6372
+cap "io_in[10]" "io_out[10]" 82.3652
+cap "la_oenb[127]" "user_clock2" 56.6372
+cap "la_oenb[119]" "la_data_in[120]" 56.6372
+cap "la_oenb[56]" "la_data_in[57]" 56.6372
+cap "la_data_out[9]" "la_oenb[9]" 56.6372
+cap "la_data_in[55]" "la_data_out[55]" 56.6372
+cap "io_in[8]" "io_in_3v3[8]" 82.3652
+cap "la_oenb[85]" "la_data_in[86]" 56.6372
+cap "CTRL3" "vssa2" 1556.91
+cap "la_data_in[40]" "la_data_out[40]" 56.6372
+cap "io_in_3v3[2]" "io_in[2]" 82.3652
+cap "io_in_3v3[3]" "io_oeb[2]" 82.3652
+cap "la_data_in[48]" "la_data_out[48]" 56.6372
+cap "la_data_out[25]" "la_oenb[25]" 56.6372
+cap "wbs_adr_i[16]" "wbs_dat_o[15]" 56.6372
+cap "wbs_dat_i[5]" "wbs_adr_i[5]" 56.6372
+cap "la_oenb[97]" "la_data_out[97]" 56.6372
+cap "la_data_in[63]" "la_data_out[63]" 56.6372
+cap "la_data_out[60]" "la_oenb[60]" 56.6372
+cap "wbs_dat_i[30]" "wbs_adr_i[30]" 56.6372
+cap "CTRL1" "CTRL5" 318.42
+cap "la_data_in[99]" "la_oenb[98]" 56.6372
+cap "la_data_out[60]" "la_data_in[60]" 56.6372
+cap "la_oenb[59]" "la_data_in[60]" 56.6372
+cap "io_out[23]" "io_oeb[23]" 82.3652
+cap "io_in[10]" "io_in_3v3[10]" 82.3652
+cap "io_in_3v3[17]" "CTRL3" 82.5992
+cap "gpio_noesd[10]" "gpio_analog[10]" 82.3652
+cap "la_data_in[67]" "la_oenb[66]" 56.6372
+cap "la_oenb[35]" "la_data_out[35]" 56.6372
+cap "wbs_adr_i[9]" "wbs_dat_i[9]" 56.6372
+cap "la_data_out[8]" "la_oenb[8]" 56.6372
+cap "la_data_in[0]" "la_data_out[0]" 56.6372
+cap "wbs_adr_i[15]" "wbs_dat_o[14]" 56.6372
+cap "io_in_3v3[13]" "io_in[13]" 83.2612
+cap "la_oenb[102]" "la_data_in[103]" 56.6372
+cap "la_data_out[94]" "la_oenb[94]" 56.6372
+cap "la_oenb[73]" "la_data_in[74]" 56.6372
+cap "la_data_in[47]" "la_oenb[46]" 56.6372
+cap "la_oenb[121]" "la_data_in[122]" 56.6372
+cap "la_oenb[75]" "la_data_in[76]" 56.6372
+cap "wbs_dat_i[28]" "wbs_dat_o[28]" 56.6372
+cap "io_in[1]" "io_in_3v3[1]" 82.3652
+cap "io_in[23]" "io_out[23]" 82.3652
+cap "io_out[16]" "CTRL2" 82.6435
+cap "io_in_3v3[16]" "CTRL2" 82.5579
+cap "la_data_out[107]" "la_oenb[107]" 56.6372
+cap "io_out[4]" "io_in[4]" 82.3652
+cap "OUT180" "OUT0" 2493.89
+cap "la_data_in[19]" "la_data_out[19]" 56.6372
+cap "wbs_dat_i[8]" "wbs_dat_o[8]" 56.6372
+cap "wbs_cyc_i" "wbs_ack_o" 56.6372
+cap "la_data_in[43]" "la_data_out[43]" 56.6372
+cap "io_analog[5]" "io_analog[5]" 26259.4
+cap "la_data_in[78]" "la_data_out[78]" 56.6372
+cap "wbs_dat_o[18]" "wbs_dat_i[18]" 56.6372
+cap "REF2" "io_analog[6]" 80084.7
+cap "la_oenb[111]" "la_data_in[112]" 56.6372
+cap "la_oenb[86]" "la_data_in[87]" 56.6372
+cap "wbs_adr_i[26]" "wbs_dat_i[26]" 56.6372
+cap "la_data_out[110]" "la_oenb[110]" 56.6372
+cap "vssa2" "vccd2" 171658
+cap "io_in_3v3[19]" "io_in[19]" 82.5221
+cap "io_oeb[18]" "io_out[18]" 82.3652
+cap "io_in[11]" "io_in_3v3[11]" 82.3652
+cap "io_out[14]" "io_oeb[14]" 82.3652
+cap "la_data_out[69]" "la_oenb[69]" 56.6372
+cap "io_oeb[0]" "io_in_3v3[1]" 82.3652
+cap "io_out[8]" "io_in[8]" 82.3652
+cap "la_oenb[73]" "la_data_out[73]" 56.6372
+cap "la_data_out[64]" "la_oenb[64]" 56.6372
+cap "la_oenb[21]" "la_data_in[22]" 56.6372
+cap "gpio_noesd[8]" "CTRL1" 82.8772
+cap "io_clamp_low[1]" "io_clamp_high[1]" 486.842
+cap "la_oenb[113]" "la_data_in[114]" 56.6372
+cap "wbs_dat_i[27]" "wbs_dat_o[27]" 56.6372
+cap "la_data_in[77]" "la_data_out[77]" 56.6372
+cap "la_oenb[65]" "la_data_in[66]" 56.6372
+cap "la_data_out[30]" "la_data_in[30]" 56.6372
+cap "vssa2" "gpio_analog[7]" 14274.4
+cap "la_data_in[96]" "la_data_out[96]" 56.6372
+cap "la_oenb[78]" "la_data_in[79]" 56.6372
+cap "la_oenb[59]" "la_data_out[59]" 56.6372
+cap "CTRL3" "CTRL2" 98582.2
+cap "la_data_out[84]" "la_data_in[84]" 56.6372
+cap "la_data_out[83]" "la_oenb[83]" 56.6372
+cap "la_data_out[19]" "la_oenb[19]" 56.6372
+cap "la_oenb[37]" "la_data_out[37]" 56.6372
+cap "io_in_3v3[2]" "io_oeb[1]" 82.3652
+cap "io_oeb[7]" "io_out[7]" 82.3652
+cap "la_data_out[0]" "la_oenb[0]" 56.6372
+cap "la_data_in[127]" "la_data_out[127]" 56.6372
+cap "la_data_out[108]" "la_oenb[108]" 56.6372
+cap "la_data_in[73]" "la_data_out[73]" 56.6372
+cap "io_oeb[15]" "io_out[15]" 82.3652
+cap "la_data_in[25]" "la_oenb[24]" 56.6372
+cap "la_data_out[67]" "la_oenb[67]" 56.6372
+cap "la_data_out[14]" "la_data_in[14]" 56.6372
+cap "io_out[26]" "io_oeb[26]" 82.3652
+cap "io_in[6]" "io_in_3v3[6]" 82.3652
+cap "la_oenb[111]" "la_data_out[111]" 56.6372
+cap "la_data_in[39]" "la_data_out[39]" 56.6372
+cap "la_data_in[1]" "la_oenb[0]" 56.6372
+cap "io_analog[6]" "io_clamp_high[2]" 5610.15
+cap "vdda2" "CTRL3" 7786.49
+cap "la_data_in[47]" "la_data_out[47]" 56.6372
+cap "wbs_ack_o" "wb_rst_i" 56.6372
+cap "la_data_in[82]" "la_data_out[82]" 56.6372
+cap "la_oenb[43]" "la_data_in[44]" 56.6372
+cap "la_oenb[27]" "la_data_in[28]" 56.6372
+cap "la_oenb[19]" "la_data_in[20]" 56.6372
+cap "la_data_in[4]" "la_data_out[4]" 56.6372
+cap "la_data_in[1]" "la_data_out[1]" 56.6372
+cap "la_data_out[16]" "la_oenb[16]" 56.6372
+cap "io_out[16]" "io_oeb[16]" 82.3652
+cap "la_data_out[113]" "la_oenb[113]" 56.6372
+cap "la_data_in[109]" "la_oenb[108]" 56.6372
+cap "la_data_out[89]" "la_oenb[89]" 56.6372
+cap "la_data_out[10]" "la_data_in[10]" 56.6372
+cap "vccd2" "CTRL2" 743.055
+cap "VCTRL" "CTRL3" 155.036
+cap "la_oenb[101]" "la_data_in[102]" 56.6372
+cap "la_data_in[99]" "la_data_out[99]" 56.6372
+cap "la_data_in[17]" "la_data_out[17]" 56.6372
+cap "la_data_out[3]" "la_oenb[3]" 56.6372
+cap "io_in[9]" "io_in_3v3[9]" 82.3652
+cap "io_clamp_high[1]" "io_analog[5]" 493.833
+cap "la_data_out[66]" "la_data_in[66]" 56.6372
+cap "la_data_in[59]" "la_data_out[59]" 56.6372
+cap "wbs_adr_i[5]" "wbs_dat_o[4]" 56.6372
+cap "CTRL4" "CTRL5" 82115.4
+cap "la_oenb[94]" "la_data_in[95]" 56.6372
+cap "la_oenb[70]" "la_data_in[71]" 56.6372
+cap "la_oenb[25]" "la_data_in[26]" 56.6372
+cap "wbs_adr_i[31]" "wbs_dat_i[31]" 56.6372
+cap "CTRL1" "REF" 1197.65
 cap "io_analog[4]" "io_analog[4]" 21353.1
-cap "io_in_3v3[25]" "io_in[25]" 67.2299
-cap "io_analog[6]" "io_clamp_low[2]" 468.333
-cap "la_data_out[57]" "la_data_in[57]" 46.7738
-cap "la_data_out[55]" "la_oenb[55]" 46.7738
-cap "la_oenb[2]" "la_data_out[2]" 46.7738
-cap "wbs_dat_o[7]" "wbs_adr_i[8]" 46.7738
-cap "io_in_3v3[19]" "io_in[19]" 67.2299
-cap "la_data_out[83]" "la_data_in[83]" 46.7738
-cap "la_data_in[121]" "la_data_out[121]" 46.7738
-cap "la_data_in[54]" "la_data_out[54]" 46.7738
-cap "la_data_in[47]" "la_data_out[47]" 46.7738
-cap "io_out[20]" "io_oeb[20]" 67.2299
-cap "la_data_in[41]" "la_data_out[41]" 46.7738
-cap "la_data_in[113]" "la_data_out[113]" 46.7738
-cap "la_data_out[75]" "la_data_in[75]" 46.7738
-cap "la_data_in[51]" "la_oenb[50]" 46.7738
-cap "la_oenb[35]" "la_data_out[35]" 46.7738
+cap "wbs_dat_i[0]" "wbs_adr_i[0]" 56.6372
+cap "gpio_analog[7]" "CTRL2" 291.111
+cap "io_in[4]" "io_in_3v3[4]" 82.3652
+cap "la_oenb[105]" "la_data_out[105]" 56.6372
+cap "la_data_out[100]" "la_oenb[100]" 56.6372
+cap "la_data_in[97]" "la_data_out[97]" 56.6372
+cap "wbs_dat_o[10]" "wbs_adr_i[11]" 56.6372
+cap "gpio_analog[14]" "gpio_noesd[14]" 82.3652
+cap "la_oenb[72]" "la_data_in[73]" 56.6372
+cap "wbs_adr_i[22]" "wbs_dat_i[22]" 56.6372
+cap "wbs_dat_o[14]" "wbs_dat_i[14]" 56.6372
+cap "vdda2" "vccd2" 167724
+cap "gpio_analog[8]" "gpio_noesd[8]" 82.3652
+cap "la_data_in[111]" "la_data_out[111]" 56.6372
+cap "la_data_in[109]" "la_data_out[109]" 56.6372
+cap "la_oenb[60]" "la_data_in[61]" 56.6372
+cap "la_data_in[7]" "la_data_out[7]" 56.6372
+cap "wbs_adr_i[25]" "wbs_dat_i[25]" 56.6372
+cap "wbs_adr_i[10]" "wbs_dat_o[9]" 56.6372
+cap "la_oenb[39]" "la_data_in[40]" 56.6372
+cap "wbs_adr_i[17]" "wbs_dat_i[17]" 56.6372
+cap "la_data_out[126]" "la_data_in[126]" 56.6372
+cap "la_data_out[80]" "la_oenb[80]" 56.6372
+cap "wbs_dat_o[25]" "wbs_adr_i[26]" 56.6372
+cap "wbs_dat_i[16]" "wbs_adr_i[16]" 56.6372
+cap "la_data_out[52]" "la_oenb[52]" 56.6372
+cap "la_data_in[44]" "la_data_out[44]" 56.6372
+cap "gpio_noesd[12]" "gpio_analog[12]" 105.459
+cap "la_data_in[85]" "la_data_out[85]" 56.6372
+cap "la_data_in[29]" "la_oenb[28]" 56.6372
+cap "io_in[21]" "io_in_3v3[21]" 82.3652
+cap "vdda2" "gpio_analog[7]" 15768.3
+cap "VCTRL" "vccd2" 3080.35
+cap "vdda2" "OUT180" 193.423
+cap "gpio_analog[1]" "gpio_noesd[1]" 82.3652
+cap "la_data_in[113]" "la_data_out[113]" 56.6372
+cap "io_in[22]" "io_out[22]" 82.3652
+cap "io_clamp_low[2]" "io_clamp_high[2]" 486.842
+cap "la_oenb[23]" "la_data_in[24]" 56.6372
+cap "la_oenb[55]" "la_data_in[56]" 56.6372
+cap "la_data_out[2]" "la_oenb[2]" 56.6372
+cap "wbs_dat_i[4]" "wbs_adr_i[4]" 56.6372
+cap "gpio_noesd[16]" "io_in_3v3[23]" 82.3652
+cap "la_oenb[31]" "la_data_in[32]" 56.6372
+cap "la_oenb[17]" "la_data_in[18]" 56.6372
+cap "io_out[19]" "io_oeb[19]" 82.3652
+cap "la_data_out[66]" "la_oenb[66]" 56.6372
+cap "la_data_out[54]" "la_oenb[54]" 56.6372
+cap "VCTRL" "gpio_analog[7]" 647.184
+cap "io_analog[6]" "io_analog[6]" 23969.9
+cap "io_in_3v3[9]" "gpio_noesd[2]" 82.3652
+cap "la_oenb[57]" "la_data_in[58]" 56.6372
+cap "wbs_we_i" "wbs_adr_i[0]" 56.6372
+cap "la_data_in[16]" "la_data_out[16]" 56.6372
+cap "io_in_3v3[24]" "gpio_noesd[17]" 82.3652
+cap "io_clamp_low[1]" "io_analog[5]" 486.842
+cap "la_data_out[33]" "la_oenb[33]" 56.6372
+cap "la_data_in[31]" "la_oenb[30]" 56.6372
+cap "la_oenb[13]" "la_data_in[14]" 56.6372
+cap "wbs_dat_o[17]" "wbs_dat_i[17]" 56.6372
+cap "la_data_in[87]" "la_data_out[87]" 56.6372
+cap "la_oenb[38]" "la_data_in[39]" 56.6372
+cap "la_data_out[72]" "la_oenb[72]" 56.6372
+cap "la_oenb[35]" "la_data_in[36]" 56.6372
+cap "wbs_dat_i[9]" "wbs_dat_o[9]" 56.6372
+cap "wbs_sel_i[3]" "wbs_adr_i[4]" 56.6372
+cap "la_data_out[8]" "la_data_in[8]" 56.6372
+cap "la_data_out[44]" "la_oenb[44]" 56.6372
+cap "la_oenb[8]" "la_data_in[9]" 56.6372
+cap "io_out[5]" "io_in[5]" 82.3652
+cap "io_clamp_low[0]" "io_analog[4]" 493.833
+cap "la_data_out[34]" "la_oenb[34]" 56.6372
+cap "wbs_dat_o[29]" "wbs_adr_i[30]" 56.6372
+cap "la_data_out[72]" "la_data_in[72]" 56.6372
+cap "io_analog[6]" "vccd2" 542249
+cap "io_out[0]" "io_in[0]" 82.3652
+cap "io_out[24]" "io_oeb[24]" 82.3652
+cap "la_oenb[22]" "la_data_in[23]" 56.6372
+cap "vssa2" "CTRL2" 1571.15
 cap "io_analog[4]" "io_analog[4]" 21353.1
-cap "la_data_out[84]" "la_data_in[84]" 46.7738
-cap "wbs_adr_i[1]" "wbs_dat_i[1]" 46.7738
-cap "CTRL2" "CTRL1" 49772.1
-cap "io_in_3v3[8]" "io_in[8]" 67.2299
-cap "la_data_in[113]" "la_oenb[112]" 46.7738
-cap "la_data_in[90]" "la_oenb[89]" 46.7738
-cap "la_data_in[84]" "la_oenb[83]" 46.7738
-cap "la_oenb[67]" "la_data_out[67]" 46.7738
-cap "la_data_out[3]" "la_oenb[3]" 46.7738
-cap "la_data_out[26]" "la_data_in[26]" 46.7738
-cap "la_data_in[55]" "la_data_out[55]" 46.7738
-cap "la_data_out[13]" "la_oenb[13]" 46.7738
-cap "wbs_dat_i[2]" "wbs_adr_i[2]" 46.7738
-cap "wbs_adr_i[0]" "wbs_we_i" 46.7738
-cap "la_oenb[24]" "la_data_out[24]" 46.7738
-cap "wbs_dat_o[14]" "wbs_adr_i[15]" 46.7738
-cap "io_oeb[4]" "io_out[4]" 67.2299
-cap "la_data_in[72]" "la_oenb[71]" 46.7738
-cap "wbs_dat_o[26]" "wbs_dat_i[26]" 46.7738
-cap "wbs_dat_i[25]" "wbs_adr_i[25]" 46.7738
-cap "wbs_adr_i[21]" "wbs_dat_i[21]" 46.7738
-cap "gpio_analog[0]" "gpio_noesd[0]" 67.2299
-cap "la_data_out[84]" "la_oenb[84]" 46.7738
-cap "la_oenb[22]" "la_data_in[23]" 46.7738
-cap "wbs_dat_o[24]" "wbs_dat_i[24]" 46.7738
+cap "la_oenb[123]" "la_data_in[124]" 56.6372
+cap "la_data_out[106]" "la_data_in[106]" 56.6372
+cap "la_data_out[93]" "la_oenb[93]" 56.6372
+cap "la_oenb[64]" "la_data_in[65]" 56.6372
+cap "la_data_in[5]" "la_data_out[5]" 56.6372
+cap "wbs_dat_o[10]" "wbs_dat_i[10]" 56.6372
+cap "la_data_in[95]" "la_data_out[95]" 56.6372
+cap "wbs_dat_o[7]" "wbs_dat_i[7]" 56.6372
+cap "la_data_in[83]" "la_oenb[82]" 56.6372
+cap "la_data_out[65]" "la_oenb[65]" 56.6372
+cap "la_oenb[71]" "la_data_in[72]" 56.6372
+cap "la_data_out[18]" "la_data_in[18]" 56.6372
+cap "io_analog[6]" "gpio_analog[7]" 31861.3
+cap "gpio_noesd[9]" "io_in_3v3[16]" 82.3652
+cap "la_oenb[91]" "la_data_in[92]" 56.6372
+cap "la_data_out[56]" "la_data_in[56]" 56.6372
+cap "io_in_3v3[4]" "io_oeb[3]" 82.3652
+cap "io_out[13]" "io_in[13]" 92.2247
+cap "la_data_in[3]" "la_oenb[2]" 56.6372
+cap "wbs_adr_i[23]" "wbs_dat_i[23]" 56.6372
+cap "io_clamp_low[0]" "io_clamp_high[0]" 486.842
+cap "io_analog[6]" "io_clamp_high[2]" 489.029
+cap "la_oenb[29]" "la_data_in[30]" 56.6372
+cap "CTRL4" "REF" 805.557
+cap "io_in[22]" "io_in_3v3[22]" 82.3652
+cap "gpio_noesd[2]" "gpio_analog[2]" 82.3652
+cap "la_data_in[127]" "la_oenb[126]" 56.6372
+cap "wbs_dat_i[21]" "wbs_dat_o[21]" 56.6372
+cap "wbs_dat_i[21]" "wbs_adr_i[21]" 56.6372
+cap "vdda2" "vssa2" 304667
+cap "REF2" "REF" 1567.06
+cap "io_clamp_high[0]" "io_analog[4]" 493.833
+cap "la_oenb[117]" "la_data_in[118]" 56.6372
+cap "la_data_out[78]" "la_oenb[78]" 56.6372
+cap "wbs_dat_i[20]" "wbs_adr_i[20]" 56.6372
+cap "la_oenb[4]" "la_data_in[5]" 56.6372
+cap "CTRL3" "CTRL5" 297.982
 cap "io_analog[5]" "io_analog[5]" 21353.1
-cap "la_data_in[60]" "la_data_out[60]" 46.7738
-cap "wbs_dat_i[27]" "wbs_adr_i[27]" 46.7738
-cap "wbs_adr_i[10]" "wbs_dat_o[9]" 46.7738
-cap "la_data_in[85]" "la_oenb[84]" 46.7738
-cap "wbs_adr_i[0]" "wbs_dat_i[0]" 46.7738
-cap "io_in_3v3[21]" "io_in[21]" 67.2299
-cap "la_data_in[16]" "la_oenb[15]" 46.7738
-cap "io_in_3v3[12]" "io_in[12]" 67.2299
-cap "la_data_out[77]" "la_data_in[77]" 46.7738
-cap "gpio_noesd[5]" "gpio_analog[5]" 67.2299
-cap "la_data_in[30]" "la_oenb[29]" 46.7738
-cap "wbs_dat_i[10]" "wbs_dat_o[10]" 46.7738
-cap "io_in[26]" "io_in_3v3[26]" 67.2299
-cap "gpio_analog[11]" "gpio_noesd[11]" 67.2299
-cap "io_in[4]" "io_in_3v3[4]" 67.2299
-cap "la_data_in[67]" "la_oenb[66]" 46.7738
-cap "la_data_in[64]" "la_data_out[64]" 46.7738
-cap "la_data_in[61]" "la_data_out[61]" 46.7738
-cap "wbs_dat_i[27]" "wbs_dat_o[27]" 46.7738
-cap "wbs_dat_i[19]" "wbs_adr_i[19]" 46.7738
-cap "io_in_3v3[9]" "io_in[9]" 67.2299
-cap "la_data_in[126]" "la_oenb[125]" 46.7738
-cap "la_data_in[121]" "la_oenb[120]" 46.7738
-cap "la_data_out[71]" "la_oenb[71]" 46.7738
-cap "wbs_dat_i[12]" "wbs_dat_o[12]" 46.7738
-cap "wbs_dat_o[4]" "wbs_dat_i[4]" 46.7738
-cap "io_in_3v3[23]" "io_in[23]" 67.2299
-cap "la_oenb[26]" "la_data_out[26]" 46.7738
-cap "wbs_adr_i[13]" "wbs_dat_o[12]" 46.7738
-cap "io_oeb[1]" "io_in_3v3[2]" 67.2299
-cap "la_data_in[111]" "la_data_out[111]" 46.7738
-cap "la_data_in[94]" "la_data_out[94]" 46.7738
-cap "la_data_in[51]" "la_data_out[51]" 46.7738
-cap "io_out[24]" "io_oeb[24]" 67.2299
-cap "io_clamp_low[2]" "io_clamp_high[2]" 468.333
-cap "la_data_out[21]" "la_oenb[21]" 46.7738
-cap "wbs_dat_o[11]" "wbs_dat_i[11]" 46.7738
-cap "io_in_3v3[13]" "io_in[13]" 67.2299
-cap "la_data_out[68]" "la_data_in[68]" 46.7738
-cap "la_data_in[21]" "la_oenb[20]" 46.7738
-cap "wbs_adr_i[24]" "wbs_dat_i[24]" 46.7738
-cap "wbs_dat_i[23]" "wbs_adr_i[23]" 46.7738
-cap "io_out[2]" "io_in[2]" 67.2299
-cap "la_oenb[70]" "la_data_out[70]" 46.7738
-cap "la_data_in[9]" "la_data_out[9]" 46.7738
-cap "la_oenb[5]" "la_data_out[5]" 46.7738
-cap "la_data_in[4]" "la_data_out[4]" 46.7738
-cap "la_data_out[0]" "la_oenb[0]" 46.7738
-cap "io_in_3v3[1]" "io_oeb[0]" 67.2299
-cap "gpio_noesd[13]" "io_in_3v3[20]" 67.2299
-cap "la_data_out[79]" "la_oenb[79]" 46.7738
-cap "la_data_out[45]" "la_data_in[45]" 46.7738
-cap "GND" "CTRL1" 691.721
-cap "io_in_3v3[4]" "io_oeb[3]" 67.2299
-cap "la_oenb[80]" "la_data_out[80]" 46.7738
-cap "wbs_dat_i[19]" "wbs_dat_o[19]" 46.7738
-cap "la_oenb[50]" "la_data_out[50]" 46.7738
-cap "la_data_in[46]" "la_data_out[46]" 46.7738
-cap "la_oenb[35]" "la_data_in[36]" 46.7738
-cap "la_data_in[112]" "la_data_out[112]" 46.7738
-cap "la_data_in[78]" "la_data_out[78]" 46.7738
-cap "la_data_out[71]" "la_data_in[71]" 46.7738
-cap "la_data_in[124]" "la_data_out[124]" 46.7738
-cap "io_in_3v3[17]" "CTRL4" 67.4359
-cap "la_oenb[47]" "la_data_in[48]" 46.7738
-cap "io_oeb[25]" "io_out[25]" 67.2299
-cap "la_oenb[81]" "la_data_in[82]" 46.7738
-cap "la_data_in[45]" "la_oenb[44]" 46.7738
-cap "la_data_out[82]" "la_oenb[82]" 46.7738
-cap "la_data_out[56]" "la_oenb[56]" 46.7738
-cap "CTRL2" "CTRL5" 12493.6
-cap "VCCD" "REF" 4239.12
-cap "io_out[26]" "io_in[26]" 67.2299
-cap "io_in_3v3[16]" "gpio_noesd[9]" 67.2299
-cap "la_oenb[99]" "la_data_out[99]" 46.7738
-cap "la_data_out[83]" "la_oenb[83]" 46.7738
-cap "la_data_out[63]" "la_data_in[63]" 46.7738
-cap "wbs_adr_i[18]" "wbs_dat_o[17]" 46.7738
-cap "la_data_out[106]" "la_data_in[106]" 46.7738
-cap "la_data_out[25]" "la_data_in[25]" 46.7738
-cap "wbs_ack_o" "wbs_cyc_i" 46.7738
-cap "la_data_out[127]" "la_oenb[127]" 46.7738
-cap "la_data_in[44]" "la_data_out[44]" 46.7738
-cap "la_oenb[119]" "la_data_in[120]" 46.7738
-cap "la_data_in[98]" "la_oenb[97]" 46.7738
-cap "io_clamp_high[1]" "io_clamp_low[1]" 468.333
-cap "la_data_out[120]" "la_oenb[120]" 46.7738
-cap "la_data_out[115]" "la_oenb[115]" 46.7738
-cap "la_data_out[25]" "la_oenb[25]" 46.7738
-cap "la_data_out[123]" "la_data_in[123]" 46.7738
-cap "la_data_out[52]" "la_data_in[52]" 46.7738
-cap "io_clamp_low[0]" "io_analog[4]" 468.333
-cap "la_data_in[5]" "la_data_out[5]" 46.7738
-cap "wbs_dat_i[26]" "wbs_adr_i[26]" 46.7738
-cap "la_oenb[69]" "la_data_in[70]" 46.7738
-cap "wbs_dat_o[4]" "wbs_adr_i[5]" 46.7738
-cap "wbs_dat_i[2]" "wbs_dat_o[2]" 46.7738
-cap "io_in_3v3[22]" "io_in[22]" 67.2299
-cap "wbs_dat_o[21]" "wbs_adr_i[22]" 46.7738
-cap "io_in_3v3[12]" "gpio_noesd[5]" 67.2299
-cap "la_data_in[107]" "la_data_out[107]" 46.7738
-cap "la_data_out[98]" "la_data_in[98]" 46.7738
-cap "la_data_out[89]" "la_oenb[89]" 46.7738
-cap "la_data_in[61]" "la_oenb[60]" 46.7738
-cap "wbs_dat_o[17]" "wbs_dat_i[17]" 46.7738
-cap "wbs_dat_i[6]" "wbs_dat_o[6]" 46.7738
-cap "io_in_3v3[22]" "gpio_noesd[15]" 67.2299
-cap "CTRL2" "io_in[15]" 67.4709
-cap "io_oeb[17]" "io_out[17]" 67.2299
-cap "la_oenb[99]" "la_data_in[100]" 46.7738
-cap "la_data_out[65]" "la_oenb[65]" 46.7738
-cap "la_data_out[33]" "la_data_in[33]" 46.7738
-cap "la_oenb[114]" "la_data_out[114]" 46.7738
-cap "io_in_3v3[7]" "io_in[7]" 67.2299
-cap "la_data_out[73]" "la_oenb[73]" 46.7738
-cap "wbs_dat_o[21]" "wbs_dat_i[21]" 46.7738
-cap "la_data_in[92]" "la_data_out[92]" 46.7738
-cap "la_data_in[73]" "la_oenb[72]" 46.7738
-cap "la_data_in[67]" "la_data_out[67]" 46.7738
-cap "io_out[11]" "io_oeb[11]" 67.2299
-cap "la_oenb[61]" "la_data_out[61]" 46.7738
-cap "la_data_in[40]" "la_oenb[39]" 46.7738
-cap "io_out[21]" "io_oeb[21]" 67.2299
-cap "la_oenb[18]" "la_data_in[19]" 46.7738
-cap "la_oenb[7]" "la_data_in[8]" 46.7738
-cap "io_analog[5]" "io_clamp_high[1]" 468.333
-cap "la_data_out[42]" "la_data_in[42]" 46.7738
-cap "io_in[7]" "io_out[7]" 67.2299
-cap "la_data_out[45]" "la_oenb[45]" 46.7738
-cap "la_oenb[6]" "la_data_out[6]" 46.7738
-cap "la_data_in[69]" "la_data_out[69]" 46.7738
-cap "la_oenb[107]" "la_data_out[107]" 46.7738
-cap "la_data_in[93]" "la_data_out[93]" 46.7738
-cap "la_data_in[91]" "la_data_out[91]" 46.7738
-cap "wbs_dat_i[22]" "wbs_adr_i[22]" 46.7738
-cap "io_analog[6]" "io_analog[6]" 21353.1
-cap "la_data_out[7]" "la_oenb[7]" 46.7738
-cap "la_oenb[5]" "la_data_in[6]" 46.7738
-cap "la_oenb[97]" "la_data_out[97]" 46.7738
-cap "la_data_out[93]" "la_oenb[93]" 46.7738
-cap "la_oenb[86]" "la_data_in[87]" 46.7738
-cap "CTRL4" "CTRL3" 67092.4
-cap "io_oeb[18]" "io_out[18]" 67.2299
-cap "io_oeb[10]" "io_out[10]" 67.2299
-cap "io_out[12]" "io_oeb[12]" 67.2299
-cap "gpio_analog[7]" "gpio_noesd[7]" 67.2299
-cap "la_data_out[103]" "la_data_in[103]" 46.7738
-cap "la_oenb[91]" "la_data_out[91]" 46.7738
-cap "la_oenb[87]" "la_data_out[87]" 46.7738
-cap "la_data_in[115]" "la_oenb[114]" 46.7738
-cap "wbs_dat_i[7]" "wbs_dat_o[7]" 46.7738
-cap "GND" "CTRL5" 892.254
-cap "la_data_in[125]" "la_oenb[124]" 46.7738
-cap "la_data_in[116]" "la_oenb[115]" 46.7738
-cap "la_data_in[34]" "la_data_out[34]" 46.7738
-cap "la_data_in[1]" "la_data_out[1]" 46.7738
-cap "gpio_noesd[9]" "gpio_analog[9]" 67.2299
-cap "io_analog[6]" "io_analog[6]" 26259.4
-cap "io_out[2]" "io_oeb[2]" 67.2299
-cap "io_out[21]" "io_in[21]" 67.2299
-cap "la_oenb[81]" "la_data_out[81]" 46.7738
-cap "gpio_noesd[1]" "gpio_analog[1]" 67.2299
-cap "wbs_dat_o[6]" "wbs_adr_i[7]" 46.7738
-cap "io_out[12]" "io_in[12]" 67.2299
-cap "la_oenb[94]" "la_data_out[94]" 46.7738
-cap "la_data_in[1]" "la_oenb[0]" 46.7738
-cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "GND" 2.22118
-cap "GND" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 15.9549
-cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "GND" 1.96667
-cap "VCCD" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" -28.7028
-cap "VCCD" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 262.547
-cap "VCO_0/X3/X3/VDD" "VCCD" 43.6855
-cap "VCO_0/X3/OUT270" "VCCD" 184.714
-cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "VCCD" 13.9627
-cap "VCCD" "VCO_0/X3/X3/VDD" -56.7018
-cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "VCCD" -370.984
-cap "VCO_0/X3/X2/VDD" "VCCD" -413.471
-cap "VCO_0/X3/X3/XR2/a_n703_n3602#" "VCCD" -47.9494
-cap "VCCD" "VCO_0/X3/X2/VDD" 78.1848
-cap "VCO_0/X3/X2/VDD" "VCCD" 0.196652
-cap "VCO_0/X3/X2/XR1/a_n703_n3602#" "VCO_0/X3/X2/VDD" 24.2986
-cap "VCO_0/CTRL4" "VCO_0/X9/GND" 16.1874
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/GND" 12.6464
-cap "CTRL5" "VCO_0/X9/GND" 21.2992
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/GND" 10.6496
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/GND" 11.2262
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/GND" 13.6957
-cap "CTRL5" "VCO_0/X9/GND" 76.7371
-cap "VCO_0/X9/GND" "VCO_0/CTRL4" 48.9109
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/GND" 34.3709
-cap "VCO_0/X9/GND" "VCO_0/CTRL1" 11.2262
-cap "VCO_0/X9/GND" "VCO_0/CTRL3" 15.2434
-cap "VCO_0/X9/GND" "VCO_0/CTRL2" 13.6957
-cap "VCO_0/GND" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 27.5642
-cap "VCO_0/GND" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 80.1763
-cap "VCO_0/GND" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" -171.196
-cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "VCCD" 2.86192
-cap "VCCD" "VCO_0/X3/X6/IN" 1143.99
-cap "VCCD" "VCO_0/X3/X6/IN" 818.044
-cap "VCCD" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 44.0365
-cap "VCO_0/X3/OUT270" "VCCD" 819.326
-cap "VCO_0/X3/VDD" "VCCD" 105.995
-cap "VCO_0/X3/X3/VDD" "VCCD" 6.62753
-cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "VCCD" -96.804
-cap "VCCD" "VCO_0/X3/X2/VDD" -293.512
-cap "VCCD" "VCO_0/X3/X3/XR2/a_n703_n3602#" 258.095
-cap "VCCD" "VCO_0/X3/X2/VDD" 239.193
-cap "VCCD" "VCO_0/GND" 4.28043
-cap "VCO_0/GND" "VCCD" 4.28043
-cap "VCO_0/X3/X2/VDD" "VCCD" 1.74752
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/GND" 6.06355
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll1" -57.8744
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM1/a_n73_n100#" 4.88072
-cap "VCO_0/X9/GND" "VCO_0/X9/XM1/a_n73_n100#" 3.20199
-cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/GND" -424.729
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/ctrll2" -0.710526
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll4" 7.76135
-cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll2" 2.61823
-cap "VCO_0/X9/ctrll5" "VCO_0/X9/XM1/a_n73_n100#" 5.85524
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll2" -46.6496
-cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll1" 3.99942
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll5" 10.2123
-cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll3" 22.2922
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll2" -2.68039
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll5" 132.708
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll4" 88.0701
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/GND" 20.2705
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll1" 10.9173
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll2" 20.4991
-cap "VCO_0/X9/ctrll5" "VCO_0/X9/ctrll3" 75.3513
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll3" 71.2374
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll3" 0.813521
-cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll5" 218.064
-cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll4" 55.7537
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll3" 38.6516
-cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll5" 125.679
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll3" 57.2105
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM2/a_15_n100#" 39.9886
-cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll2" 0.0672592
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/XM2/a_15_n100#" 0.852687
-cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll2" 6.46822
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll3" 61.7021
-cap "VCO_0/X9/GND" "VCO_0/X9/XM3/a_n33_n100#" 35.8368
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll5" 147.97
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll4" 37.9037
-cap "VCO_0/X9/GND" "VCO_0/X9/XM2/a_15_n100#" 39.6025
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll5" 82.2334
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll4" 77.0876
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll5" 61.1752
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll2" 56.2738
-cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll4" 4.88072
-cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll5" 5.85524
-cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll3" 26.3372
-cap "VCO_0/CTRL1" "VCO_0/CTRL4" -1.77636e-15
-cap "VCO_0/CTRL1" "VCO_0/CTRL3" 164.077
-cap "VCO_0/CTRL2" "VCO_0/X9/XM3/a_n33_n100#" 0.0672592
-cap "VCO_0/CTRL2" "VCO_0/X9/XM2/a_15_n100#" 8.0711
-cap "VCO_0/X9/GND" "VCO_0/CTRL3" 18.5716
-cap "VCO_0/CTRL2" "VCO_0/CTRL3" 87.9504
-cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/CTRL3" 10.9015
-cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/CTRL3" 9.35904
-cap "VCO_0/X9/GND" "VCO_0/CTRL1" 2.99698
-cap "VCO_0/CTRL2" "VCO_0/CTRL1" 98.0572
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/CTRL3" 0.341206
-cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/CTRL1" 1.43344
-cap "VCO_0/CTRL2" "VCO_0/X9/GND" 9.66521
-cap "VCO_0/bias_calc_0/GND" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 13.9294
-cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "VCO_0/GND" 40.5166
-cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "VCO_0/GND" -86.5127
-cap "VCCD" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" -62.4405
-cap "VCO_0/X3/X6/IN" "VCCD" 1267.29
-cap "VCO_0/X3/BIAS" "VCCD" -28.0735
-cap "VCCD" "VCO_0/X3/BIAS" 28.4782
-cap "VCCD" "VCO_0/X3/X6/IN" 879.552
-cap "VCCD" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 183.03
-cap "VCCD" "VCO_0/X3/GND" -199.819
-cap "VCCD" "VCO_0/X3/OUT270" 728.659
-cap "VCO_0/X3/GND" "VCCD" 239.086
-cap "VCCD" "VCO_0/X3/X3/XR1/a_n703_n3602#" -96.804
-cap "VCO_0/X3/X3/XR2/a_n703_n3602#" "VCCD" 345.113
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/ctrll4" -0.84633
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll5" -1.06136
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll5" -0.710526
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll4" -0.710526
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/ctrll5" -0.84633
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll5" 88.1184
-cap "VCO_0/X9/GND" "VCO_0/X9/ctrll5" 117.967
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll1" 1.68684
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/GND" 27.0257
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM2/a_15_n100#" -0.0301646
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM3/a_n33_n100#" -0.00216877
-cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll5" 0.90401
-cap "VCO_0/X9/ctrll3" "VCO_0/X9/ctrll5" 3.62945
-cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/ctrll5" 392.841
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll3" 13.8638
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll2" 2.72729
-cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/GND" 6.17305
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll5" 122.545
-cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/GND" 23.6164
-cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM4/a_111_n100#" 18.6658
-cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll5" 0.622944
-cap "VCO_0/CTRL2" "VCO_0/CTRL4" 2.00283
-cap "VCO_0/CTRL5" "VCO_0/CTRL1" 0.622944
-cap "VCO_0/CTRL2" "VCO_0/CTRL5" 0.90401
-cap "VCO_0/CTRL4" "VCO_0/CTRL1" 1.16149
-cap "VCO_0/bias_calc_0/GND" "REF" 76.6118
-cap "VCO_0/X3/X6/IN" "VCCD" 1108.84
-cap "VCCD" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 66.1439
-cap "VCO_0/X3/X6/IN" "VCCD" 779.739
-cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "VCCD" 78.2774
-cap "VCCD" "VCO_0/X3/OUT270" 760.025
-cap "VCCD" "VCO_0/X3/X3/GND" 446.777
-cap "VCCD" "VCO_0/X3/X3/XR1/a_n703_n3602#" -96.804
-cap "VCO_0/X3/X2/GND" "VCCD" 345.113
-cap "VCO_0/VDD" "VCCD" 80.6721
-cap "VCCD" "VCO_0/GND" 43.4247
-cap "VCO_0/X1/X2/XR17/a_n285_n1192#" "VCO_0/X3/X2/GND" 31.8084
-cap "VCO_0/VDD" "VCCD" 80.6721
-cap "VCCD" "VCO_0/X3/X2/GND" 43.4247
-cap "VCO_0/X3/X2/XM4/a_n509_n100#" "VCO_0/X1/X2/XR17/a_n285_n1192#" -7.27275
-cap "VCCD" "VCO_0/X3/VOP" 94.8059
-cap "VCO_0/X3/X6/XR21/a_n415_n4762#" "VCCD" -46.8517
-cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "VCCD" 41.2304
-cap "VCCD" "VCO_0/X3/VOP" 137.843
-cap "VCCD" "VCO_0/X3/X6/XR21/a_n415_n4762#" -96.3198
-cap "VCCD" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" 110.618
-cap "VCCD" "VCO_0/X3/X3/GND" 316.264
-cap "VCO_0/X3/X3/BIAS" "VCCD" 31.2809
-cap "VCO_0/X3/OUT270" "VCCD" 753.149
-cap "VCO_0/X3/X3/SUB" "VCCD" -96.804
-cap "VCO_0/X3/X3/GND" "VCCD" 97.4781
-cap "VCO_0/X3/X3/BIAS" "VCCD" -9.3474
-cap "VCCD" "VCO_0/X3/X2/BIAS" 12.7448
-cap "VCO_0/X3/X2/GND" "VCCD" 402.93
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/X3/X2/GND" "VCCD" 412.552
-cap "VCO_0/X3/X2/BIAS" "VCCD" 58.5229
-cap "VCO_0/X3/X2/XM1/a_n417_n100#" "VCCD" 94.3656
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/VDD" "VCO_0/X3/X2/GND" -79.3054
-cap "VCCD" "VCO_0/VDD" 108.597
-cap "VCCD" "VCO_0/X3/X2/GND" 43.4247
-cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "VCCD" 1021.32
-cap "VCCD" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" -6.02229
-cap "VCCD" "VCO_0/X3/VOP" -5.314
-cap "VCO_0/X3/VOP" "VCCD" 64.0916
-cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "VCCD" 298.046
-cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "VCCD" 742.346
-cap "VCO_0/X3/X5/IN4" "VCCD" 943.586
-cap "VCO_0/X3/X3/OUTA" "VCCD" -636.005
-cap "VCO_0/X3/X3/OUTA" "VCCD" 234.466
-cap "VCO_0/X3/X3/SUB" "VCCD" -389.172
-cap "VCO_0/X3/X4/OUTB" "VCCD" -246.717
-cap "VCO_0/X3/X4/SUB" "VCCD" 242.517
-cap "VCCD" "VCO_0/X3/X3/OUTA" -1142.25
-cap "VCCD" "VCO_0/VDD" 108.597
-cap "VCO_0/X3/X2/XM1/a_n417_n100#" "VCCD" 513.612
-cap "VCO_0/X3/I2B" "VCCD" 38.0097
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/X3/X2/BIAS" "VCCD" 23.132
-cap "VCO_0/X3/X4/SUB" "VCCD" 327.669
-cap "VCO_0/X3/X4/OUTB" "VCCD" 136.839
-cap "VCO_0/X3/X4/SUB" "VCCD" 43.4247
-cap "VCO_0/X3/X4/SUB" "VCO_0/VDD" -84.1688
-cap "VCO_0/X3/X4/OUTB" "VCO_0/VDD" -19.6143
-cap "VCCD" "VCO_0/VDD" 108.597
-cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "VCO_0/bias_calc_0/VCTRL" -356.124
-cap "VCO_0/bias_calc_0/XM37/a_611_n100#" "VCO_0/bias_calc_0/VCTRL" 0.0315925
-cap "VCO_0/bias_calc_0/w_17100_7240#" "VCO_0/bias_calc_0/VCTRL" -1497.73
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/w_17100_7240#" -5.09265
-cap "VCO_0/bias_calc_0/XM36/a_861_n197#" "VCO_0/bias_calc_0/VCTRL" 0.0136326
-cap "VCO_0/bias_calc_0/VCTRL" "REF" 2.84217e-14
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_803_n100#" 0.0145933
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM38/a_611_n100#" 0.0238372
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_931_n100#" 0.0400842
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM38/a_669_n197#" 0.989557
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM37/a_611_n100#" -0.0906437
-cap "VCO_0/bias_calc_0/w_17100_7240#" "VCO_0/bias_calc_0/VCTRL" 690.434
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_803_n100#" 232.395
-cap "VCO_0/bias_calc_0/XM38/a_611_n100#" "VCO_0/bias_calc_0/VCTRL" 0.0238372
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM38/a_669_n197#" 0.989557
-cap "VCCD" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" -33.3879
-cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "VCCD" 1267.29
-cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "VCCD" 15.8885
-cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "VCCD" 883.054
-cap "VCO_0/X3/X5/IN4" "VCCD" 34.8896
-cap "VCO_0/X3/X5/IN1" "VCCD" 283.662
-cap "VCO_0/X3/X5/IN3" "VCCD" 71.2769
-cap "VCO_0/X3/m3_19820_13570#" "VCCD" 250.859
-cap "VCO_0/X3/X5/IN2" "VCCD" 70.75
-cap "VCCD" "VCO_0/X3/X1/OUTA" -636.005
-cap "VCCD" "VCO_0/X3/X3/OUTA" -212.002
-cap "VCO_0/X3/I2A" "VCCD" -9.52295
-cap "VCO_0/X3/X3/INA" "VCCD" -118.369
-cap "VCCD" "VCO_0/X3/I1B" -117.003
-cap "VCCD" "VCO_0/X3/X1/OUTA" 112.785
-cap "VCCD" "VCO_0/X3/X3/OUTA" 10.6351
-cap "VCO_0/X3/X3/INB" "VCCD" -147.937
-cap "VCO_0/X3/X4/OUTB" "VCCD" 62.5328
-cap "VCO_0/X3/I4A" "VCCD" -7.25832
-cap "VCO_0/X3/I4B" "VCCD" -3.40934
-cap "VCO_0/X3/X3/SUB" "VCCD" -389.172
-cap "VCO_0/X3/X1/INA" "VCCD" -163.593
-cap "VCO_0/X3/I2B" "VCCD" -10.0865
-cap "VCCD" "VCO_0/X3/I4A" 20.1617
-cap "VCCD" "VCO_0/X3/X3/XM3/a_n33_n188#" -64.9189
-cap "VCO_0/X3/I2A" "VCCD" -41.3643
-cap "VCCD" "VCO_0/X3/X3/OUTA" -27.0531
-cap "VCCD" "VCO_0/X3/I3B" -13.0232
-cap "VCCD" "VCO_0/X3/I1B" 19.4283
-cap "VCCD" "VCO_0/X3/X4/SUB" -24.2866
-cap "VCO_0/X3/X4/OUTB" "VCCD" -370.075
-cap "VCO_0/X3/I1A" "VCCD" -108.061
-cap "VCCD" "VCO_0/X3/X1/OUTA" -683.231
-cap "VCCD" "VCO_0/X3/X4/OUTB" 112.016
-cap "VCO_0/X3/I2B" "VCCD" 29.1603
-cap "VCO_0/X3/X4/INB" "VCCD" 40.8438
-cap "VCO_0/X3/I3B" "VCCD" 34.4963
-cap "VCCD" "VCO_0/X3/I4A" 93.3772
-cap "VCO_0/X3/I2B" "VCCD" 120.696
-cap "VCO_0/X3/I1A" "VCCD" 1.01662
-cap "VCO_0/X3/X4/OUTB" "VCCD" 386.721
-cap "VCO_0/X3/I1B" "VCCD" 93.518
-cap "VCCD" "VCO_0/X3/I2A" 93.4584
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/X3/I3A" "VCCD" 93.5155
-cap "VCCD" "VCO_0/GND" 43.4247
-cap "VCO_0/X3/X4/SUB" "VCCD" 29.7875
-cap "VCO_0/X3/X4/XM2/a_n73_n100#" "VCCD" 62.7129
-cap "VCO_0/X3/I4B" "VCCD" 147.454
-cap "VCO_0/VDD" "VCO_0/X3/I3A" -9.88845
-cap "VCO_0/X3/I2A" "VCO_0/VDD" -9.88845
-cap "VCO_0/X3/I1B" "VCO_0/VDD" -9.88845
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/X3/X4/OUTB" "VCO_0/VDD" -49.6818
-cap "VCO_0/X3/I4B" "VCO_0/VDD" -9.88845
-cap "VCCD" "VCO_0/VDD" 108.597
-cap "VCO_0/X3/I4A" "VCO_0/VDD" -9.88845
-cap "VCO_0/X3/I2B" "VCO_0/VDD" -9.88845
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/VDD" 0.0400842
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_803_n100#" 0.0280849
-cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/XM36/a_803_n100#" 1.1016
-cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/BIAS2V" 0.0136326
-cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 0.774023
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM36/a_803_n100#" 1.79503
-cap "VCO_0/X3/m2_20210_20620#" "VCCD" -9.36327
-cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "VCCD" 1231.54
-cap "VCCD" "VCO_0/X3/m2_20210_20620#" 35.2922
-cap "VCCD" "VCO_0/X3/X5/XC1/c1_n2050_n3000#" 869.456
-cap "VCO_0/X3/X5/IN1" "VCCD" 874.06
-cap "VCO_0/X3/X1/OUTA" "VCCD" 143.486
-cap "VCO_0/X3/X3/SUB" "VCCD" -96.804
-cap "VCCD" "VCO_0/X3/X4/GND" 335.483
-cap "VCCD" "VCO_0/X3/X1/OUTA" -706.218
-cap "VCCD" "VCO_0/X3/X4/GND" 409.199
-cap "VCCD" "VCO_0/X3/X4/XM2/a_n73_n100#" 590.209
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCCD" "VCO_0/X3/X4/BIAS" 33.2965
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/X3/X4/GND" "VCO_0/VDD" -112.351
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VDD" 20.8874
-cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "VCO_0/bias_calc_0/VDD" -37.7784
-cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "VCO_0/bias_calc_0/BIAS2V" -2.41127
-cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/XM36/a_803_n100#" -34.6075
-cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 17.0856
-cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "VCO_0/bias_calc_0/BIAS2V" 4.95772
-cap "VCO_0/bias_calc_0/XR19/a_n285_760#" "VCO_0/bias_calc_0/BIAS2V" -0.211645
-cap "VCO_0/bias_calc_0/XR19/a_n285_760#" "VCO_0/bias_calc_0/VDD" -0.786438
-cap "VCO_0/bias_calc_0/XR19/a_n285_760#" "VCO_0/bias_calc_0/XR19/a_n415_n1322#" -0.082781
-cap "VCO_0/GND" "VCCD" 281.079
-cap "VCO_0/X3/X5/XR18/a_n415_n4762#" "VCCD" -96.9523
-cap "VCO_0/X3/m2_20210_20620#" "VCCD" 67.5312
-cap "VCO_0/X3/X5/XR18/a_n415_n4762#" "VCCD" -128.774
-cap "VCO_0/GND" "VCCD" 357.482
-cap "VCO_0/X3/m2_20210_20620#" "VCCD" -54.7739
-cap "VCCD" "VCO_0/X3/X1/BIAS" 31.5225
-cap "VCCD" "VCO_0/X3/X1/GND" 129.17
-cap "VCCD" "VCO_0/X3/OUT180" 756.479
-cap "VCCD" "VCO_0/X3/X1/GND" 97.5665
-cap "VCCD" "VCO_0/X3/X1/BIAS" -7.98508
-cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "VCCD" -96.804
-cap "VCO_0/X3/X4/BIAS" "VCCD" 14.1514
-cap "VCO_0/X3/X4/GND" "VCCD" 402.921
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/X3/X4/BIAS" "VCCD" 56.9183
-cap "VCO_0/X3/X4/GND" "VCCD" 343.496
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/X3/X4/GND" "VCO_0/VDD" -51.1233
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM2/a_291_n100#" 7.14478
-cap "VCO_0/bias_calc_0/XM36/a_291_n100#" "VCO_0/bias_calc_0/BIAS2V" 11.7771
-cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 15.9592
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM3/a_291_n100#" 11.3845
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM2/a_291_n100#" 4.23976
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VDD" -91.2916
-cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VDD" 2173.92
-cap "VCCD" "VCO_0/GND" 54.5514
-cap "VCCD" "VCO_0/X3/BIAS" 29.4479
-cap "VCCD" "VCO_0/X3/BIAS" 61.3774
-cap "VCCD" "VCO_0/GND" 174.945
-cap "VCCD" "VCO_0/X3/OUT180" 777.984
-cap "VCCD" "VCO_0/X3/X1/XR1/a_n703_n3602#" -96.804
-cap "VCCD" "VCO_0/X3/X4/GND" 345.113
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCO_0/VDD" "VCCD" 108.597
-cap "VCO_0/GND" "VCCD" 43.4247
-cap "VCCD" "VCO_0/X3/OUT180" 777.984
-cap "VCCD" "VCO_0/X3/X1/XR1/a_n703_n3602#" -96.804
-cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "VCCD" 345.113
-cap "VCO_0/GND" "VCO_0/X3/X4/VDD" 41.0053
-cap "VCO_0/X3/X4/VDD" "VCO_0/GND" 41.0053
-cap "VCO_0/m1_47700_36500#" "VCCD" 131.866
-cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "VCCD" -96.804
-cap "VCO_0/output_buffer_0/XR3/a_n703_n2202#" "VCCD" 90.2573
-cap "VCO_0/output_buffer_0/XR2/a_n415_n1322#" "VCO_0/output_buffer_0/OUTB" -3.11429
-cap "VCO_0/output_buffer_0/XM33/a_n989_n100#" "VCO_0/output_buffer_0/OUTB" -0.0965636
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/INA" 4.20605
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/X3/X1/XR1/a_n703_n3602#" -141.325
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/INA" 46.535
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/BIAS" 142.346
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/VDD" 21.7714
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 155.053
-cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "VCO_0/output_buffer_0/VDD" -1.23859
-cap "OUTB" "VCO_0/output_buffer_0/VDD" 21.7714
-cap "VCO_0/X11/XM2/a_15_n100#" "VCO_0/GND" -22.3168
-cap "VCO_0/X11/XM4/a_111_n100#" "VCO_0/GND" -8.1646
-cap "VCO_0/GND" "VCO_0/GND" -60.3789
-cap "VCO_0/GND" "VCO_0/X11/XM3/a_n33_n100#" -20.4981
-cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 3.17105
-cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/OUTB" -728.735
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 2.55265
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 6.99218
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n945_n188#" -15.4009
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 26.68
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/SUB" -984.759
-cap "VCO_0/output_buffer_0/XM33/a_n989_n100#" "VCO_0/output_buffer_0/XM33/a_n945_n188#" 46.7423
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/BIAS" -2406.73
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM4/a_n2817_n100#" -899.13
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n989_n100#" -696.567
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n609_n100#" 485.544
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 34.8299
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM4/a_n2817_n100#" 698.398
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/BIAS" 213.767
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/VDD" 42.446
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n945_n188#" -257.601
-cap "VCO_0/output_buffer_0/XM33/a_735_n100#" "VCO_0/output_buffer_0/VDD" -15.3971
-cap "VCO_0/output_buffer_0/VDD" "OUTB" 42.446
-cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/XM4/a_n2817_n100#" -29.0178
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 7.75379
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XR1/a_n285_760#" 2.11687
-cap "VCO_0/output_buffer_0/XR1/a_n285_760#" "VCO_0/output_buffer_0/OUTB" -728.735
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/OUTB" -636.195
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM3/a_n2817_n100#" -899.13
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/OUTA" 262.613
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM32/a_n945_n188#" -19.2706
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n989_n100#" -721.424
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/BIAS" -2406.73
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM32/a_n945_n188#" 24.688
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 85.4033
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/SUB" -1310.7
-cap "VCO_0/output_buffer_0/XM33/a_n609_n100#" "VCO_0/output_buffer_0/OUTB" 712.485
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 30.0731
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM32/a_n945_n188#" -255.932
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/OUTA" 112.637
-cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM3/a_n2817_n100#" 779.345
-cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/OUTB" 49.4527
-cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/OUTB" 42.446
-cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/XM3/a_n2817_n100#" -29.3413
-cap "VCO_0/output_buffer_0/VDD" "OUTB" 42.446
-cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/XM33/a_735_n100#" -22.7721
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/SUB" -594.285
-cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM33/a_n989_n100#" -0.197193
-cap "VCO_0/output_buffer_0/SUB" "OUTB" -310.58
-cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/OUTA" -313.981
-cap "OUTB" "VCO_0/output_buffer_0/VDD" 19.6161
-cap "OUTB" "VCO_0/output_buffer_0/XM33/a_n1091_n274#" 125.62
-cap "OUTB" "VCO_0/output_buffer_0/VDD" 19.6161
-merge "VCO_0/VSUBS" "VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/output_buffer_0/OUTA" "OUTA" -1931.58 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6025110 -3474 0 0 0 0 0 0
-merge "VCO_0/output_buffer_0/OUTB" "OUTB" 8805.54 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7812870 -3346 1533400 -5782 0 0 0 0
-merge "VCO_0/bias_calc_0/GND" "VCO_0/GND" -1985.94 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 217442 -10058 0 0 0 0
-merge "VCO_0/GND" "GND"
-merge "VCO_0/CTRL1" "VCO_0/X9/ctrll1" 1614.57 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2735142 -412 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/X9/ctrll1" "CTRL1"
-merge "VCO_0/bias_calc_0/VCTRL" "VCTRL" -2108.53 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4542100 -684 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/CTRL2" "VCO_0/X9/ctrll2" 2956.02 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4878108 -422 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/X9/ctrll2" "CTRL2"
-merge "VCO_0/CTRL3" "VCO_0/X9/ctrll3" 2987.22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4787312 -362 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/X9/ctrll3" "CTRL3"
-merge "VCO_0/X9/ctrll4" "VCO_0/CTRL4" 1575 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2654862 -412 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/CTRL4" "CTRL4"
-merge "VCO_0/output_buffer_0/VDD" "VCO_0/X3/X4/VDD" -14103.8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 101956436 -158022 0 0
-merge "VCO_0/X3/X4/VDD" "VCO_0/VDD"
+cap "la_oenb[74]" "la_data_in[75]" 56.6372
+cap "la_oenb[7]" "la_data_out[7]" 56.6372
+cap "io_in_3v3[18]" "CTRL4" 82.7704
+cap "la_data_in[119]" "la_data_out[119]" 56.6372
+cap "la_data_out[52]" "la_data_in[52]" 56.6372
+cap "la_oenb[15]" "la_data_in[16]" 56.6372
+cap "VCTRL" "vssa2" 4778.97
+cap "la_data_in[117]" "la_data_out[117]" 56.6372
+cap "la_oenb[115]" "la_data_out[115]" 56.6372
+cap "la_oenb[71]" "la_data_out[71]" 56.6372
+cap "la_data_in[69]" "la_data_out[69]" 56.6372
+cap "la_oenb[58]" "la_data_in[59]" 56.6372
+cap "la_data_out[15]" "la_oenb[15]" 56.6372
+cap "wbs_dat_i[16]" "wbs_dat_o[16]" 56.6372
+cap "la_data_in[61]" "la_data_out[61]" 56.6372
+cap "la_data_in[53]" "la_data_out[53]" 56.6372
+cap "la_data_in[15]" "la_data_out[15]" 56.6372
+cap "CTRL4" "CTRL1" 255.895
+cap "la_data_out[24]" "la_oenb[24]" 56.6372
+cap "la_oenb[5]" "la_data_out[5]" 56.6372
+cap "la_data_out[4]" "la_oenb[4]" 56.6372
+cap "wbs_adr_i[17]" "wbs_dat_o[16]" 56.6372
+cap "la_data_out[47]" "la_oenb[47]" 56.6372
+cap "la_data_out[18]" "la_oenb[18]" 56.6372
+cap "la_data_out[38]" "la_oenb[38]" 56.6372
+cap "gpio_analog[15]" "gpio_noesd[15]" 82.3652
+cap "CTRL1" "io_in[15]" 82.6395
+cap "la_data_in[45]" "la_oenb[44]" 56.6372
+cap "la_oenb[89]" "la_data_in[90]" 56.6372
+cap "la_data_in[81]" "la_oenb[80]" 56.6372
+cap "la_oenb[45]" "la_data_in[46]" 56.6372
+cap "la_oenb[11]" "la_data_in[12]" 56.6372
+cap "gpio_analog[16]" "gpio_noesd[16]" 82.3652
+cap "la_data_out[114]" "la_data_in[114]" 56.6372
+cap "la_data_out[94]" "la_data_in[94]" 56.6372
+cap "vccd2" "CTRL5" 1573.79
+cap "vccd2" "io_analog[7]" 89613.2
+cap "la_oenb[83]" "la_data_in[84]" 56.6372
+cap "la_data_in[58]" "la_data_out[58]" 56.6372
+cap "la_data_out[56]" "la_oenb[56]" 56.6372
+cap "la_data_in[3]" "la_data_out[3]" 56.6372
+cap "la_data_out[36]" "la_oenb[36]" 56.6372
+cap "la_data_out[32]" "la_oenb[32]" 56.6372
+cap "io_in[14]" "io_in_3v3[14]" 82.3652
+cap "la_data_in[108]" "la_data_out[108]" 56.6372
+cap "la_data_in[71]" "la_data_out[71]" 56.6372
+cap "wbs_dat_o[24]" "wbs_adr_i[25]" 56.6372
+cap "gpio_analog[5]" "gpio_noesd[5]" 82.3652
+cap "io_in_3v3[3]" "io_in[3]" 82.3652
+cap "io_in[5]" "io_in_3v3[5]" 82.3652
+cap "la_data_in[102]" "la_data_out[102]" 56.6372
+cap "wbs_dat_i[0]" "wbs_dat_o[0]" 56.6372
+cap "vdda2" "CTRL2" 7772.19
+cap "gpio_analog[13]" "gpio_noesd[13]" 82.3652
+cap "la_data_out[22]" "la_data_in[22]" 56.6372
+cap "gpio_noesd[15]" "io_in_3v3[22]" 82.3652
+cap "la_data_in[107]" "la_data_out[107]" 56.6372
+cap "la_oenb[67]" "la_data_in[68]" 56.6372
+cap "wbs_adr_i[15]" "wbs_dat_i[15]" 56.6372
+cap "CTRL5" "gpio_analog[7]" 408.732
+cap "la_data_out[110]" "la_data_in[110]" 56.6372
+cap "wbs_dat_o[6]" "wbs_adr_i[7]" 56.6372
+cap "la_oenb[75]" "la_data_out[75]" 56.6372
+cap "wbs_dat_o[2]" "wbs_dat_i[2]" 56.6372
+cap "VCTRL" "CTRL2" 153.991
+cap "la_data_in[121]" "la_oenb[120]" 56.6372
+cap "la_data_in[103]" "la_data_out[103]" 56.6372
+cap "la_data_in[77]" "la_oenb[76]" 56.6372
+cap "la_data_out[6]" "la_oenb[6]" 56.6372
+cap "la_oenb[5]" "la_data_in[6]" 56.6372
+cap "io_oeb[25]" "io_out[25]" 82.3652
+cap "io_out[2]" "io_in[2]" 82.3652
+cap "io_out[13]" "io_oeb[13]" 82.3652
+cap "wbs_dat_i[13]" "wbs_dat_o[13]" 56.6372
+cap "wb_clk_i" "wb_rst_i" 56.6372
+cap "la_data_in[118]" "la_data_out[118]" 56.6372
+cap "la_data_out[48]" "la_oenb[48]" 56.6372
+cap "la_oenb[118]" "la_data_in[119]" 56.6372
+cap "la_oenb[95]" "la_data_in[96]" 56.6372
+cap "VCTRL" "vdda2" 2199.14
+cap "la_oenb[99]" "la_data_in[100]" 56.6372
+cap "la_oenb[116]" "la_data_in[117]" 56.6372
+cap "la_data_out[63]" "la_oenb[63]" 56.6372
+cap "la_data_out[12]" "la_data_in[12]" 56.6372
+cap "wbs_dat_o[11]" "wbs_adr_i[12]" 56.6372
+cap "io_clamp_low[1]" "io_analog[5]" 735.436
+cap "la_oenb[51]" "la_data_in[52]" 56.6372
+cap "wbs_dat_o[30]" "wbs_adr_i[31]" 56.6372
+cap "CTRL3" "REF" 805.557
+cap "la_oenb[7]" "la_data_in[8]" 56.6372
+cap "wbs_dat_o[23]" "wbs_dat_i[23]" 56.6372
+cap "io_in_3v3[0]" "io_in[0]" 82.3652
+cap "io_in[11]" "io_out[11]" 82.3652
+cap "la_data_in[123]" "la_data_out[123]" 56.6372
+cap "wbs_dat_o[13]" "wbs_adr_i[14]" 56.6372
+cap "la_data_in[112]" "la_data_out[112]" 56.6372
+cap "la_data_in[45]" "la_data_out[45]" 56.6372
+cap "la_data_in[9]" "la_data_out[9]" 56.6372
+cap "wbs_adr_i[8]" "wbs_dat_o[7]" 56.6372
+cap "la_oenb[100]" "la_data_in[101]" 56.6372
+cap "io_analog[5]" "io_analog[5]" 21353.1
+cap "m3_292774_580566#" "m3_290506_594136#" 1254.93
+cap "la_oenb[81]" "la_data_in[82]" 56.6372
+cap "la_data_out[64]" "la_data_in[64]" 56.6372
+cap "la_data_out[13]" "la_oenb[13]" 56.6372
+cap "la_data_in[38]" "la_data_out[38]" 56.6372
+cap "wbs_sel_i[1]" "wbs_dat_o[1]" 56.6372
+cap "la_data_in[65]" "la_data_out[65]" 56.6372
+cap "la_oenb[51]" "la_data_out[51]" 56.6372
+cap "la_data_in[105]" "la_data_out[105]" 56.6372
+cap "la_oenb[84]" "la_data_in[85]" 56.6372
+cap "la_data_in[63]" "la_oenb[62]" 56.6372
+cap "io_in_3v3[10]" "gpio_noesd[3]" 82.3652
+cap "la_data_in[92]" "la_data_out[92]" 56.6372
+cap "la_oenb[79]" "la_data_in[80]" 56.6372
+cap "la_oenb[9]" "la_data_in[10]" 56.6372
+cap "wbs_adr_i[29]" "wbs_dat_o[28]" 56.6372
+cap "wbs_dat_i[7]" "wbs_adr_i[7]" 56.6372
+cap "CTRL3" "CTRL1" 286.887
+cap "io_in_3v3[20]" "gpio_noesd[13]" 82.3652
+cap "la_data_in[26]" "la_data_out[26]" 56.6372
+cap "wbs_adr_i[27]" "wbs_dat_i[27]" 56.6372
+cap "vssa2" "CTRL5" 2888.32
+cap "la_data_out[34]" "la_data_in[34]" 56.6372
+cap "wbs_dat_i[13]" "wbs_adr_i[13]" 56.6372
+cap "la_data_out[126]" "la_oenb[126]" 56.6372
+cap "la_data_in[105]" "la_oenb[104]" 56.6372
+cap "la_data_out[81]" "la_oenb[81]" 56.6372
+cap "la_oenb[23]" "la_data_out[23]" 56.6372
+cap "la_oenb[53]" "la_data_out[53]" 56.6372
+cap "la_data_out[29]" "la_oenb[29]" 56.6372
+cap "io_out[4]" "io_oeb[4]" 82.3652
+cap "vccd2" "REF" 57592.4
+cap "la_data_out[58]" "la_oenb[58]" 56.6372
+cap "wbs_dat_o[11]" "wbs_dat_i[11]" 56.6372
+cap "la_oenb[87]" "la_data_out[87]" 56.6372
+cap "la_data_in[51]" "la_data_out[51]" 56.6372
+cap "la_oenb[34]" "la_data_in[35]" 56.6372
+cap "la_data_in[20]" "la_data_out[20]" 56.6372
+cap "io_out[7]" "io_in[7]" 82.3652
+cap "la_data_out[104]" "la_oenb[104]" 56.6372
+cap "la_data_out[91]" "la_oenb[91]" 56.6372
+cap "la_data_in[69]" "la_oenb[68]" 56.6372
+cap "la_data_out[127]" "la_oenb[127]" 56.6372
+cap "la_data_out[90]" "la_data_in[90]" 56.6372
+cap "la_data_in[81]" "la_data_out[81]" 56.6372
+cap "la_oenb[50]" "la_data_in[51]" 56.6372
+cap "la_oenb[32]" "la_data_in[33]" 56.6372
+cap "la_oenb[103]" "la_data_out[103]" 56.6372
+cap "la_data_out[88]" "la_oenb[88]" 56.6372
+cap "la_data_out[12]" "la_oenb[12]" 56.6372
+cap "wbs_sel_i[3]" "wbs_dat_o[3]" 56.6372
+cap "io_oeb[3]" "io_out[3]" 82.3652
+cap "la_data_in[113]" "la_oenb[112]" 56.6372
+cap "la_data_in[107]" "la_oenb[106]" 56.6372
+cap "la_data_out[1]" "la_oenb[1]" 56.6372
+cap "io_analog[6]" "io_analog[6]" 23873.1
+cap "wbs_dat_o[31]" "wbs_dat_i[31]" 56.6372
+cap "io_out[21]" "io_oeb[21]" 82.3652
+cap "la_data_out[50]" "la_oenb[50]" 56.6372
+cap "la_data_in[37]" "la_data_out[37]" 56.6372
+cap "wbs_dat_i[20]" "wbs_dat_o[20]" 56.6372
+cap "CTRL1" "vccd2" 1592.17
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 355.324
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 1115.78
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 1115.78
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 1115.78
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 708.852
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 709.599
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 1560.58
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 1560.58
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 1560.58
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 1766.14
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 813.491
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 490.454
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 713.036
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 490.454
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 713.036
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 490.454
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 713.036
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 497.039
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 724.942
+cap "TX_line_0/OUTB" "txinb" 672.81
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "txinb" "TX_line_0/OUTB" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "txinb" 867.23
+cap "TX_line_0/OUTB" "TX_line_0/INB" 867.23
+cap "TX_line_0/OUTB" "TX_line_0/INB" 867.23
+cap "TX_line_0/OUTB" "TX_line_0/INB" 1032.43
+cap "TX_line_0/OUTB" "TX_line_0/INB" 637.21
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 748.764
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1353.18
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 861.185
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 305.707
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "txinb" 1395.66
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "txinb" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "txinb" 1692.46
+cap "TX_line_0/OUTB" "TX_line_0/INB" 1692.46
+cap "TX_line_0/OUTB" "TX_line_0/INB" 1692.46
+cap "TX_line_0/INB" "TX_line_0/OUTB" 1227.94
+cap "TX_line_0/OUTB" "TX_line_0/INB" 1207.85
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1221.61
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "txinb" "TX_line_0/OUTB" 473.43
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "txinb" "TX_line_0/OUTB" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "txinb" 625.45
+cap "TX_line_0/OUTB" "TX_line_0/INB" 625.45
+cap "TX_line_0/OUTB" "TX_line_0/INB" 625.45
+cap "TX_line_0/OUTB" "TX_line_0/INB" 857.01
+cap "TX_line_0/INB" "TX_line_0/OUTB" 464.66
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 531.641
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1650.05
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "vssa2" "VCO_1/X9/XM3/a_n33_n100#" 1.87896
+cap "VCO_1/CTRL2" "VCO_1/CTRL4" 11.1924
+cap "VCO_1/CTRL2" "vssa2" 7.8535
+cap "vssa2" "VCO_1/X9/XM2/a_15_n100#" 0.942058
+cap "vssa2" "VCO_1/CTRL3" 0.00159515
+cap "VCO_1/CTRL2" "VCO_1/CTRL5" 9.36531
+cap "VCO_1/CTRL1" "VCO_1/X9/GND" -9.53755
+cap "VCO_1/CTRL2" "VCO_1/CTRL3" 18.7287
+cap "VCO_1/CTRL1" "VCO_1/CTRL4" 2.10716
+cap "VCO_1/CTRL1" "VCO_1/X9/XM3/a_n33_n100#" -11.2263
+cap "VCO_1/CTRL1" "vssa2" 65.5325
+cap "VCO_1/CTRL1" "VCO_1/CTRL5" 1.552
+cap "VCO_1/CTRL2" "VCO_1/CTRL1" 86.5029
+cap "VCO_1/CTRL1" "VCO_1/X9/XM2/a_15_n100#" -7.48714
+cap "vssa2" "VCO_1/X9/GND" 0.825464
+cap "VCO_1/CTRL1" "VCO_1/CTRL3" 1.73225
+cap "vssa2" "VCO_1/X9/XM4/a_111_n100#" 24.6737
+cap "VCO_1/CTRL2" "VCO_1/CTRL3" 0.961572
+cap "VCO_1/CTRL2" "VCO_1/X9/XM5/a_159_n100#" -28.6563
+cap "vssa2" "VCO_1/CTRL1" 99.7552
+cap "vssa2" "VCO_1/CTRL3" 14.0915
+cap "VCO_1/CTRL2" "VCO_1/CTRL5" 0.421875
+cap "VCO_1/CTRL2" "VCO_1/X9/GND" -38.2488
+cap "VCO_1/X9/XM5/a_159_n100#" "vssa2" 23.7943
+cap "VCO_1/CTRL2" "VCO_1/CTRL4" 0.704011
+cap "VCO_1/CTRL2" "VCO_1/X9/XM3/a_n33_n100#" -9.23895
+cap "VCO_1/CTRL3" "VCO_1/CTRL1" 0.288329
+cap "VCO_1/CTRL2" "VCO_1/X9/XM2/a_15_n100#" -0.189217
+cap "vssa2" "VCO_1/CTRL5" -0.0715268
+cap "VCO_1/X9/GND" "vssa2" 1.28934
+cap "VCO_1/CTRL4" "vssa2" 5.51582
+cap "VCO_1/CTRL2" "vssa2" 25.7607
+cap "vssa2" "VCO_1/X9/XM3/a_n33_n100#" 0.0843959
+cap "VCO_1/CTRL2" "VCO_1/X9/XM4/a_111_n100#" -25.4447
+cap "VCO_1/CTRL5" "VCO_1/CTRL1" 4.18677
+cap "VCO_1/CTRL4" "VCO_1/CTRL1" 2.65884
+cap "VCO_1/CTRL2" "vssa2" 25.7607
+cap "vssa2" "VCO_1/CTRL3" 12.153
+cap "VCO_1/X9/XM3/a_n227_n274#" "vssa2" 0.151499
+cap "VCO_1/CTRL1" "VCO_1/CTRL5" 0.478487
+cap "VCO_1/CTRL4" "vssa2" 8.53698
+cap "VCO_1/CTRL1" "vssa2" 99.7552
+cap "vssa2" "VCO_1/CTRL5" 4.62147
+cap "VCO_1/X9/XM5/a_159_n100#" "vssa2" 42.1743
+cap "VCO_1/CTRL2" "VCO_1/CTRL5" 0.625476
+cap "VCO_1/CTRL2" "vssa2" 25.7607
+cap "VCO_1/CTRL4" "vssa2" 8.53698
+cap "VCO_1/CTRL5" "vssa2" 4.84095
+cap "VCO_1/CTRL3" "vssa2" 12.153
+cap "VCO_1/X9/XM5/a_n225_n100#" "vssa2" 41.4619
+cap "VCO_1/CTRL1" "vssa2" 99.7552
+cap "vssa2" "VCO_1/CTRL3" 12.153
+cap "vssa2" "VCO_1/CTRL2" 25.7607
+cap "VCO_1/CTRL5" "vssa2" 4.84095
+cap "VCO_1/CTRL1" "vssa2" 99.7552
+cap "vssa2" "VCO_1/X9/m1_4700_270#" 41.4874
+cap "vssa2" "VCO_1/CTRL4" 8.53698
+cap "vssa2" "VCO_1/X9/XC4/c2_n851_n400#" 0.0188803
+cap "VCO_1/CTRL5" "vssa2" 4.84035
+cap "VCO_1/CTRL3" "vssa2" 12.1515
+cap "VCO_1/X11/m1_4700_270#" "vssa2" 1.01758
+cap "vssa2" "VCO_1/CTRL2" 25.7589
+cap "VCO_1/X9/m1_4700_270#" "vssa2" 16.3923
+cap "VCO_1/CTRL4" "vssa2" 8.53593
+cap "VCO_1/CTRL1" "vssa2" 99.7531
+cap "vssa2" "VCO_1/CTRL5" 4.84035
+cap "vssa2" "VCO_1/CTRL1" 99.7531
+cap "VCO_1/CTRL2" "vssa2" 25.7589
+cap "vssa2" "VCO_1/X11/IN" 0.0131403
+cap "VCO_1/X11/XC4/m4_n951_n500#" "vssa2" 41.9863
+cap "VCO_1/CTRL3" "vssa2" 12.1515
+cap "vssa2" "VCO_1/CTRL4" 8.53593
+cap "vssa2" "VCO_1/CTRL3" 12.153
+cap "VCO_1/CTRL1" "vssa2" 99.7552
+cap "VCO_1/CTRL5" "vssa2" 4.84095
+cap "vssa2" "VCO_1/CTRL2" 25.7607
+cap "VCO_1/CTRL4" "vssa2" 8.53698
+cap "VCO_1/X11/IN" "vssa2" 0.00573846
+cap "vssa2" "VCO_1/X11/XC4/m4_n951_n500#" 41.4707
+cap "VCO_1/CTRL1" "vssa2" 99.7552
+cap "vssa2" "VCO_1/X11/m1_4700_270#" 41.4619
+cap "vssa2" "VCO_1/CTRL5" 4.84095
+cap "vssa2" "VCO_1/CTRL3" 12.153
+cap "vssa2" "VCO_1/CTRL2" 25.7607
+cap "vssa2" "VCO_1/CTRL4" 8.53698
+cap "vssa2" "VCO_1/X11/m1_4820_n460#" 0.63291
+cap "vssa2" "VCO_1/CTRL3" 12.153
+cap "vssa2" "VCO_1/X11/m1_4700_270#" 39.3737
+cap "vssa2" "VCO_1/CTRL1" 99.7552
+cap "vssa2" "VCO_1/X11/XM5/a_n177_122#" 0.000149651
+cap "vssa2" "VCO_1/X11/XM5/a_207_122#" 0.000149651
+cap "vssa2" "VCO_1/CTRL2" 25.7607
+cap "vssa2" "VCO_1/X11/XM5/a_15_122#" 0.000149651
+cap "vssa2" "VCO_1/X11/XM5/a_n419_n274#" 0.979328
+cap "vssa2" "VCO_1/CTRL4" 9.33542
+cap "vssa2" "VCO_1/CTRL5" 1.76086
+cap "VCO_1/CTRL2" "vssa2" 24.6986
+cap "VCO_1/X11/XM3/a_n81_n188#" "vssa2" 0.000149651
+cap "VCO_1/X11/XM5/a_n419_n274#" "vssa2" 1.28059
+cap "VCO_1/X11/m1_4820_n460#" "vssa2" 24.0407
+cap "VCO_1/X11/m1_4820_n1420#" "vssa2" 0.934767
+cap "VCO_1/CTRL4" "vssa2" 0.00084799
+cap "VCO_1/CTRL1" "vssa2" 107.896
+cap "VCO_1/X11/XM2/a_n33_n188#" "vssa2" 0.000149651
+cap "VCO_1/X11/XM4/a_n33_122#" "vssa2" 0.000149651
+cap "VCO_1/X11/m1_4820_n890#" "vssa2" 1.94882
+cap "VCO_1/CTRL3" "vssa2" 7.56584
+cap "VCO_1/CTRL1" "vssa2" 0.214821
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VCO_1/X9/GND" "CTRL5" 39.2405
+cap "VCO_1/X9/XM3/a_n33_n100#" "vssa2" 0.71993
+cap "VCO_1/X9/XM2/a_15_n100#" "vssa2" 0.366116
+cap "vssa2" "VCO_1/X9/GND" 0.175191
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll1" 65.4027
+cap "VCO_1/X9/ctrll2" "VCO_1/X9/ctrll1" 11.3377
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll3" 25.4993
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM1/a_n73_n100#" 6.40754
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/ctrll4" 67.7802
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/ctrll3" 18.6013
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll2" -4.92118
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/ctrll2" 8.50668
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/GND" 25.4588
+cap "VCO_1/X9/GND" "VCO_1/X9/ctrll2" 19.0068
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll4" 22.3301
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/ctrll4" 23.1619
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/ctrll3" 14.6107
+cap "VCO_1/X9/GND" "VCO_1/X9/ctrll4" 20.4751
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/ctrll2" 63.8493
+cap "vssa2" "VCO_1/X9/ctrll3" -1.76476
+cap "vssa2" "VCO_1/X9/ctrll2" 0.000354014
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll1" -3.25003
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/ctrll1" -12.3699
+cap "VCO_1/X9/GND" "VCO_1/X9/ctrll1" -1.12324
+cap "VCO_1/X9/XM1/a_n73_n100#" "VCO_1/X9/ctrll3" 0.000149331
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/ctrll1" 74.2146
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/GND" 10.3059
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/GND" 8.40706
+cap "VCO_1/X9/XM1/a_n73_n100#" "VCO_1/X9/ctrll4" 0.563018
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll2" 59.8124
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll5" 196.292
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/ctrll5" 210.07
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/GND" 100.424
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll4" 9.16192
+cap "VCO_1/X9/ctrll2" "VCO_1/X9/ctrll4" 53.8657
+cap "VCO_1/X9/GND" "vssa2" 0.284284
+cap "VCO_1/X9/ctrll5" "vssa2" 0.000563014
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/XM5/a_159_n100#" -5.33531
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM4/a_111_n100#" -46.1907
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll1" 1.06996
+cap "VCO_1/X9/XM4/a_111_n100#" "VCO_1/X9/ctrll2" -6.56398
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM1/a_n73_n100#" 3.8023
+cap "VCO_1/X9/XM5/a_159_n100#" "VCO_1/X9/GND" 17.4057
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM4/a_111_n100#" 37.494
+cap "VCO_1/X9/XM3/a_n33_n100#" "vssa2" 0.0201282
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/GND" -50.0214
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM5/a_159_n100#" 332.636
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll5" 60.8015
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/ctrll1" 1.36695
+cap "VCO_1/X9/ctrll2" "VCO_1/X9/GND" 3.31218
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM2/a_15_n100#" -4.68043
+cap "VCO_1/X9/ctrll2" "VCO_1/X9/ctrll5" 2.88759
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/ctrll5" 91.0171
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/GND" 31.3356
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM3/a_n33_n100#" -19.1738
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll2" -0.35617
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM2/a_15_n100#" 2.75106
+cap "VCO_1/X9/XM5/a_159_n100#" "vssa2" 21.9015
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XC6/c2_n451_n200#" 0.634781
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM3/a_n33_n100#" 7.16184
+cap "VCO_1/X9/ctrll3" "vssa2" 4.89531
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/XM4/a_111_n100#" -4.33566
+cap "VCO_1/X9/XM4/a_111_n100#" "VCO_1/X9/GND" 14.2446
+cap "VCO_1/X9/XM4/a_111_n100#" "VCO_1/X9/ctrll5" 385.01
+cap "VCO_1/X9/ctrll4" "vssa2" 0.240771
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM5/a_159_n100#" -50.6237
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/GND" 0.984691
+cap "VCO_1/X9/ctrll2" "VCO_1/X9/XM5/a_159_n100#" -8.07356
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/ctrll5" 2.19023
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM1/a_n73_n100#" 0.409812
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll2" -2.21014
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/GND" 73.8618
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM5/a_159_n100#" -11.9247
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll4" 75.0164
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/XM3/a_n33_n100#" -0.23537
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/ctrll2" 1.609
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM2/a_15_n100#" 22.0718
+cap "VCO_1/X9/XM4/a_111_n100#" "vssa2" 12.0513
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/GND" 8.04927
+cap "VCO_1/X9/XM3/a_n33_n100#" "VCO_1/X9/ctrll5" 43.7207
+cap "VCO_1/X9/XM5/a_159_n100#" "VCO_1/X9/ctrll4" -10.9433
+cap "vssa2" "VCO_1/X9/ctrll4" 3.29256
+cap "VCO_1/X9/XM5/a_159_n100#" "vssa2" 41.7383
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM4/a_111_n100#" 1.78214
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/ctrll3" 3.63456
+cap "VCO_1/X9/XM3/a_n227_n274#" "VCO_1/X9/ctrll4" -2.78899
+cap "VCO_1/X9/XM3/a_63_n100#" "VCO_1/X9/ctrll4" -2.76583
+cap "VCO_1/X9/ctrll5" "VCO_1/CTRL2" 2.40831
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/m1_4820_n890#" 1.74344
+cap "vssa2" "VCO_1/X9/XM3/a_n227_n274#" 0.0293161
+cap "VCO_1/X9/XM5/a_159_n100#" "VCO_1/CTRL1" -1.22241
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XC6/c2_n451_n200#" 0.0319846
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/ctrll4" 25.6041
+cap "VCO_1/X9/m1_4820_n1420#" "VCO_1/X9/ctrll4" -0.139985
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM5/a_159_n100#" 74.2453
+cap "VCO_1/X9/ctrll5" "vssa2" 0.448605
+cap "VCO_1/X9/XM4/a_111_n100#" "VCO_1/X9/ctrll4" -3.29582
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM3/a_n227_n274#" 4.52739
+cap "VCO_1/X9/ctrll5" "VCO_1/CTRL1" 1.82669
+cap "VCO_1/X9/XM3/a_63_n100#" "VCO_1/X9/ctrll5" 2.15972
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM5/a_159_n100#" -2.35312
+cap "VCO_1/X9/m1_4820_n890#" "VCO_1/X9/ctrll4" -7.65496
+cap "VCO_1/X9/ctrll3" "vssa2" 6.49773
+cap "VCO_1/X9/XC6/c2_n451_n200#" "VCO_1/X9/ctrll4" -0.0617387
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/m1_4820_n1420#" 0.320414
+cap "VCO_1/X9/XM5/a_159_n100#" "VCO_1/CTRL2" -1.84979
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM5/a_n225_n100#" -7.10543e-15
+cap "vssa2" "VCO_1/X9/ctrll5" 0.944057
+cap "vssa2" "VCO_1/CTRL3" 6.49773
+cap "vssa2" "VCO_1/X9/XM5/a_n225_n100#" 41.4619
+cap "VCO_1/CTRL4" "vssa2" 3.29256
+cap "VCO_1/CTRL3" "VCO_1/X9/m1_4700_270#" -0.107632
+cap "VCO_1/CTRL5" "vssa2" 0.944057
+cap "VCO_1/X9/IN" "vssa2" 0.0335434
+cap "VCO_1/CTRL1" "VCO_1/X9/m1_4700_270#" -0.0559923
+cap "vssa2" "VCO_1/X9/m1_4700_270#" 41.4874
+cap "VCO_1/CTRL4" "vssa2" 3.29256
+cap "VCO_1/CTRL5" "VCO_1/X9/m1_4700_270#" -0.133253
+cap "VCO_1/CTRL3" "vssa2" 6.49773
+cap "VCO_1/CTRL4" "VCO_1/X9/m1_4700_270#" -0.124926
+cap "VCO_1/CTRL2" "VCO_1/X9/m1_4700_270#" -0.0846501
+cap "vssa2" "VCO_1/CTRL5" 0.944057
+cap "VCO_1/CTRL1" "VCO_1/X9/m1_4700_270#" -0.0217998
+cap "VCO_1/CTRL3" "VCO_1/X9/m1_4700_270#" -0.0419051
+cap "VCO_1/X11/m1_4700_270#" "VCO_1/CTRL2" -0.00191684
+cap "VCO_1/X11/m1_4700_270#" "VCO_1/CTRL4" -0.00282887
+cap "VCO_1/CTRL5" "VCO_1/X11/m1_4700_270#" -0.00301741
+cap "vssa2" "VCO_1/CTRL3" 6.49773
+cap "vssa2" "VCO_1/X11/m1_4700_270#" 1.09443
+cap "VCO_1/X9/m1_4700_270#" "VCO_1/CTRL2" -0.0329573
+cap "VCO_1/X9/m1_4700_270#" "VCO_1/CTRL4" -0.0486382
+cap "VCO_1/CTRL5" "VCO_1/X9/m1_4700_270#" -0.0518799
+cap "VCO_1/CTRL1" "VCO_1/X11/m1_4700_270#" -0.0012679
+cap "VCO_1/CTRL3" "VCO_1/X11/m1_4700_270#" -0.00243726
+cap "vssa2" "VCO_1/X9/m1_4700_270#" 16.8563
+cap "vssa2" "VCO_1/CTRL4" 3.29256
+cap "VCO_1/CTRL4" "VCO_1/X11/XC4/m4_n951_n500#" -0.127755
+cap "vssa2" "VCO_1/X11/XC4/m4_n951_n500#" 42.3735
+cap "VCO_1/CTRL2" "VCO_1/X11/XC4/m4_n951_n500#" -0.0865669
+cap "VCO_1/X11/IN" "vssa2" 0.0233482
+cap "vssa2" "VCO_1/CTRL5" 0.944057
+cap "VCO_1/CTRL3" "vssa2" 6.49773
+cap "VCO_1/CTRL1" "VCO_1/X11/XC4/m4_n951_n500#" -0.0572602
+cap "VCO_1/CTRL4" "vssa2" 3.29256
+cap "VCO_1/CTRL5" "VCO_1/X11/XC4/m4_n951_n500#" -0.13627
+cap "VCO_1/CTRL3" "VCO_1/X11/XC4/m4_n951_n500#" -0.11007
+cap "VCO_1/X11/XC4/m4_n951_n500#" "vssa2" 41.4707
+cap "VCO_1/X11/XC4/m4_n951_n500#" "VCO_1/CTRL1" -0.019264
+cap "VCO_1/X11/XC4/m4_n951_n500#" "VCO_1/CTRL3" -0.0370306
+cap "VCO_1/CTRL5" "vssa2" 0.944057
+cap "VCO_1/CTRL4" "VCO_1/X11/XC4/m4_n951_n500#" -0.0429805
+cap "vssa2" "VCO_1/CTRL3" 6.49773
+cap "VCO_1/CTRL2" "VCO_1/X11/XC4/m4_n951_n500#" -0.0291236
+cap "VCO_1/CTRL4" "vssa2" 3.29256
+cap "VCO_1/CTRL5" "VCO_1/X11/XC4/m4_n951_n500#" -0.0458451
+cap "VCO_1/X11/IN" "vssa2" 0.0101951
+cap "vssa2" "VCO_1/CTRL4" 3.29256
+cap "vssa2" "VCO_1/X11/m1_4700_270#" 41.4619
+cap "VCO_1/CTRL5" "vssa2" 0.944057
+cap "vssa2" "VCO_1/CTRL3" 6.49773
+cap "vssa2" "VCO_1/X11/m1_4820_n460#" 0.359167
+cap "vssa2" "VCO_1/X11/XM5/a_15_122#" 2.52086e-05
+cap "vssa2" "VCO_1/X11/XM5/a_n419_n274#" 0.211521
+cap "VCO_1/CTRL3" "VCO_1/X11/m1_4700_270#" -0.092885
+cap "VCO_1/CTRL3" "vssa2" 6.49773
+cap "VCO_1/CTRL2" "VCO_1/X11/m1_4700_270#" -0.0730168
+cap "VCO_1/CTRL5" "VCO_1/X11/m1_4700_270#" -0.0509955
+cap "vssa2" "VCO_1/X11/m1_4700_270#" 37.7369
+cap "VCO_1/CTRL5" "vssa2" 0.270982
+cap "VCO_1/X11/XM5/a_207_122#" "vssa2" 2.52086e-05
+cap "VCO_1/X11/XM5/a_n177_122#" "vssa2" 2.52086e-05
+cap "VCO_1/CTRL4" "VCO_1/X11/m1_4700_270#" -0.107846
+cap "VCO_1/CTRL1" "VCO_1/X11/m1_4700_270#" -0.0482522
+cap "VCO_1/CTRL4" "vssa2" 3.08336
+cap "VCO_1/CTRL2" "vssa2" 0.000328806
+cap "VCO_1/CTRL3" "vssa2" 2.32572
+cap "vssa2" "VCO_1/X11/XM5/a_n419_n274#" 0.27727
+cap "VCO_1/X11/m1_4820_n460#" "VCO_1/CTRL2" -0.0227987
+cap "VCO_1/X11/m1_4820_n460#" "vssa2" 12.1473
+cap "vssa2" "VCO_1/X11/XM4/a_n33_122#" 2.52086e-05
+cap "vssa2" "VCO_1/X11/m1_4820_n890#" 1.10667
+cap "VCO_1/CTRL3" "VCO_1/X11/m1_4820_n460#" -0.0290024
+cap "VCO_1/X11/XM2/a_n33_n188#" "vssa2" 2.52086e-05
+cap "VCO_1/X11/m1_4820_n460#" "VCO_1/CTRL1" -0.0150663
+cap "vssa2" "VCO_1/X11/XM3/a_n81_n188#" 2.52086e-05
+cap "vssa2" "VCO_1/X11/m1_4820_n1420#" 0.529833
+cap "VCO_1/CTRL4" "vssa2" 0.000222418
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM2/a_15_n100#" 5.93871
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/GND" 4.34317
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/XM1/a_n73_n100#" -1.04179
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/GND" 2.08766
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM2/a_15_n100#" 8.26482
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM2/a_15_n100#" 3.53536
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/ctrll4" 1.03445
+cap "VCO_1/X9/XM1/a_n73_n100#" "VCO_1/X9/ctrll2" -0.467139
+cap "VCO_1/X9/ctrll1" "VCO_1/X9/ctrll5" 2.12977
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM1/a_n73_n100#" 4.58322
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/ctrll1" 0.136067
+cap "VCO_1/X9/GND" "VCO_1/X9/ctrll2" 0.369243
+cap "VCO_1/X9/ctrll2" "VCO_1/X9/XM2/a_15_n100#" -0.686447
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM1/a_n73_n100#" 11.647
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM1/a_n73_n100#" 0.000171801
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/GND" 3.09538
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM2/a_15_n100#" 2.25678
+cap "VCO_1/X9/GND" "VCO_1/X9/ctrll3" 0.106156
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XC6/c2_n451_n200#" -0.0704827
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM1/a_n73_n100#" 3.30404
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/GND" 2.4286
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XM2/a_15_n100#" 7.27969
+cap "VCO_1/X9/ctrll3" "VCO_1/X9/XM1/a_n73_n100#" -0.174238
+cap "VCO_1/X9/XM2/a_15_n100#" "VCO_1/X9/ctrll3" -0.0467756
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/XC6/c2_n451_n200#" 4.3068
+cap "VCO_1/X9/ctrll5" "VCO_1/X9/GND" 3.88176
+cap "VCO_1/X9/ctrll4" "VCO_1/X9/XM1/a_n73_n100#" 1.43453
+cap "VCO_1/X9/m1_4820_n890#" "VCO_1/X9/ctrll5" 7.10543e-15
+cap "VCO_1/X9/XC6/c2_n451_n200#" "VCO_1/X9/ctrll5" 0.86718
+cap "VCO_1/X9/m1_4820_n1420#" "VCO_1/X9/ctrll5" 1.07968
+cap "VCO_1/X9/XM3/a_n227_n274#" "VCO_1/X9/ctrll5" 1.08021
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "VCO_1/VDD" "VCO_1/X6/XM1/a_15_n100#" 0.033642
+cap "VCO_1/VDD" "VCO_1/X6/XM1/a_n73_n100#" 0.00365458
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VCO_1/VDD" "VCO_1/GND" 55.3791
+cap "VCO_1/VDD" "VCO_1/GND" 6.83448
+cap "VCO_1/X6/XM1/a_n33_n188#" "VCO_1/VDD" 0.116926
+cap "VCO_1/VDD" "VCO_1/X6/ctrll1" 0.112837
+cap "VCO_1/VDD" "VCO_1/X6/XM1/a_n73_n100#" 7.08966
+cap "VCO_1/VDD" "VCO_1/X6/ctrll2" 0.232946
+cap "VCO_1/X3/X2/XR2/a_n703_n3602#" "VCO_1/VDD" 5.69172
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 771.727
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "VCO_1/X3/X2/VDD" "VCO_1/GND" 32.9642
+cap "VCO_1/X6/XM1/a_n33_n188#" "VCO_1/X3/X2/VDD" -0.253694
+cap "VCO_1/X6/ctrll1" "VCO_1/X3/X2/VDD" -2.46893
+cap "VCO_1/X3/X2/XR2/a_n703_n3602#" "VCO_1/X3/X2/VDD" 28.9139
+cap "VCO_1/GND" "VCO_1/X3/X2/VDD" -69.0694
+cap "VCO_1/X6/ctrll2" "VCO_1/X3/X2/VDD" -2.27325
+cap "VCO_1/X6/XM1/a_15_n100#" "VCO_1/X3/X2/VDD" -0.042082
+cap "VCO_1/X6/XM1/a_n73_n100#" "VCO_1/X3/X2/VDD" -11.4323
+cap "VCO_1/X3/X2/VDD" "VCO_1/GND" 2.97262
+cap "VCO_1/X3/X2/XR2/a_n703_n3602#" "VCO_1/GND" -0.124969
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 771.727
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VCO_1/X3/X2/XR2/a_n703_n3602#" "VCO_1/X3/X2/VDD" 0.203795
+cap "VCO_1/X3/X2/XR2/a_n703_n3602#" "vdda2" 21.006
+cap "vdda2" "VCO_1/X3/X2/XR2/a_n703_n3602#" 34.2356
+cap "VCO_1/X3/X2/GND" "vdda2" 34.2356
+cap "VCO_1/X3/X2/GND" "vdda2" 31.4979
+cap "VCO_1/X3/X2/XM4/a_399_122#" "vdda2" 0.0141687
+cap "VCO_1/X3/X2/XM4/a_207_122#" "vdda2" 0.0141687
+cap "VCO_1/X3/X2/XM4/a_n177_122#" "vdda2" 0.0141687
+cap "vdda2" "VCO_1/X3/X4/SUB" 91.9799
+cap "vdda2" "VCO_1/X3/X2/BIAS" 38.0254
+cap "VCO_1/X3/X2/XM4/a_15_122#" "vdda2" 0.0141687
+cap "VCO_1/X3/X2/XM4/a_n417_n100#" "vdda2" 4.27281
+cap "VCO_1/X3/X2/XM4/a_n369_122#" "vdda2" 0.0141687
+cap "VCO_1/X3/X2/XM4/a_n417_n100#" "vdda2" 1.51139
+cap "vdda2" "VCO_1/X3/X2/INA" 0.155813
+cap "vdda2" "VCO_1/X3/X4/INB" 0.319537
+cap "vdda2" "VCO_1/X3/X4/OUTB" 0.528494
+cap "vdda2" "VCO_1/X3/I2B" 3.15092
+cap "vdda2" "VCO_1/X3/X4/SUB" 4.64693
+cap "vdda2" "VCO_1/X3/X2/XM3/a_15_n100#" 0.157707
+cap "VCO_1/X3/X4/XM4/a_n177_122#" "vdda2" 0.0141687
+cap "vdda2" "VCO_1/X3/X4/XM4/a_207_122#" 0.0141687
+cap "VCO_1/X3/X4/XM4/a_399_122#" "vdda2" 0.0141687
+cap "vdda2" "VCO_1/X3/X4/INB" 2.84689
+cap "vdda2" "VCO_1/X3/X4/BIAS" 23.7938
+cap "vdda2" "VCO_1/X3/X4/OUTB" 0.528494
+cap "VCO_1/X3/X4/INA" "vdda2" 0.155813
+cap "vdda2" "VCO_1/X3/X4/GND" 59.5275
+cap "vdda2" "VCO_1/X3/X4/XM4/a_15_122#" 0.0141687
+cap "vdda2" "VCO_1/X3/X4/XM3/a_n73_n100#" 5.01636
+cap "VCO_1/X3/X4/XM3/a_15_n100#" "vdda2" 0.157707
+cap "vdda2" "VCO_1/X3/X4/GND" 55.4757
+cap "vdda2" "VCO_1/X3/X4/XM4/a_n369_122#" 0.0141687
+cap "VCO_1/X3/X4/XM3/a_n73_n100#" "vdda2" 0.767839
+cap "VCO_1/X3/X4/BIAS" "vdda2" 14.2316
+cap "vdda2" "VCO_1/X3/X4/GND" 33.1658
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "vdda2" 33.1658
+cap "vdda2" "VCO_1/X3/X4/XR1/a_n703_n3602#" 22.4065
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "vdda2" 3.20731
+cap "vdda2" "VCO_1/output_buffer_0/XR3/a_n573_1640#" 0.519618
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 928.884
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 1721.89
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 1717.09
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 456.536
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 709.115
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 709.115
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 919.486
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 709.115
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 697.467
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 919.486
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 537.95
+cap "VCO_1/X3/X2/VDD" "vdda2" 642.962
+cap "VCO_1/X3/X2/XR1/a_n703_n3602#" "vdda2" 126.442
+cap "VCO_1/X3/X2/XR1/a_n703_n3602#" "vdda2" 86.8738
+cap "VCO_1/X3/X2/GND" "vdda2" 86.8738
+cap "VCO_1/X3/X2/GND" "vdda2" 483.783
+cap "vdda2" "VCO_1/X3/X2/XM4/a_n369_122#" 0.0858291
+cap "VCO_1/X3/X4/SUB" "vdda2" 230.385
+cap "VCO_1/X3/X4/OUTB" "vdda2" 386.179
+cap "VCO_1/X3/X2/XM4/a_n177_122#" "vdda2" 0.085711
+cap "vdda2" "VCO_1/X3/X2/XM4/a_207_122#" 0.0855928
+cap "VCO_1/X3/X2/BIAS" "vdda2" 173.451
+cap "VCO_1/X3/X2/XM4/a_n417_n100#" "vdda2" 78.0887
+cap "VCO_1/X3/X2/XM4/a_15_122#" "vdda2" 0.0858291
+cap "vdda2" "VCO_1/X3/X2/XM4/a_399_122#" 0.0858291
+cap "VCO_1/X3/X3/XM3/a_n33_n188#" "vdda2" 56.3291
+cap "VCO_1/X3/I3B" "vdda2" 3.69531
+cap "VCO_1/X3/I1B" "vdda2" 56.3291
+cap "VCO_1/X3/X2/INA" "vdda2" 1.00405
+cap "VCO_1/X3/X4/INB" "vdda2" 25.418
+cap "VCO_1/X3/X2/XM4/a_n417_n100#" "vdda2" 17.9076
+cap "VCO_1/X3/X4/OUTB" "vdda2" 879.591
+cap "VCO_1/X3/X4/SUB" "vdda2" 61.2642
+cap "VCO_1/X3/I4A" "vdda2" 56.3291
+cap "VCO_1/X3/I2A" "vdda2" 56.3291
+cap "VCO_1/X3/X2/XM3/a_15_n100#" "vdda2" 1.06667
+cap "VCO_1/X3/I1A" "vdda2" 3.69531
+cap "VCO_1/X3/I2B" "vdda2" 96.4846
+cap "VCO_1/X3/X4/XM4/a_207_122#" "vdda2" 0.0855928
+cap "VCO_1/X3/X4/XM4/a_n177_122#" "vdda2" 0.085711
+cap "VCO_1/X3/X4/XM4/a_399_122#" "vdda2" 0.0858291
+cap "VCO_1/X3/X4/OUTB" "vdda2" 769.886
+cap "VCO_1/X3/X4/INA" "vdda2" 1.00405
+cap "VCO_1/X3/X4/INB" "vdda2" 70.9987
+cap "VCO_1/X3/X4/XM4/a_15_122#" "vdda2" 0.0858291
+cap "VCO_1/X3/X4/BIAS" "vdda2" 24.5985
+cap "VCO_1/X3/X4/XM3/a_n73_n100#" "vdda2" 71.9948
+cap "vdda2" "VCO_1/X3/X4/GND" 202.496
+cap "VCO_1/X3/X4/XM3/a_15_n100#" "vdda2" 1.06667
+cap "vdda2" "VCO_1/X3/X4/GND" 540.372
+cap "VCO_1/X3/X4/XM4/a_n369_122#" "vdda2" 0.0858291
+cap "VCO_1/X3/X4/BIAS" "vdda2" 191.598
+cap "VCO_1/X3/X4/XM3/a_n73_n100#" "vdda2" 24.0014
+cap "vdda2" "VCO_1/X3/X4/GND" 83.3989
+cap "vdda2" "VCO_1/X3/X4/BIAS" 96.6862
+cap "vdda2" "VCO_1/X3/X4/XR1/a_n703_n3602#" 83.3989
+cap "vdda2" "VCO_1/m1_50680_31080#" 96.6862
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "vdda2" 40.5728
+cap "VCO_1/X3/X4/VDD" "vdda2" 116.38
+cap "VCO_1/output_buffer_0/BIAS" "vdda2" 6.11035
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/BIAS" 1.43104
+cap "vdda2" "VCO_1/X3/X4/XR1/a_n703_n3602#" 5.75101
+cap "vdda2" "VCO_1/output_buffer_0/BIAS" 4.93576
+cap "vdda2" "VCO_1/X3/X4/VDD" 1.51439
+cap "vdda2" "VCO_1/output_buffer_0/XR3/a_n573_1640#" 0.916023
+cap "VCO_1/output_buffer_0/BIAS" "VCO_1/output_buffer_0/OUTB" 5.2428
+cap "VCO_1/output_buffer_0/XM4/a_n513_n100#" "VCO_1/output_buffer_0/OUTB" 2.84392
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/X3/X4/XR1/a_n703_n3602#" 0.200251
+cap "VCO_1/output_buffer_0/XM3/a_n513_n100#" "VCO_1/output_buffer_0/OUTB" 4.27883
+cap "VCO_1/output_buffer_0/XM4/a_n417_n100#" "VCO_1/output_buffer_0/OUTB" 1.72201
+cap "VCO_1/output_buffer_0/BIAS" "VCO_1/output_buffer_0/OUTB" 2.99427
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/XR3/a_n703_n2202#" 0.988616
+cap "VCO_1/output_buffer_0/XM4/a_n513_n100#" "VCO_1/output_buffer_0/OUTB" 1.38997
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 924.858
+cap "VGA_routing_0/m1_443471_411908#" "TX_line_0/OUTB" 32.0634
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 83.3681
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1535.24
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1490.84
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_411908#" 23.8976
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 919.486
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 919.486
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 456.536
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 709.115
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 709.115
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 709.115
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 697.467
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 919.486
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 537.95
+cap "vdda2" "VCO_1/X3/X3/VDD" 2.1685
+cap "vdda2" "VCO_1/X3/OUT90" 43.1673
+cap "vdda2" "VCO_1/X3/X2/XR1/a_n703_n3602#" 2.13515
+cap "vdda2" "VCO_1/X3/X2/XR1/a_n703_n3602#" 2.72316
+cap "vdda2" "VCO_1/X3/OUT90" 48.1931
+cap "vdda2" "VCO_1/X3/X3/GND" 2.72316
+cap "VCO_1/X3/OUT90" "vdda2" 48.1931
+cap "vdda2" "VCO_1/X3/OUT90" 48.1931
+cap "VCO_1/X3/X3/GND" "vdda2" 2.58008
+cap "vdda2" "VCO_1/X3/X3/OUTA" 49.2186
+cap "vdda2" "VCO_1/X3/X3/GND" 5.40628
+cap "vdda2" "VCO_1/X3/X3/OUTA" 21.7301
+cap "vdda2" "VCO_1/X3/X3/SUB" 0.666422
+cap "vdda2" "VCO_1/X3/X3/SUB" 3.96413
+cap "vdda2" "VCO_1/X3/X1/OUTA" 54.8082
+cap "VCO_1/X3/OUT0" "vdda2" 48.1931
+cap "VCO_1/X3/X1/GND" "vdda2" 3.67818
+cap "VCO_1/X3/OUT0" "vdda2" 48.1931
+cap "vdda2" "VCO_1/X3/X1/GND" 2.63806
+cap "vdda2" "VCO_1/X3/X4/XR1/a_n703_n3602#" 2.63806
+cap "vdda2" "VCO_1/X3/OUT0" 48.1931
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "vdda2" 4.16462
+cap "VCO_1/X3/X1/VDD" "vdda2" 0.719541
+cap "VCO_1/m1_48170_36500#" "vdda2" 26.7128
+cap "VCO_1/output_buffer_0/INB" "VCO_1/output_buffer_0/OUTB" 0.201209
+cap "VCO_1/X3/X1/VDD" "VCO_1/output_buffer_0/OUTB" 158.786
+cap "vdda2" "VCO_1/output_buffer_0/OUTB" 112.291
+cap "VCO_1/output_buffer_0/INA" "vdda2" 0.137695
+cap "vdda2" "VCO_1/X3/X4/XR1/a_n703_n3602#" 1.68082
+cap "VCO_1/output_buffer_0/BIAS" "VCO_1/output_buffer_0/OUTB" 4.45468
+cap "vdda2" "VCO_1/X3/X1/VDD" 0.592765
+cap "VCO_1/output_buffer_0/INA" "VCO_1/output_buffer_0/OUTB" 121.645
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "VCO_1/output_buffer_0/OUTB" 93.9713
+cap "VCO_1/output_buffer_0/INA" "VCO_1/X3/X4/XR1/a_n703_n3602#" -2.42801
+cap "VCO_1/output_buffer_0/XM4/a_n1569_n100#" "VCO_1/output_buffer_0/OUTB" 5.39819
+cap "VCO_1/output_buffer_0/INA" "VCO_1/output_buffer_0/OUTB" 7.22113
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "VCO_1/output_buffer_0/OUTB" 82.6741
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/BIAS" 13.8459
+cap "VCO_1/output_buffer_0/XM33/a_n945_n188#" "VCO_1/output_buffer_0/OUTB" 91.0093
+cap "VCO_1/output_buffer_0/INB" "VCO_1/output_buffer_0/OUTB" 1.00084
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/XM4/a_n1665_n100#" 18.2546
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/XM3/a_n1665_n100#" 29.0009
+cap "VCO_1/output_buffer_0/XM4/a_n1665_n100#" "VCO_1/output_buffer_0/OUTB" 10.4927
+cap "VCO_1/output_buffer_0/XM32/a_n945_n188#" "VCO_1/output_buffer_0/OUTB" 146.057
+cap "VCO_1/output_buffer_0/INA" "VCO_1/output_buffer_0/OUTB" 4.62929
+cap "VCO_1/output_buffer_0/XM33/a_n945_n188#" "VCO_1/output_buffer_0/OUTB" 69.9798
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/XM4/a_n1569_n100#" -8.96476e-06
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/OUTB" 851.785
+cap "VCO_1/output_buffer_0/BIAS" "VCO_1/output_buffer_0/OUTB" 18.6867
+cap "VCO_1/output_buffer_0/XM32/a_n945_n188#" "VCO_1/output_buffer_0/OUTA" -0.000273142
+cap "VCO_1/output_buffer_0/SUB" "VCO_1/output_buffer_0/OUTB" 120.433
+cap "VCO_1/output_buffer_0/XM4/a_n1569_n100#" "VCO_1/output_buffer_0/OUTB" 1186.58
+cap "VCO_1/output_buffer_0/INB" "VCO_1/output_buffer_0/OUTB" 0.230178
+cap "VCO_1/output_buffer_0/SUB" "txinb" 75.3721
+cap "VCO_1/output_buffer_0/OUTA" "txinb" 244.786
+cap "txinb" "VCO_1/output_buffer_0/OUTA" -2.84217e-14
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.822
+cap "VCO_1/X3/X3/GND" "vdda2" 0.0201457
+cap "VCO_1/X3/X3/XM4/a_n417_n100#" "vdda2" 7.35646
+cap "VCO_1/X3/X3/SUB" "vdda2" 0.0447289
+cap "VCO_1/X3/X3/BIAS" "vdda2" 0.0166433
+cap "VCO_1/X3/X3/SUB" "vdda2" 11.1514
+cap "VCO_1/X3/X3/BIAS" "vdda2" 59.6129
+cap "VCO_1/X3/X3/GND" "vdda2" 1.84248
+cap "VCO_1/X3/X3/XM4/a_n417_n100#" "vdda2" 0.000558876
+cap "VCO_1/X3/X3/INA" "vdda2" 4.85488
+cap "vdda2" "VCO_1/X3/X3/INA" 0.00145749
+cap "vdda2" "VCO_1/X3/X3/OUTA" 2.42562
+cap "vdda2" "VCO_1/X3/X3/XM4/a_n417_n100#" 2.11992
+cap "vdda2" "VCO_1/X3/I4A" 1.17041
+cap "VCO_1/X3/I2A" "vdda2" 1.17041
+cap "VCO_1/X3/X1/INB" "vdda2" 6.19955
+cap "vdda2" "VCO_1/X3/X3/XM4/a_n417_n100#" 0.00139192
+cap "VCO_1/X3/X3/SUB" "vdda2" 1.41154
+cap "vdda2" "VCO_1/X3/X1/INA" 1.88769
+cap "vdda2" "VCO_1/X3/X3/INB" 7.93516
+cap "vdda2" "VCO_1/X3/X3/OUTB" 0.546215
+cap "vdda2" "VCO_1/X3/I4B" 0.514982
+cap "vdda2" "VCO_1/X3/X3/SUB" 0.0108821
+cap "VCO_1/X3/I2B" "vdda2" 3.47374
+cap "vdda2" "VCO_1/X3/X1/INA" 0.00179818
+cap "VCO_1/X3/X1/BIAS" "vdda2" 0.0104073
+cap "VCO_1/X3/X1/GND" "vdda2" 1.22283
+cap "VCO_1/X3/I4B" "vdda2" 0.655432
+cap "VCO_1/X3/X1/OUTA" "vdda2" 2.09213
+cap "VCO_1/X3/X1/INA" "vdda2" 0.00096002
+cap "VCO_1/X3/X3/SUB" "vdda2" 7.92407
+cap "VCO_1/X3/X1/XM3/a_n73_n100#" "vdda2" 8.14841
+cap "vdda2" "VCO_1/X3/X1/OUTB" 0.546215
+cap "VCO_1/X3/X1/BIAS" "vdda2" 36.7584
+cap "VCO_1/X3/X3/SUB" "vdda2" 0.0396945
+cap "VCO_1/X3/X1/XM3/a_n73_n100#" "vdda2" 0.00195079
+cap "VCO_1/X3/X1/INA" "vdda2" 0.956401
+cap "VCO_1/X3/X1/INB" "vdda2" 3.31601
+cap "vdda2" "VCO_1/X3/X1/SUB" 4.01626
+cap "VCO_1/X3/X1/XM3/a_n73_n100#" "vdda2" 1.32797
+cap "VCO_1/X3/X1/BIAS" "vdda2" 0.00623599
+cap "vdda2" "VCO_1/X3/X1/GND" 1.63288
+cap "VCO_1/X3/X1/BIAS" "vdda2" 22.0096
+cap "vdda2" "VCO_1/X3/X1/SUB" 0.0159165
+cap "VCO_1/output_buffer_0/INA" "VCO_1/output_buffer_0/OUTB" 31.9636
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/X3/X1/VDD" 22.8054
+cap "VCO_1/output_buffer_0/INA" "VCO_1/X3/X4/XR1/a_n703_n3602#" -1.19298
+cap "vdda2" "VCO_1/output_buffer_0/OUTB" 19.8452
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/INB" 0.193392
+cap "vdda2" "VCO_1/X3/X4/XR1/a_n703_n3602#" -0.0230982
+cap "VCO_1/X3/X4/XR1/a_n703_n3602#" "VCO_1/output_buffer_0/OUTB" 10.4038
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/X3/X4/XR1/a_n703_n3602#" 17.8685
+cap "VCO_1/output_buffer_0/XM32/a_n945_n188#" "VCO_1/output_buffer_0/OUTB" 0.439937
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/INB" 0.616332
+cap "VCO_1/output_buffer_0/XR2/a_n285_760#" "VCO_1/output_buffer_0/XM32/a_831_n100#" 0.0647723
+cap "VCO_1/output_buffer_0/XR2/a_n285_760#" "VCO_1/output_buffer_0/OUTB" 31.5002
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/INA" 3.96609
+cap "VCO_1/output_buffer_0/XM33/a_927_n100#" "VCO_1/output_buffer_0/OUTB" 7.23189
+cap "VCO_1/output_buffer_0/XM32/a_831_n100#" "VCO_1/X3/X4/XR1/a_n703_n3602#" 0.0315232
+cap "VCO_1/output_buffer_0/XR2/a_n285_760#" "VCO_1/output_buffer_0/OUTB" 1.53161
+cap "VCO_1/output_buffer_0/XM33/a_927_n100#" "VCO_1/output_buffer_0/OUTA" 7.88214
+cap "VCO_1/output_buffer_0/SUB" "VCO_1/output_buffer_0/OUTA" 6.64781
+cap "VCO_1/output_buffer_0/XM33/a_927_n100#" "VCO_1/output_buffer_0/SUB" -1.05739
+cap "VCO_1/output_buffer_0/INA" "VCO_1/output_buffer_0/OUTB" 0.700038
+cap "VCO_1/output_buffer_0/XM32/a_n945_n188#" "VCO_1/output_buffer_0/OUTA" 8.85384
+cap "VCO_1/output_buffer_0/XM33/a_927_n100#" "VCO_1/output_buffer_0/XM32/a_n945_n188#" -0.222993
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/OUTB" 19.7296
+cap "VCO_1/output_buffer_0/XM33/a_927_n100#" "VCO_1/output_buffer_0/OUTB" 14.1435
+cap "VCO_1/output_buffer_0/SUB" "VCO_1/output_buffer_0/OUTB" 6.30101
+cap "VCO_1/output_buffer_0/XR2/a_n285_760#" "VCO_1/output_buffer_0/OUTA" 0.939923
+cap "VCO_1/output_buffer_0/XM32/a_n945_n188#" "VCO_1/output_buffer_0/OUTB" 8.11343
+cap "VCO_1/output_buffer_0/XM33/a_927_n100#" "VCO_1/output_buffer_0/XR2/a_n285_760#" -0.285707
+cap "VCO_1/output_buffer_0/SUB" "VCO_1/output_buffer_0/OUTA" 42.1174
+cap "VCO_1/output_buffer_0/SUB" "txinb" 1.95639
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/XM32/a_n945_n188#" 8.63219
+cap "VCO_1/output_buffer_0/OUTA" "txinb" 105.786
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.822
+cap "VCO_1/X3/VDD" "vdda2" 528.657
+cap "VCO_1/X3/X3/XR2/a_n703_n3602#" "vdda2" 96.3368
+cap "VCO_1/X3/OUT270" "vdda2" 367.851
+cap "VCO_1/X3/OUT270" "vdda2" 234.429
+cap "vdda2" "VCO_1/X3/GND" 230.453
+cap "vdda2" "VCO_1/X3/X3/XR2/a_n703_n3602#" 52.9947
+cap "vdda2" "VCO_1/X3/X3/GND" 366.39
+cap "vdda2" "VCO_1/X3/OUT270" 356.222
+cap "vdda2" "VCO_1/X3/X3/XR2/a_n703_n3602#" 43.8604
+cap "VCO_1/X3/X3/XR2/a_n703_n3602#" "vdda2" 40.7989
+cap "vdda2" "VCO_1/X3/OUT270" 343.073
+cap "vdda2" "VCO_1/X3/X3/GND" 446.65
+cap "vdda2" "VCO_1/X3/X3/BIAS" 145.465
+cap "VCO_1/X3/X5/IN4" "vdda2" 638.593
+cap "VCO_1/X3/X3/XM4/a_n417_n100#" "vdda2" 132.233
+cap "vdda2" "VCO_1/X3/X3/GND" 224.292
+cap "VCO_1/X3/X3/SUB" "vdda2" 107.864
+cap "vdda2" "VCO_1/X3/I4A" 8.88233
+cap "vdda2" "VCO_1/X3/I2A" 6.86362
+cap "vdda2" "VCO_1/X3/X5/IN2" 103.24
+cap "VCO_1/X3/m3_19820_13570#" "vdda2" 334.467
+cap "vdda2" "VCO_1/X3/X1/INB" 15.6524
+cap "VCO_1/X3/X1/INA" "vdda2" 8.20658
+cap "vdda2" "VCO_1/X3/X3/XM4/a_n417_n100#" 29.8079
+cap "vdda2" "VCO_1/X3/X5/IN4" 409.673
+cap "vdda2" "VCO_1/X3/I4B" 2.6647
+cap "vdda2" "VCO_1/X3/I2B" 9.39963
+cap "vdda2" "VCO_1/X3/X5/IN3" 117.733
+cap "vdda2" "VCO_1/X3/X3/INB" 25.065
+cap "VCO_1/X3/X3/INA" "vdda2" 16.3564
+cap "vdda2" "VCO_1/X3/X5/SUB" 59.8067
+cap "vdda2" "VCO_1/X3/X5/IN1" 927.232
+cap "vdda2" "VCO_1/X3/X1/XM3/a_n73_n100#" 121.356
+cap "vdda2" "VCO_1/X3/X1/GND" 133.123
+cap "vdda2" "VCO_1/X3/X1/BIAS" 39.8669
+cap "vdda2" "VCO_1/X3/X5/IN2" 12.5799
+cap "VCO_1/X3/X1/INA" "vdda2" 4.57265
+cap "vdda2" "VCO_1/X3/I4B" 5.06318
+cap "VCO_1/X3/SUB" "vdda2" 126.258
+cap "vdda2" "VCO_1/X3/X1/INB" 11.6403
+cap "vdda2" "VCO_1/X3/SUB" 70.1409
+cap "vdda2" "VCO_1/X3/OUT180" 303.751
+cap "vdda2" "VCO_1/m1_46335_31170#" 9.82122
+cap "VCO_1/X3/X1/GND" "vdda2" 500.302
+cap "vdda2" "VCO_1/X3/X1/XM3/a_n73_n100#" 40.6852
+cap "VCO_1/X3/X1/BIAS" "vdda2" 120.739
+cap "VCO_1/X3/SUB" "vdda2" 56.6773
+cap "VCO_1/X3/OUT180" "vdda2" 361.177
+cap "VCO_1/X3/OUT180" "VCO_1/X3/X1/VDD" 361.177
+cap "VCO_1/X3/SUB" "VCO_1/X3/X1/VDD" 56.6773
+cap "VCO_1/X3/X1/XR2/a_n703_n3602#" "VCO_1/X3/X1/VDD" 34.9917
+cap "VCO_1/X3/X1/VDD" "VCO_1/m1_47700_36500#" 118.97
+cap "VCO_1/output_buffer_0/INB" "VCO_1/output_buffer_0/OUTB" 1.90141
+cap "VCO_1/X3/X1/XR2/a_n703_n3602#" "VCO_1/output_buffer_0/VDD" 3.02293
+cap "VCO_1/output_buffer_0/BIAS" "VCO_1/output_buffer_0/VDD" 0.0670467
+cap "VCO_1/output_buffer_0/INB" "VCO_1/output_buffer_0/VDD" 3.15157
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/XR2/a_n285_760#" 3.82427
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/X3/X1/XR2/a_n703_n3602#" 1.30705
+cap "VCO_1/X3/X1/XR2/a_n703_n3602#" "VCO_1/output_buffer_0/VDD" 0.0143918
+cap "VCO_1/output_buffer_0/OUTB" "VCO_1/output_buffer_0/INB" 3.36479
+cap "VCO_1/output_buffer_0/XM32/a_n945_n188#" "VCO_1/output_buffer_0/OUTA" 0.797919
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/XM43/a_159_n100#" -3.81182e-06
+cap "VCO_1/output_buffer_0/XR2/a_n285_760#" "VCO_1/output_buffer_0/OUTB" -1.85508e-05
+cap "VCO_1/output_buffer_0/SUB" "VCO_1/output_buffer_0/OUTB" -0.000244946
+cap "VCO_1/output_buffer_0/INB" "VCO_1/output_buffer_0/OUTB" 0.0392166
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/SUB" 0.177691
+cap "VCO_1/output_buffer_0/XM43/a_159_n100#" "VCO_1/output_buffer_0/OUTB" -3.21938e-05
+cap "VCO_1/output_buffer_0/INA" "VCO_1/output_buffer_0/OUTA" -6.72076e-05
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/XM32/a_n945_n188#" 3.71867
+cap "VCO_1/output_buffer_0/OUTA" "VCO_1/output_buffer_0/SUB" 0.998737
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.821
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 7.13678
+cap "VCO_1/X3/VDD" "vdda2" 7.04633
+cap "vdda2" "VCO_1/X3/X3/XR1/a_n703_n3602#" 22.6544
+cap "vdda2" "VCO_1/X3/VDD" 31.603
+cap "vdda2" "VCO_1/X3/X3/XR1/a_n703_n3602#" 32.3911
+cap "vdda2" "VCO_1/X3/X6/XM41/a_n465_122#" 0.569568
+cap "VCO_1/X3/X6/IN" "vdda2" 81.2731
+cap "VCO_1/X3/X6/VOP" "vdda2" 0.305891
+cap "VCO_1/X3/X6/XM41/a_n561_n188#" "vdda2" 0.16173
+cap "vdda2" "VCO_1/X3/X3/XR1/a_n703_n3602#" 25.3296
+cap "VCO_1/X3/BIAS" "vdda2" 30.9741
+cap "VCO_1/X3/VDD" "vdda2" 33.1297
+cap "VCO_1/X3/X6/XM41/a_n465_122#" "vdda2" 0.0140717
+cap "VCO_1/X3/X6/VOP" "vdda2" 22.7591
+cap "VCO_1/X3/X3/XR1/a_n703_n3602#" "vdda2" 26.1389
+cap "vdda2" "VCO_1/X3/X6/XM41/a_n561_n188#" 1.08699
+cap "VCO_1/X3/X6/VDD" "vdda2" 0.672025
+cap "vdda2" "VCO_1/X3/X3/XM1/a_n369_122#" 33.856
+cap "VCO_1/X3/X6/IN" "vdda2" 127.162
+cap "VCO_1/X3/X5/IN4" "vdda2" 4.084
+cap "VCO_1/X3/X3/XR1/a_n703_n3602#" "vdda2" 34.3401
+cap "VCO_1/X3/X3/XM1/a_n369_122#" "vdda2" 1.32469
+cap "VCO_1/X3/X5/XM26/a_63_n100#" "vdda2" 0.647456
+cap "VCO_1/X3/X5/XM26/a_159_n100#" "vdda2" 6.9986
+cap "VCO_1/X3/X6/VOP" "vdda2" 16.4577
+cap "vdda2" "VCO_1/X3/m3_19820_13570#" 127.162
+cap "VCO_1/X3/X5/IN4" "vdda2" 1.67721
+cap "VCO_1/X3/X5/IN2" "vdda2" 1.6769
+cap "VCO_1/X3/X5/IN3" "vdda2" 13.201
+cap "VCO_1/X3/X5/SUB" "vdda2" 19.5735
+cap "VCO_1/X3/X5/XM26/a_159_n100#" "vdda2" 33.2185
+cap "VCO_1/X3/m3_19820_13570#" "vdda2" 87.0197
+cap "VCO_1/X3/X5/XM26/a_63_n100#" "vdda2" 1.78269
+cap "vdda2" "VCO_1/X3/X5/IN1" 12.956
+cap "vdda2" "VCO_1/X3/X5/VDD" 1.83614
+cap "vdda2" "VCO_1/X3/SUB" 32.2422
+cap "VCO_1/X3/X5/XM26/a_n33_n100#" "vdda2" 30.8061
+cap "vdda2" "VCO_1/X3/X5/IN2" 5.99709
+cap "vdda2" "VCO_1/X3/SUB" 35.053
+cap "vdda2" "VCO_1/X3/X1/XM1/a_399_122#" 1.46511
+cap "vdda2" "VCO_1/X3/X5/XM27/a_159_n100#" 71.6568
+cap "vdda2" "VCO_1/X3/X5/VDD" 0.944703
+cap "vdda2" "VCO_1/X3/SUB" 35.8624
+cap "vdda2" "VCO_1/X3/X1/XM1/a_15_122#" 58.339
+cap "VCO_1/X3/X5/VDD" "vdda2" 4.18219
+cap "VCO_1/X3/X1/XR1/a_n573_3040#" "VCO_1/X3/BIAS" 58.339
+cap "VCO_1/X3/X1/XR1/a_n573_3040#" "VCO_1/X3/SUB" 35.8624
+cap "VCO_1/X3/X1/XR1/a_n573_3040#" "VCO_1/X3/X1/XR1/a_n703_n3602#" 23.3775
+cap "VCO_1/m1_46210_32690#" "VCO_1/X3/X1/XR1/a_n573_3040#" 3.17022
+cap "VCO_1/output_buffer_0/BIAS" "VCO_1/output_buffer_0/VDD" 4.18319
+cap "VCO_1/X3/X1/XR1/a_n703_n3602#" "VCO_1/output_buffer_0/VDD" 2.60349
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 336.63
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 24.9326
+cap "VCO_1/X3/X6/XM41/a_n465_122#" "vdda2" 0.000884808
+cap "vdda2" "VCO_1/X3/X6/VOP" 0.00317458
+cap "VCO_1/X3/VDD" "vdda2" 0.00533057
+cap "vdda2" "VCO_1/X3/X6/XM41/a_n561_n188#" 0.000530037
+cap "vdda2" "VCO_1/X3/X6/VOP" 0.00188352
+cap "vdda2" "VCO_1/X3/X6/XM41/a_n561_n188#" 0.00567532
+cap "vdda2" "VCO_1/X3/X6/VDD" 0.00326114
+cap "vdda2" "VCO_1/X3/X6/XM41/a_n465_122#" 5.56964e-05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 1337.21
+cap "VCO_1/X3/X6/XC2/m3_n2150_n3100#" "vdda2" 461.906
+cap "vdda2" "VCO_1/X3/X6/XC2/c1_n2050_n3000#" 2014.67
+cap "VCO_1/X3/BIAS" "vdda2" 5.43154
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 2014.67
+cap "vdda2" "VCO_1/X3/X6/XC2/c1_n2050_n3000#" 437.339
+cap "vdda2" "VCO_1/X3/X6/XR21/a_n415_n4762#" 46.3858
+cap "vdda2" "VCO_1/X3/X6/XC2/m3_n2150_n3100#" 155.996
+cap "vdda2" "VCO_1/X3/VOP" 130.57
+cap "vdda2" "VCO_1/X3/X5/XC1/m3_n2150_n3100#" 459.172
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 1150.13
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2014.67
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2014.67
+cap "VCO_1/GND" "vdda2" 134.547
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 624.461
+cap "vdda2" "VCO_1/X3/X5/XR18/a_n415_n4762#" 43.1875
+cap "VCO_1/X3/X5/XC1/m3_n2150_n3100#" "vdda2" 134.108
+cap "VCO_1/GND" "vdda2" 312.991
+cap "VCO_1/X3/BIAS" "vdda2" 82.789
+cap "vdda2" "VCO_1/VDD" 29.9143
+cap "VCO_1/VDD" "vdda2" 0.184843
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VCO_1/X3/X6/XC2/m3_n2150_n3100#" "vdda2" 461.906
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 1337.21
+cap "vdda2" "VCO_1/X3/X6/XC2/c1_n2050_n3000#" 2014.67
+cap "vdda2" "VCO_1/X3/BIAS" 5.43154
+cap "vdda2" "VCO_1/X3/X6/XC2/c1_n2050_n3000#" 2014.67
+cap "VCO_1/X3/X6/GND" "vdda2" 202.382
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 437.339
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 1150.13
+cap "VCO_1/X3/VOP" "vdda2" 130.57
+cap "VCO_1/X3/X6/GND" "vdda2" 459.172
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2014.67
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2014.67
+cap "VCO_1/GND" "vdda2" 134.547
+cap "vdda2" "VCO_1/X3/X5/XR18/a_n415_n4762#" 43.1875
+cap "VCO_1/X3/X5/XC1/m3_n2150_n3100#" "vdda2" 134.108
+cap "VCO_1/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 624.461
+cap "vdda2" "VCO_1/GND" 312.991
+cap "VCO_1/X3/BIAS" "vdda2" 82.789
+cap "VCO_1/VDD" "vdda2" 29.9143
+cap "VCO_1/VDD" "vdda2" 0.184843
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "vssa2" "VCO_1/X3/X6/XC2/m3_n2150_n3100#" 324.528
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "vssa2" 152.396
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "VCO_1/X3/X6/XC2/m3_n2150_n3100#" -25.1111
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "VCO_1/GND" 176.734
+cap "VCO_1/X3/X6/XC2/c1_n2050_n3000#" "VCO_1/X3/X6/GND" 1.57113
+cap "VCO_1/X3/X6/GND" "VCO_1/bias_calc_0/BIASOUT" 0.85522
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VCO_1/bias_calc_0/BIASOUT" "VCO_1/bias_calc_0/GND" 1.58827
+cap "VCO_1/bias_calc_0/VDD" "VCO_1/bias_calc_0/BIAS2V" 11.9387
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VCO_1/bias_calc_0/PSUB" "VCO_1/bias_calc_0/VCTRL" 0.230014
+cap "VCO_1/bias_calc_0/BIAS2V" "VCO_1/bias_calc_0/VDD" 2.17026
+cap "VCO_1/bias_calc_0/XM38/a_n1821_n100#" "VCO_1/bias_calc_0/BIAS2V" 1.69336
+cap "VCO_1/bias_calc_0/BIAS2V" "VCO_1/bias_calc_0/XM3/a_547_n100#" 18.4054
+cap "VCO_1/bias_calc_0/VDD" "VCO_1/bias_calc_0/XM3/a_547_n100#" -10.595
+cap "VCO_1/bias_calc_0/BIAS2V" "VCO_1/bias_calc_0/VDD" 159.548
+cap "VCO_1/bias_calc_0/BIAS2V" "VCO_1/bias_calc_0/XM2/a_547_n100#" 2.57001
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VCO_1/bias_calc_0/GND" "VCTRL" 46.5663
+cap "VCO_1/bias_calc_0/XM40/a_n369_122#" "VCTRL" 20.863
+cap "VCO_1/bias_calc_0/GND" "VCO_1/bias_calc_0/XM40/a_n369_122#" -0.786884
+cap "VCO_1/bias_calc_0/XM40/a_n369_122#" "VCTRL" 52.4881
+cap "VCTRL" "VCO_1/bias_calc_0/XM37/a_1821_n197#" 20.2951
+cap "VCTRL" "VCO_1/bias_calc_0/XM38/a_1763_n100#" 0.349568
+cap "VCO_1/bias_calc_0/XM40/a_n369_122#" "VCO_1/bias_calc_0/w_17930_210#" 4.75858
+cap "VCO_1/bias_calc_0/XM37/a_1821_n197#" "VCO_1/bias_calc_0/w_17930_210#" -2.96979
+cap "VCO_1/bias_calc_0/XM40/a_n369_122#" "VCO_1/bias_calc_0/XM37/a_1821_n197#" -6.89269
+cap "VCTRL" "VCO_1/bias_calc_0/w_17930_210#" 11.9789
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM38/a_1763_n100#" 0.502269
+cap "VCO_1/bias_calc_0/XM40/a_n465_n188#" "VCO_1/bias_calc_0/VCTRL" 39.0825
+cap "VCO_1/bias_calc_0/XM38/w_n2087_n319#" "VCO_1/bias_calc_0/VCTRL" 10.3412
+cap "VCO_1/bias_calc_0/XM37/a_867_n100#" "VCO_1/bias_calc_0/VCTRL" 39.0743
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM38/a_995_n100#" 0.510473
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM38/w_n2087_n319#" 10.3412
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM38/a_n541_n100#" 2.37169
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/PSUB" 11.8438
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM37/a_n413_n100#" 1.58187
+cap "VCO_1/bias_calc_0/VDD" "VCO_1/bias_calc_0/BIAS2V" 3.29639
+cap "VCO_1/bias_calc_0/XM38/a_n1821_n100#" "VCO_1/bias_calc_0/BIAS2V" 2.58597
+cap "VCO_1/bias_calc_0/XM36/a_547_n100#" "VCO_1/bias_calc_0/VDD" -6.02622
+cap "VCO_1/bias_calc_0/XM36/a_547_n100#" "VCO_1/bias_calc_0/BIAS2V" 22.5913
+cap "VCO_1/bias_calc_0/VDD" "VCO_1/bias_calc_0/BIAS2V" 147.575
+cap "VCO_1/bias_calc_0/XM2/a_547_n100#" "VCO_1/bias_calc_0/VDD" -10.6179
+cap "VCO_1/bias_calc_0/XM2/a_547_n100#" "VCO_1/bias_calc_0/BIAS2V" 18.19
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VCTRL" "VCO_1/bias_calc_0/XM39/a_n611_n274#" 21.5152
+cap "VCTRL" "VCO_1/bias_calc_0/XM39/a_447_n100#" 90.8848
+cap "VCO_1/bias_calc_0/XM39/a_399_122#" "VCTRL" 58.3604
+cap "VCTRL" "VCO_1/bias_calc_0/XM39/a_303_n188#" 11.891
+cap "VCTRL" "VCO_1/bias_calc_0/XM39/a_303_n188#" 109.347
+cap "VCO_1/bias_calc_0/XM37/a_1763_n100#" "VCTRL" 5.94374
+cap "VCO_1/bias_calc_0/XM37/a_1821_n197#" "VCTRL" 28.6282
+cap "VCO_1/bias_calc_0/w_17930_210#" "VCTRL" 32.7433
+cap "VCO_1/bias_calc_0/XM39/a_399_122#" "VCO_1/bias_calc_0/VCTRL" 93.2954
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM37/a_1763_n100#" 8.12409
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/w_17930_210#" 35.3122
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM37/a_995_n100#" 8.29732
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM37/a_867_n100#" 93.1222
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/w_17930_210#" 35.3122
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/w_17930_210#" 2.84426
+cap "VCO_1/bias_calc_0/XM37/a_n541_n100#" "VCO_1/bias_calc_0/VCTRL" 0.285229
+cap "VCO_1/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/XM37/a_n413_n100#" 4.1738
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1031.64
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 1031.64
+cap "TX_line_0/OUTA" "TX_line_0/OUTB" 1692.46
+cap "VGA_routing_0/m1_443471_412049#" "TX_line_0/OUTB" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "txina" 1071.57
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "txina" "TX_line_0/OUTB" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "txina" "TX_line_0/OUTB" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "TX_line_0/OUTB" "txina" 1350.79
+cap "txina" "TX_line_0/OUTB" 1383.27
+cap "TX_line_0/OUTB" "txina" 982.31
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 911.636
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1413.69
+cap "TX_line_0/OUTA" "TX_line_0/OUTB" 1692.46
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 676.224
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "txina" 1470.33
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "txina" "TX_line_0/OUTB" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "txina" "TX_line_0/OUTB" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "txina" "TX_line_0/OUTB" 1834.35
+cap "TX_line_0/OUTB" "txina" 1834.35
+cap "TX_line_0/OUTB" "txina" 1734.11
+cap "txina" "TX_line_0/OUTB" 1327.41
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1169.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 1520.7
+cap "TX_line_0/OUTB" "TX_line_0/OUTA" 1859.74
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443471_412049#" 656.084
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 474.147
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 736.47
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 722.75
+cap "TX_line_0/OUTB" "VGA_routing_0/m4_419918_417788#" 333.05
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 95.2387
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 220.15
+cap "VGA_routing_0/m1_443140_352045#" "TX_line_0/OUTB" 220.15
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 220.15
+cap "TX_line_0/OUTB" "VGA_routing_0/m1_443140_352045#" 170.654
+cap "VGA_routing_0/m4_419918_417788#" "TX_line_0/OUTB" 47.5786
+cap "vssa2" "BGR_lvs_0/VSS" 1237.44
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "vssa2" "BGR_lvs_0/VSS" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 101.411
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "vssa2" "BGR_lvs_0/VSS" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 101.411
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "vssa2" "BGR_lvs_0/VSS" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 101.411
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_1911_10600#" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 267.042
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/a_n200_n5500#" 951.909
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 232.719
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" 945.723
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 200.1
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" "vssa2" 903.038
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 186.507
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 247.133
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/a_n200_n5500#" "vssa2" 909.336
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" "vssa2" 982.636
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 176.708
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" 960.205
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 183.772
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/a_n200_n5500#" "vssa2" 909.336
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "vssa2" 247.133
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 186.576
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 902.16
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 940.854
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 192.257
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 956.778
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "vssa2" 240.562
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 964.655
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 174.793
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 951.909
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 232.719
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 200.1
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" "vssa2" 945.723
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 186.507
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" "vssa2" 903.038
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/a_n200_n5500#" "vssa2" 909.336
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 247.133
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 176.87
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 183.941
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/a_n200_n5500#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 247.362
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 186.748
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" "vssa2" 940.854
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 192.257
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/a_n200_n5500#" 956.778
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 240.562
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 174.793
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" "vssa2" 964.655
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" "vssa2" 951.909
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 232.719
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 200.1
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" 945.723
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 186.507
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" "vssa2" 903.038
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 247.133
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/a_n200_n5500#" 909.336
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 176.708
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" 982.636
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 183.772
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" "vssa2" 960.205
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 247.133
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/a_n200_n5500#" 909.336
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 186.576
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" "vssa2" 902.16
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" 940.854
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 192.257
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "vssa2" 240.562
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/a_n200_n5500#" "vssa2" 956.778
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 174.793
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" 964.655
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" "vssa2" 951.909
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 232.719
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_669_n11032#" "BGR_lvs_0/VSS" 329.713
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_1911_10600#" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 347.776
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/a_n200_n5500#" "vssa2" 545.996
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 549.278
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 492.097
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" 582.026
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 469.007
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" 557.239
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 571.675
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/a_n200_n5500#" 571.213
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 450.986
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" "vssa2" 674.204
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 462.559
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" "vssa2" 629.834
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 571.675
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/a_n200_n5500#" 571.213
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 479.38
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 556.403
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 585.112
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 477.072
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 564.304
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 542.91
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 747.23
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 441.664
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 549.278
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 545.996
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 492.097
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" 582.026
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 469.007
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" "vssa2" 557.239
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/a_n200_n5500#" 571.213
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 571.675
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" 450.986
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" 462.559
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/a_n200_n5500#" 571.675
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 479.38
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 477.072
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" "vssa2" 585.112
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/a_n200_n5500#" 542.91
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 564.304
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" 747.23
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 441.664
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 549.278
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" "vssa2" 545.996
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 492.097
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" 582.026
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 469.007
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" 557.239
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/a_n200_n5500#" 571.213
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 571.675
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 450.986
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" "vssa2" 674.204
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 462.559
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" 629.834
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "vssa2" 571.675
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/a_n200_n5500#" 571.213
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" 556.403
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 479.38
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 477.072
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" 585.112
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "vssa2" 564.304
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/a_n200_n5500#" 542.91
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 441.664
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" "vssa2" 747.23
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 549.278
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" "vssa2" 545.996
+cap "BGR_lvs_0/VSS" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_669_n11032#" 368.37
+cap "BGR_lvs_0/VSS" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n1815_n11032#" 13.1807
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_1911_10600#" "vssa2" 7.96568
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 58.9357
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n573_10600#" 320.019
+cap "vssa2" "BGR_lvs_0/VSS" 974.578
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 135.123
+cap "vssa2" "BGR_lvs_0/VSS" 400.606
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 1053.58
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/a_n200_n5500#" 1155.75
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 68.5797
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 729.823
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 271.622
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 234.513
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n487_n831#" 741.599
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 59.3876
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 217.302
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 55.9244
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 680.273
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 879.509
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 72.2661
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 287.917
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 206.336
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n487_n831#" 789.783
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 52.8882
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 214.411
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 54.9653
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 741.279
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" 879.509
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 287.917
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 72.2661
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 56.1007
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 218.202
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" 684.583
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 739.988
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 56.8206
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 224.246
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" 731.434
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 281.889
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 71.1467
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 917.461
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 202.827
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 52.5212
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 68.5797
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 729.823
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 271.622
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 234.513
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 59.3876
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n487_n831#" 741.599
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 217.302
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 55.9244
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 680.273
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 72.2661
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 287.917
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 879.509
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n487_n831#" "vssa2" 789.783
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 206.336
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 52.8882
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 741.279
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 54.9653
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 214.411
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 287.917
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" 879.509
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 72.2661
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 56.1007
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" 684.583
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 218.202
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 224.246
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 739.988
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 56.8206
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 71.1467
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" "vssa2" 281.889
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" "vssa2" 731.434
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 202.827
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 917.461
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 52.5212
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" 729.823
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 271.622
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 68.5797
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n487_n831#" 741.599
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 59.3876
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 234.513
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 55.9244
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 680.273
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 217.302
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" "vssa2" 287.917
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 72.2661
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" "vssa2" 879.509
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" 206.336
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n487_n831#" 789.783
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 52.8882
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 214.411
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 741.279
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 54.9653
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 287.917
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 72.2661
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" 879.509
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 56.1007
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" "vssa2" 684.583
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 218.202
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" "vssa2" 739.988
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" "vssa2" 224.246
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 56.8206
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n429_n857#" 281.889
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" "vssa2" 731.434
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 71.1467
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 52.5212
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" "vssa2" 917.461
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 202.827
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_29_n857#" 271.622
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_429_n831#" "vssa2" 729.823
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 68.5797
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n887_n857#" "vssa2" 1019.13
+cap "vssa2" "BGR_lvs_0/VSS" 1136.03
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_487_n857#" 807.66
+cap "BGR_lvs_0/VSS" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n1815_n11032#" 406.957
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3057_10600#" "vssa2" 51.6852
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n573_10600#" "vssa2" 280.215
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 56.2569
+cap "BGR_lvs_0/VSS" "vssa2" 974.578
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 131.618
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 929.176
+cap "BGR_lvs_0/VSS" "vssa2" 400.606
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/a_n200_n5500#" 1110.68
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" 664.822
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 275.307
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 237.371
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n487_n769#" "vssa2" 694.226
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 219.058
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_887_n769#" 672.988
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" 768.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 292.456
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 208.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n487_n769#" 779.882
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 216.116
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_887_n769#" 732.513
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 292.456
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n29_n769#" 768.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n945_n769#" 672.009
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 220.024
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 227
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" "vssa2" 697.02
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n29_n769#" 662.029
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 285.678
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 204.083
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 921.816
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" "vssa2" 664.822
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 275.307
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n487_n769#" 694.226
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 237.371
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 219.058
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_887_n769#" "vssa2" 672.988
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" 768.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 292.456
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 208.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n487_n769#" 779.882
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_887_n769#" 732.513
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 216.116
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n29_n769#" "vssa2" 768.189
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 292.456
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 220.024
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n945_n769#" 672.009
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 227
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" 697.02
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n29_n769#" "vssa2" 662.029
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 285.678
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 921.816
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 204.083
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 275.307
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" "vssa2" 664.822
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 237.371
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n487_n769#" 694.226
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_887_n769#" 672.988
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 219.058
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 292.456
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" "vssa2" 768.189
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n487_n769#" "vssa2" 779.882
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 208.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 216.116
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_887_n769#" 732.513
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n29_n769#" 768.189
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 292.456
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n945_n769#" "vssa2" 672.009
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 220.024
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 227
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" 697.02
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_n29_n769#" 662.029
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 285.678
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 204.083
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" 921.816
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 275.307
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/a_429_n769#" "vssa2" 664.822
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 914.872
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 754.795
+cap "BGR_lvs_0/VSS" "vssa2" 1136.03
+cap "BGR_lvs_0/VSS" "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" 17.5639
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n1815_n11032#" "BGR_lvs_0/VSS" 267.371
+cap "BGR_lvs_0/VSS" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" 0.819554
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" "vssa2" 8.0938
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n29_n481#" 0.233523
+cap "vssa2" "BGR_lvs_0/vd4" 36.0903
+cap "vssa2" "BGR_lvs_0/VSS" 170.765
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_29_n507#" 0.470184
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n229_n507#" "vssa2" 0.470184
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n287_n481#" 0.467045
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_287_n507#" 0.468317
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n487_n507#" "vssa2" 0.470184
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n545_n481#" 2.734
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" 23.2564
+cap "BGR_lvs_0/vd4" "vssa2" 111.339
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 137.22
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n545_n481#" 0.218873
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 5.43054
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_actload2_0/sky130_fd_pr__nfet_01v8_lvt_USQY94_0/a_n716_n1403#" "vssa2" 1.29692
+cap "BGR_lvs_0/vd4" "vssa2" 103.899
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 136.936
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_actload2_0/sky130_fd_pr__nfet_01v8_lvt_USQY94_0/a_n716_n1403#" 1.29692
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" 31.8821
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/w_n1273_n2831#" "vssa2" 29.0268
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" "vssa2" 14.7464
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" "vssa2" 2.6559
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/a_n1077_n2709#" "vssa2" 14.1781
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 64.1789
+cap "BGR_lvs_0/vd4" "vssa2" 103.899
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_actload2_0/sky130_fd_pr__nfet_01v8_lvt_USQY94_0/a_n258_n1403#" "vssa2" 51.6948
+cap "vssa2" "BGR_lvs_0/vd4" 103.899
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/w_n1273_n2831#" 38.5099
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "vssa2" 51.6948
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" 19.0241
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 5.43533
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 61.2991
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/a_n1077_n2709#" 20.7886
+cap "vssa2" "BGR_lvs_0/vd4" 103.899
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/w_n1273_n2831#" 4.65899
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 5.41883
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" 90.2043
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 119.936
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "BGR_lvs_0/vd4" "vssa2" 103.899
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Base" "vssa2" 131.12
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" "vssa2" 84.0111
+cap "vssa2" "BGR_lvs_0/vd4" 103.899
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_HX7ZEK_0/a_n141_n5182#" 12.5992
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Base" 122.032
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/sky130_fd_pr__res_high_po_2p85_7J2RPB_0/a_n285_1210#" 10.0832
+cap "BGR_lvs_0/m1_6080_n3700#" "vssa2" 84.0111
+cap "BGR_lvs_0/m1_6080_n3700#" "vssa2" 84.0111
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_HX7ZEK_0/a_n141_n5182#" "vssa2" 13.8946
+cap "BGR_lvs_0/vd4" "vssa2" 103.899
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Base" "vssa2" 85.1759
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 5.43436
+cap "BGR_lvs_0/m1_6080_n3700#" "vssa2" 84.0111
+cap "BGR_lvs_0/vd4" "vssa2" 103.899
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Base" "vssa2" 69.5377
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_HX7ZEK_0/a_n141_n5182#" "vssa2" 12.024
+cap "BGR_lvs_0/m1_n1770_n3060#" "vssa2" 12.024
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 5.43533
+cap "vssa2" "BGR_lvs_0/vd4" 103.899
+cap "vssa2" "BGR_lvs_0/m1_6080_n3700#" 84.0111
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,1]/Base" 69.5377
+cap "BGR_lvs_0/m1_n1770_n3060#" "vssa2" 12.024
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,2]/Base" "vssa2" 69.5377
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "BGR_lvs_0/m1_6080_n3700#" "vssa2" 84.0111
+cap "BGR_lvs_0/vd4" "vssa2" 103.899
+cap "BGR_lvs_0/m1_6080_n3700#" "vssa2" 84.0111
+cap "BGR_lvs_0/m1_n1770_n3060#" "vssa2" 12.024
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 5.43533
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,3]/Base" 69.5377
+cap "vssa2" "BGR_lvs_0/vd4" 103.899
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,4]/Base" 74.9512
+cap "vssa2" "BGR_lvs_0/m1_6080_n3700#" 72.8785
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n141_10400#" 28.4971
+cap "vssa2" "BGR_lvs_0/vd4" 103.899
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n141_10400#" "vssa2" 76.3093
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 85.4311
+cap "BGR_lvs_0/vd4" "vssa2" 402.372
+cap "vssa2" "BGR_lvs_0/vd4" 6.51204
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 692.923
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 1056.52
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/a_n200_n5500#" 867.537
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 511.634
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/a_n200_n5500#" "vssa2" 326.376
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 454.92
+cap "vssa2" "BGR_lvs_0/VSS" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" "vssa2" 371.866
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" 363.599
+cap "vssa2" "BGR_lvs_0/VSS" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 432.365
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 532.936
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/a_n200_n5500#" "vssa2" 331.53
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" 426.316
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 415.188
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 426.925
+cap "vssa2" "BGR_lvs_0/VSS" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" "vssa2" 396.486
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/a_n200_n5500#" "vssa2" 331.53
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 532.936
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 363.108
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 439.298
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 374.103
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 440.427
+cap "vssa2" "BGR_lvs_0/VSS" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 526.127
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 324.139
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 456.084
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 407.028
+cap "BGR_lvs_0/VSS" "vssa2" 11.309
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 326.376
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 511.634
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 454.92
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" "vssa2" 371.866
+cap "BGR_lvs_0/VSS" "vssa2" 11.309
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 432.365
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" 374.79
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/a_n200_n5500#" 343.221
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 532.936
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" "vssa2" 440.409
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 415.188
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" 407.89
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 426.925
+cap "BGR_lvs_0/VSS" "vssa2" 11.309
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/a_n200_n5500#" 331.53
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 532.936
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 439.298
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 363.108
+cap "BGR_lvs_0/VSS" "vssa2" 11.309
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 374.103
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 440.427
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 526.127
+cap "BGR_lvs_0/VSS" "vssa2" 11.309
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/a_n200_n5500#" 324.139
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 407.028
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" "vssa2" 456.084
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/VSS" "vssa2" 11.309
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" 326.376
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 511.634
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 454.92
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" 371.866
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" "vssa2" 363.599
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 432.365
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/a_n200_n5500#" "vssa2" 331.53
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 532.936
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" "vssa2" 426.316
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 415.188
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 426.925
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" 396.486
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/a_n200_n5500#" 331.53
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 532.936
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 439.298
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" 363.108
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 440.427
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" "vssa2" 374.103
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/a_n200_n5500#" 324.139
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 526.127
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 407.028
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" "vssa2" 456.084
+cap "BGR_lvs_0/VSS" "vssa2" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" 326.376
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 511.634
+cap "vssa2" "BGR_lvs_0/VSS" 10.8085
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 830.817
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 1181.01
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" 32.1589
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" 39.9548
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n545_n481#" 0.000953033
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 76.7066
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" 0.00998402
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/first_stage_out" 0.00499201
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n545_n481#" 0.784723
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/in_p" 0.157811
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" 4.9372
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/in_n" 0.157811
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_actload2_0/sky130_fd_pr__nfet_01v8_lvt_USQY94_0/a_n716_n1403#" 0.153953
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n545_n481#" "vssa2" 0.024867
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" "vssa2" 7.06887
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 56.3384
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 59.8369
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" 7.73629
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" 0.0138131
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/w_n1273_n2831#" "vssa2" 0.836934
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_actload2_0/sky130_fd_pr__nfet_01v8_lvt_USQY94_0/a_n258_n1403#" "vssa2" 52.0622
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/a_n1077_n2709#" "vssa2" 4.49639
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 0.112843
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" "vssa2" 4.30107
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" "vssa2" 0.640074
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 4.43257
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "vssa2" 52.0622
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" "vssa2" 6.07903
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/w_n1273_n2831#" 1.15704
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/a_n1077_n2709#" 6.05805
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/out" 1.74068
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 52.7296
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_cs_0/sky130_fd_pr__pfet_01v8_lvt_D74VRS_0/w_n1273_n2831#" 0.0405911
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Base" "vssa2" 60.0695
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 4.43257
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Collector" 52.5553
+cap "vssa2" "BGR_lvs_0/opamp_realcomp3_usefinger_1/sky130_fd_pr__res_high_po_2p85_7J2RPB_0/a_n285_1210#" 1.06323
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_HX7ZEK_0/a_n141_n5182#" 1.01919
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_HX7ZEK_0/a_n141_n5182#" "vssa2" 1.91388
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Collector" "vssa2" 10.3229
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Collector" "vssa2" 0.647584
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_HX7ZEK_0/a_n141_n5182#" "vssa2" 1.77423
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 4.43257
+cap "vssa2" "BGR_lvs_0/m1_n1770_n3060#" 1.77423
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,1]/Collector" 0.647584
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "vssa2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,2]/Collector" 0.647584
+cap "vssa2" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" 4.43257
+cap "vssa2" "BGR_lvs_0/m1_n1770_n3060#" 1.77423
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n271_n10962#" "vssa2" 4.43257
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,3]/Collector" "vssa2" 0.647584
+cap "BGR_lvs_0/m1_n1770_n3060#" "vssa2" 1.77423
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_GWJZ59_0/a_n141_10400#" "vssa2" 1.4846
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,4]/Collector" "vssa2" 5.08016
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 3.72089
+cap "BGR_lvs_0/vd4" "vssa2" 2.96169
+cap "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" "vssa2" 3.28558
+cap "vssa2" "BGR_lvs_0/vd4" 0.895782
+cap "vssa2" "BGR_lvs_0/XM_Rref_0/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3187_n11162#" 13.9006
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/a_n200_n5500#" "vssa2" 11.1825
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/a_n200_n5500#" "vssa2" 5.16729
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 1.73433
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.49326
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" "vssa2" 4.28731
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 1.38057
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/a_n200_n5500#" "vssa2" 4.29312
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/a_n200_n5500#" 4.94036
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 1.84643
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 1.30774
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" 3.75066
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 1.36521
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/a_n200_n5500#" 3.89822
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 1.84643
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/a_n200_n5500#" "vssa2" 4.94036
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.38174
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 4.24104
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 4.14171
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 1.43225
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 5.31289
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 1.79533
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" "vssa2" 3.75952
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.29179
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 1.73433
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" 6.26049
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_5/XM_output_mirr_7/a_n200_n5500#" 5.16729
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.49326
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" "vssa2" 4.28731
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" "vssa2" 6.26049
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 1.38057
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/a_n200_n5500#" "vssa2" 10.4243
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 1.84643
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/a_n200_n5500#" "vssa2" 11.2008
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" "vssa2" 10.7536
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.30774
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/a_n200_n5500#" "vssa2" 10.0836
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 1.36521
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" "vssa2" 6.26049
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/a_n200_n5500#" "vssa2" 4.94036
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 1.84643
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.38174
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 4.24104
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 6.26049
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/a_n200_n5500#" 4.14171
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 1.43225
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/a_n200_n5500#" "vssa2" 5.31289
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" "vssa2" 6.26049
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "vssa2" 1.79533
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.29179
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" "vssa2" 3.75952
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n945_n831#" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/a_n200_n5500#" 5.16729
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_887_n831#" 6.26049
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 1.73433
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" "vssa2" 4.28731
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_0/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_1/a_n29_n831#" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.49326
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/a_n200_n5500#" 4.29312
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_2/XM_output_mirr_7/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 1.38057
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/a_n200_n5500#" 4.94036
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" 1.84643
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" "vssa2" 3.75066
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "vssa2" 1.30774
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 1.36521
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/a_n200_n5500#" 3.89822
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 1.84643
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/a_n200_n5500#" 4.94036
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" "vssa2" 4.24104
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 1.38174
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "vssa2" 1.43225
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/a_n200_n5500#" 4.14171
+cap "vssa2" "BGR_lvs_0/VSS" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/a_n200_n5500#" 5.31289
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" 1.79533
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 1.29179
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" 3.75952
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "vssa2" 1.73433
+cap "BGR_lvs_0/VSS" "vssa2" 6.13119
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_7/a_n200_n5500#" 5.16729
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 11.9118
+cap "vssa2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_8/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 7.90541
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/bias_0p7" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 41.3428
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 60.9076
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" 0.0310507
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/in_p" 0.078315
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/in_n" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 0.102144
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/ppair_gate" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 0.132141
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" 3.36419
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 79.9094
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n2035_n262#" 6.12108
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n725#" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 11.8606
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/ppair_gate" 0.326221
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n429_n257#" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" 3.80678
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" 1.34455
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 69.5293
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/in_n" 0.0804129
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/first_stage_out" 0.112562
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n429_n257#" 0.0230284
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 0.0999727
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n725#" 0.00130271
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/ppair_gate" 0.696373
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/in_p" 0.0648559
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n2035_n262#" 15.4293
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 125.997
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.0429352
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 109.862
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.0627922
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_1/ppair_gate" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 3.18234
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" 0.00110593
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 0.0179585
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/ppair_gate" 0.247526
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" 15.4083
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/XM_ppair_0/w_n220_n1060#" 0.261655
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/bias_0p7" 25.3607
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_diffpair_0/sky130_fd_pr__nfet_01v8_lvt_A5VCMN_0/a_n545_n481#" 0.000637159
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Emitter" "vccd2" 0.0662703
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Collector" "vccd2" 0.0552996
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Collector" "vccd2" 15.3244
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Emitter" "vccd2" 16.6212
+cap "BGR_lvs_0/voutb2" "vccd2" 30.2933
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/bias_0p7" 35.4492
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n1281#" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 63.3273
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/in_n" 0.0661443
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/in_p" 0.147523
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/ppair_gate" 0.124677
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3,3]/Emitter" "vccd2" 1.37183
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3,2]/Collector" "vccd2" 0.478815
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3,3]/Collector" "vccd2" 57.5759
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3,3]/Emitter" "vccd2" 34.8633
+cap "BGR_lvs_0/voutb2" "vccd2" 104.831
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n725#" 26.165
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/in_n" 0.00112821
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n429_n813#" 14.9354
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_ppair_0/w_n220_n1060#" 0.0273311
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/ppair_gate" 0.121735
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/ppair_gate" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.569085
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n29_n725#" 0.00170393
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/first_stage_out" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.111715
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/in_p" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.114512
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_ppair_0/w_n220_n1060#" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.128011
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_tail_0/sky130_fd_pr__nfet_01v8_lvt_7MFZYU_0/a_n429_n813#" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.024318
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/in_n" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" 0.270894
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4,2]/Emitter" "vccd2" 1.24995
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4,2]/Collector" "vccd2" 0.573598
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4,3]/Collector" "vccd2" 57.649
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4,3]/Emitter" "vccd2" 29.7532
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/ppair_gate" 0.153513
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/XM_feedbackmir_0/B" 0.0292211
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" -3.55271e-15
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/XM_ppair_0/sky130_fd_pr__pfet_01v8_lvt_MBDTEX_0/a_n1835_n236#" 0.0578506
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/XM_feedbackmir_0/B" 0.0213258
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_0/ppair_gate" 0.462043
+cap "vccd2" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5,2]/Collector" 0.265216
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5,3]/Collector" "vccd2" 68.3619
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6,2]/Collector" 0.190088
+cap "BGR_lvs_0/XM_bjt_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6,3]/Collector" "BGR_lvs_0/VDD" 79.3743
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n1257#" 2.0983
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n1160#" 0.00581826
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" "io_analog[6]" 0.0243276
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n1160#" 0.0100909
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n1257#" "io_analog[6]" 0.303919
+cap "gpio_analog[7]" "BGR_lvs_0/VDD" 0.0597619
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 0.230163
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n1257#" 1.06495
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 0.7124
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 1.95126
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n1257#" 5.035
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 0.0243276
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n1257#" 2.55584
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n1160#" 0.00973349
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 5.81365
+cap "gpio_analog[7]" "BGR_lvs_0/VDD" 0.0272715
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n1257#" 0.729519
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n1160#" 0.00564074
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n287_n1160#" "BGR_lvs_0/porst" 1.04855
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n612#" "BGR_lvs_0/XM_feedbackmir_0/B" 0.166674
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n612#" 0.0243276
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n287_n1160#" "BGR_lvs_0/XM_feedbackmir_0/B" 0.348351
+cap "BGR_lvs_0/vgate" "BGR_lvs_0/porst" 2.43284
+cap "BGR_lvs_0/vgate" "BGR_lvs_0/XM_feedbackmir_0/B" 1.2332
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n612#" "BGR_lvs_0/porst" 0.55739
+cap "io_analog[6]" "BGR_lvs_0/vgate" 0.362678
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_feedbackmir_0/B" 0.357809
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/vgate" 2.03404
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_feedbackmir_0/B" 0.479121
+cap "BGR_lvs_0/vgate" "BGR_lvs_0/vbg" 0.599793
+cap "BGR_lvs_0/porst" "BGR_lvs_0/vbg" 2.86197
+cap "BGR_lvs_0/porst" "BGR_lvs_0/vgate" 4.02127
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/vbg" 0.842166
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_1/a_50_n181#" 0.0082119
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_6ZUZ5C_0/a_n271_n1372#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" -0.0158466
+cap "BGR_lvs_0/XM_otabias_pmos_0/sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/a_100_n247#" "BGR_lvs_0/vbg" 5.2896
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__pfet_01v8_TSNZVH_0/a_50_n364#" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" 0.0445143
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" 11.0313
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_6ZUZ5C_0/a_n271_n1372#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" -0.319389
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/porst" 0.32663
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" 8.16642
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_6ZUZ5C_0/a_n271_n1372#" "BGR_lvs_0/vbg" 0.719114
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" 21.276
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" -0.648271
+cap "BGR_lvs_0/XM_otabias_pmos_0/sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/a_100_n247#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" -1.31644
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/vbg" 0.00768518
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" 27.466
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__pfet_01v8_TSNZVH_0/a_50_n364#" "BGR_lvs_0/porst" 0.0259555
+cap "BGR_lvs_0/XM_otabias_nmos_0/sky130_fd_pr__nfet_01v8_lvt_QA4PPD_0/a_n458_n469#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" -0.611395
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_6ZUZ5C_0/a_n271_n1372#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" -0.895828
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" 13.8918
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" -1.32687
+cap "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" 43.5473
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n141_1600#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n271_n2162#" -1.32687
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n271_n2162#" 43.5473
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n141_1600#" 13.8918
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n271_n2162#" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n141_1600#" -0.983779
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n141_1600#" 11.3615
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n271_n2162#" "BGR_lvs_0/VDD" 32.2872
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Emitter" 0.296335
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Emitter" "BGR_lvs_0/VDD" 2.81749
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,0]/Emitter" "BGR_lvs_0/VDD" 2.42601
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,1]/Emitter" "BGR_lvs_0/VDD" 2.4103
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,2]/Emitter" "BGR_lvs_0/VDD" 3.02359
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0,3]/Emitter" "BGR_lvs_0/VDD" 77.4583
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/VDD" 153.618
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" "gpio_analog[7]" 55.8111
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" "io_analog[6]" 0.0668938
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" "gpio_analog[7]" 57.1238
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "io_analog[6]" 77.2436
+cap "BGR_lvs_0/VDD" "io_analog[6]" 19.1329
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" 87.7363
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" "BGR_lvs_0/VDD" 38.4088
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" 41.1797
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" "gpio_analog[7]" 3.20471
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "gpio_analog[7]" 176.108
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" "io_analog[6]" 12.7811
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" "io_analog[6]" 18.4565
+cap "BGR_lvs_0/VDD" "gpio_analog[7]" 74.9017
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" 15.0271
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 3.42516
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" 38.0158
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 42.5625
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" "gpio_analog[7]" 138.04
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" 22.0721
+cap "BGR_lvs_0/VDD" "io_analog[6]" 108.608
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" 95.008
+cap "gpio_analog[7]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" 110.963
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n430#" 6.86231
+cap "BGR_lvs_0/VDD" "gpio_analog[7]" 181.883
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_n795#" -10.7462
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_n892#" "io_analog[6]" 33.2845
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n287_n430#" 20.1115
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n745_n892#" 73.671
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/B" 180.178
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n612#" 9.20415
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n287_n430#" 6.57203
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n612#" "BGR_lvs_0/XM_feedbackmir_0/B" 23.1077
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_feedbackmir_0/B" 261.47
+cap "io_analog[6]" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n745_n892#" 50.4964
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n287_n430#" "BGR_lvs_0/XM_feedbackmir_0/B" 1.16726
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n612#" 19.1376
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n745_n892#" 84.8611
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/porst" 168.681
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_803_n892#" 110.264
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_0/a_n210_n293#" "BGR_lvs_0/XM_feedbackmir_0/B" -0.00593648
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/vbg" 218.471
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/porst" 354.044
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_803_n892#" "BGR_lvs_0/vbg" 91.3056
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_0/a_n210_n293#" "BGR_lvs_0/vbg" -0.0455533
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_803_n892#" "BGR_lvs_0/porst" 228.774
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__pfet_01v8_TSNZVH_0/a_50_n364#" 0.283694
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_0/a_n210_n293#" "BGR_lvs_0/VDD" 33.0001
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/XM_otabias_pmos_0/sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/a_100_n247#" 61.6737
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n358_386#" "BGR_lvs_0/vbg" 0.966261
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" 4.7855
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" "BGR_lvs_0/VDD" 15.8835
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/vbg" 70.333
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_otabias_pmos_0/sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/a_100_n247#" 45.9576
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" 21.276
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_1/a_50_n181#" 0.138333
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n416_483#" "BGR_lvs_0/vbg" 0.103324
+cap "BGR_lvs_0/porst" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__pfet_01v8_TSNZVH_0/a_50_n364#" 0.130876
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_0/a_n210_n293#" "BGR_lvs_0/porst" -0.593023
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/porst" 23.0284
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_0/a_n210_n293#" "BGR_lvs_0/vbg" 72.5595
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" "BGR_lvs_0/vbg" 26.7161
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/porst" 56.5169
+cap "BGR_lvs_0/XM_otabias_nmos_0/sky130_fd_pr__nfet_01v8_lvt_QA4PPD_0/a_n458_n469#" "BGR_lvs_0/VDD" 16.9031
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/c1_n2350_n580#" "BGR_lvs_0/VDD" 43.5473
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_2/a_n50_n207#" "BGR_lvs_0/VDD" 27.0193
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__nfet_01v8_Y5UG24_0/a_n210_n293#" "BGR_lvs_0/VDD" 100.702
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n271_n2162#" "BGR_lvs_0/VDD" 131.752
+cap "BGR_lvs_0/XM_pdn_0/m1_5030_n460#" "BGR_lvs_0/VDD" 27.0193
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__res_high_po_1p41_2TBR6S_0/a_n271_n2162#" "BGR_lvs_0/VDD" 120.491
+cap "BGR_lvs_0/XM_pdn_0/m1_5030_n460#" "BGR_lvs_0/VDD" 22.0979
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1,0]/Collector" 123.701
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1,0]/Collector" 222.491
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1,0]/Collector" "BGR_lvs_0/VDD" 222.421
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/vbe3" 34.9156
+cap "BGR_lvs_0/vbe3" "BGR_lvs_0/VDD" 86.5037
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1,1]/Collector" "BGR_lvs_0/VDD" 181.09
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1,2]/Collector" "BGR_lvs_0/VDD" 161.154
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1,3]/Collector" "BGR_lvs_0/VDD" 72.6421
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/a_n200_n5500#" "BGR_lvs_0/Iout0" 100.536
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "BGR_lvs_0/Iout0" 18.7462
+cap "BGR_lvs_0/Iout0" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/a_n200_n5500#" 73.1504
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "BGR_lvs_0/Iout1" 4.96425
+cap "BGR_lvs_0/Iout1" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/a_n200_n5500#" 24.0471
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_0/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "BGR_lvs_0/Iout0" 13.4531
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "BGR_lvs_0/Iout1" 27.2351
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/a_n200_n5500#" "BGR_lvs_0/Iout1" 149.639
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/Iout2" 85.5218
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "BGR_lvs_0/Iout2" 15.6032
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/Iout2" 88.1643
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "BGR_lvs_0/Iout2" 16.5961
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/a_n200_n5500#" 133.341
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "BGR_lvs_0/Iout3" 23.8164
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 9.6393
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_6/a_n200_n5500#" 40.5882
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_6/a_n200_n5500#" 55.4441
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 6.6915
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "BGR_lvs_0/Iout4" 21.4031
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_6/a_n200_n5500#" "BGR_lvs_0/Iout4" 123.723
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_3/a_n200_n5500#" "BGR_lvs_0/VDD" 14.829
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" "BGR_lvs_0/VDD" 2.44624
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/VDD" 119.981
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "BGR_lvs_0/VDD" 28.0289
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_5/a_n200_n5500#" "BGR_lvs_0/VDD" 94.8781
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "BGR_lvs_0/VDD" 19.6721
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 20.4237
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_5/a_n200_n5500#" 97.6404
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n429_n857#" "BGR_lvs_0/VDD" 25.578
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_6/a_n200_n5500#" "BGR_lvs_0/VDD" 116.25
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" 18.4265
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_7/a_n200_n5500#" 95.9615
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_7/a_n200_n5500#" "BGR_lvs_0/VDD" 113.882
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_29_n857#" "BGR_lvs_0/VDD" 24.719
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_n887_n857#" "BGR_lvs_0/VDD" 123.041
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_6/sky130_fd_pr__nfet_01v8_lvt_64DJ5N_0/a_487_n857#" 77.5911
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/B" -149.735
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_665#" -1.55805
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_1030#" -10.386
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_568#" -22.1732
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n545_665#" -9.81567
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1061_665#" -9.81203
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n29_665#" -9.81461
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_487_665#" -9.80968
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_665#" -16.6261
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n803_1030#" -12.211
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n1003_568#" -54.2679
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n674_n247#" "BGR_lvs_0/XM_feedbackmir_0/B" -16.8972
+cap "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_1003_665#" "BGR_lvs_0/XM_feedbackmir_0/B" -9.80661
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n287_1030#" -5.40417
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/XM_feedbackmir_0/sky130_fd_pr__pfet_01v8_lvt_8URDWJ_0/a_n745_568#" -1.40066
+cap "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n874_n344#" "BGR_lvs_0/XM_feedbackmir_0/B" -58.0234
+cap "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/XM_feedbackmir2_0/sky130_fd_pr__pfet_01v8_lvt_9UM225_0/a_n874_n344#" -85.1407
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/XM_feedbackmir_0/B" -49.6829
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_otabias_nmos_0/sky130_fd_pr__nfet_01v8_lvt_QA4PPD_0/a_n458_n469#" -9.00437
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_S8KB58_0/a_n271_n4801#" "BGR_lvs_0/VDD" -23.2338
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/m3_n2450_n680#" -2.69765
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/vbg" -182.43
+cap "BGR_lvs_0/XM_otabias_nmos_0/sky130_fd_pr__nfet_01v8_lvt_QA4PPD_0/a_n400_n557#" "BGR_lvs_0/VDD" -17.5884
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/porst" -197.208
+cap "BGR_lvs_0/vbg" "BGR_lvs_0/porst" -56.4006
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_otabias_nmos_0/sky130_fd_pr__nfet_01v8_lvt_QA4PPD_0/a_n400_n557#" -10.3297
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/m3_n2450_n680#" "BGR_lvs_0/VDD" -4.58896
+cap "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_S8KB58_0/a_n271_n4801#" "BGR_lvs_0/VDD" -36.5173
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/m3_n2450_n680#" "BGR_lvs_0/VDD" -4.58896
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_otabias_nmos_0/sky130_fd_pr__nfet_01v8_lvt_QA4PPD_0/a_n560_n643#" -28.3551
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_S8KB58_0/a_n271_n4801#" -28.3551
+cap "BGR_lvs_0/XM_pdn_0/sky130_fd_pr__cap_mim_m3_1_Y9W37A_0/m3_n2450_n680#" "BGR_lvs_0/VDD" -3.75311
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Emitter" -0.728744
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Collector" -45.3406
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Base" -2.5151
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Base" -5.7922
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,1]/Base" -3.31286
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,1]/Emitter" "BGR_lvs_0/VDD" -1.51174
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Collector" -89.4729
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Emitter" -3.94405
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Base" "BGR_lvs_0/VDD" -4.11001
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,1]/Emitter" -3.16105
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_S8KB58_0/a_n141_4239#" -22.7164
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Emitter" "BGR_lvs_0/VDD" -2.2938
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,1]/Base" "BGR_lvs_0/VDD" -4.99444
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,0]/Collector" "BGR_lvs_0/VDD" -89.4238
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Emitter" -3.07739
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Emitter" "BGR_lvs_0/VDD" -2.35925
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Base" -4.90837
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/sky130_fd_pr__res_high_po_1p41_S8KB58_0/a_n141_4239#" -51.3499
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Base" -4.18406
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,1]/Collector" -76.7005
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,4]/Emitter" "BGR_lvs_0/VDD" -3.86015
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Emitter" "BGR_lvs_0/VDD" -1.59541
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Base" "BGR_lvs_0/VDD" -3.39893
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,2]/Collector" "BGR_lvs_0/VDD" -67.1642
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,4]/Base" "BGR_lvs_0/VDD" -5.70613
+cap "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,4]/Emitter" "BGR_lvs_0/VDD" -0.812644
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,4]/Base" -2.60117
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_bjt_out_0/sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2,3]/Collector" -23.9499
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_29_n857#" "BGR_lvs_0/Iout0" 14.8496
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n887_n857#" "BGR_lvs_0/Iout0" 62.1562
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/a_n200_n5500#" "BGR_lvs_0/Iout0" 198.509
+cap "BGR_lvs_0/Iout0" "BGR_lvs_0/VDD" 274.414
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n429_n857#" "BGR_lvs_0/Iout0" 59.0362
+cap "BGR_lvs_0/Iout0" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_29_n857#" 44.3367
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/a_n200_n5500#" "BGR_lvs_0/Iout1" 46.9013
+cap "BGR_lvs_0/Iout0" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_1/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_487_n857#" 70.3416
+cap "BGR_lvs_0/Iout0" "BGR_lvs_0/VDD" 194.916
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/a_n200_n5500#" "BGR_lvs_0/Iout0" 152.372
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n887_n857#" "BGR_lvs_0/Iout1" 42.4274
+cap "BGR_lvs_0/Iout1" "BGR_lvs_0/VDD" 64.9721
+cap "BGR_lvs_0/Iout1" "BGR_lvs_0/VDD" 404.358
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n887_n857#" "BGR_lvs_0/Iout1" 19.7288
+cap "BGR_lvs_0/Iout1" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_487_n857#" 70.3416
+cap "BGR_lvs_0/Iout1" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/a_n200_n5500#" 303.98
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n429_n857#" "BGR_lvs_0/Iout1" 59.0362
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_2/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_29_n857#" "BGR_lvs_0/Iout1" 59.1863
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n429_n857#" 59.0362
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/VDD" 231.302
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n887_n857#" 62.1562
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/a_n200_n5500#" 172.232
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_487_n857#" 70.3416
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/Iout2" 178.65
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/Iout3" 0.148396
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_3/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_29_n857#" 59.1863
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/Iout2" 238.028
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n429_n857#" "BGR_lvs_0/Iout3" 59.6125
+cap "BGR_lvs_0/Iout2" "BGR_lvs_0/Iout3" 0.148396
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/Iout4" 0.67979
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/VDD" 358.941
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_487_n857#" "BGR_lvs_0/Iout3" 28.1815
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/a_n200_n5500#" 271.332
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n887_n857#" "BGR_lvs_0/Iout3" 31.4904
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_29_n857#" 59.7674
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/VDD" 143.487
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_4/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_487_n857#" 57.1968
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_6/a_n200_n5500#" "BGR_lvs_0/Iout3" 94.9532
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n429_n857#" 55.6037
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/VDD" 107.9
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_6/a_n200_n5500#" "BGR_lvs_0/Iout4" 115.569
+cap "BGR_lvs_0/Iout3" "BGR_lvs_0/Iout4" 0.67979
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n887_n857#" 26.659
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_487_n857#" 89.2529
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/Iout4" 342.744
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_n429_n857#" 6.72075
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/Iout5" 14.2836
+cap "BGR_lvs_0/Iout4" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_5/sky130_fd_pr__nfet_01v8_lvt_64S6GM_0/a_29_n857#" 62.503
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_1/XM_output_mirr_6/a_n200_n5500#" "BGR_lvs_0/Iout4" 266.033
+cap "BGR_lvs_0/Iout5" "BGR_lvs_0/Iout4" 14.2836
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_3/a_n200_n5500#" "BGR_lvs_0/VDD" 35.8182
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_4/a_n200_n5500#" "BGR_lvs_0/VDD" 167.152
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_5/a_n200_n5500#" "BGR_lvs_0/VDD" 167.152
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_5/a_n200_n5500#" 167.152
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_6/a_n200_n5500#" 167.152
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_7/a_n200_n5500#" "BGR_lvs_0/VDD" 167.152
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_7/a_n200_n5500#" 167.152
+cap "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_7/a_n200_n5500#" "BGR_lvs_0/VDD" 167.152
+cap "BGR_lvs_0/VDD" "BGR_lvs_0/XM_output_mirr_combined_with_dummy_0/XM_output_mirr_combined_6/XM_output_mirr_7/a_n200_n5500#" 107.455
+cap "io_out[13]" "io_in[13]" 0.482756
+cap "io_out[13]" "io_in[13]" 0.248198
+cap "vccd2" "VGA_routing_0/m1_444321_418953#" 1386.84
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 4257.13
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 4257.13
+cap "vccd2" "VGA_routing_0/m1_444321_418953#" 4257.13
+cap "vccd2" "VGA_routing_0/m1_444321_418953#" 4257.13
+cap "vccd2" "VGA_routing_0/m1_444321_418953#" 4257.13
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 4257.13
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 4257.13
+cap "vccd2" "VGA_routing_0/m1_444321_418953#" 3211.33
+cap "VGA_routing_0/m2_486048_557650#" "m3_292774_580566#" 23.3338
+cap "VGA_routing_0/m2_486048_557650#" "VGA_routing_0/m1_444321_418953#" 77.4731
+cap "VGA_routing_0/m2_486048_557650#" "VGA_routing_0/m1_444321_418953#" 17.2456
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 125.258
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 135.087
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 505.349
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 475.938
+cap "VGA_routing_0/m1_444321_418953#" "vccd2" 351.665
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 329.232
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 1293.47
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 3977.73
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 3977.73
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 3977.73
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 3977.73
+cap "vccd2" "VGA_routing_0/m2_486048_557650#" 3977.73
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 3977.73
+cap "vccd2" "VGA_routing_0/m2_486048_557650#" 3977.73
+cap "VGA_routing_0/m2_486048_557650#" "vccd2" 2998.22
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1072.4
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2143.81
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1684.92
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 613.013
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2143.81
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2143.81
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1531.79
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 1499.49
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2343.65
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 866.373
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2954.55
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2954.55
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2954.55
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2132.61
+cap "VGA_routing_0/m2_445625_418319#" "VGA_routing_0/m2_445625_418319#" -2.27374e-13
+cap "VGA_routing_0/m2_445625_418319#" "VGA_routing_0/m2_445625_418319#" 7.10543e-15
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 42.466
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 55.3039
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 125.284
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 96.2014
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 125.284
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 96.2014
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 96.0211
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 125.049
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2410.78
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3449.6
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3449.6
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3449.6
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3449.6
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3449.6
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1942.62
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 2258.01
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 989.72
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 95.033
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 123.762
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2395.16
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1940.76
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 2246.88
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 1058.05
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 95.033
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 123.762
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2395.16
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 1940.76
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 2246.88
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 1058.05
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 123.762
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 95.033
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2395.16
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1940.76
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 2246.88
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 1058.05
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 124.432
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 95.5471
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2395.16
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 1940.76
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 2246.88
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 1058.05
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 125.284
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 96.2014
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2395.16
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1940.76
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 2246.88
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 1058.05
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 125.284
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 96.2014
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2395.16
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 1940.76
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 2246.88
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 1058.05
+cap "vccd1" "VGA_routing_0/m2_445625_418319#" 99.1602
+cap "VGA_routing_0/m2_445625_418319#" "vccd1" 132.32
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2395.16
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 3348.17
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 1940.76
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 2246.88
+cap "VGA_routing_0/m1_491912_626638#" "vccd2" 1058.05
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2068.61
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2971.29
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2971.29
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2971.29
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2971.29
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2971.29
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2971.29
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2971.29
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2971.29
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2971.29
+cap "vccd2" "VGA_routing_0/m1_491911_626492#" 2971.29
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 2971.29
+cap "VGA_routing_0/m1_491911_626492#" "vccd2" 1665.36
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 1937.02
+cap "vccd2" "VGA_routing_0/m1_491912_626638#" 838.57
+cap "VGA_routing_0/m4_419918_417788#" "io_analog[6]" 387.406
+cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "vssa2" 60.3872
+cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "vdda2" 646.822
+cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 57.9542
+cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "vdda2" 904.512
+cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 31.2953
+cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "vdda2" 210.398
+cap "VCO_0/X3/X3/XR1/a_n573_3040#" "vdda2" 0.0213473
+cap "vdda2" "VCO_0/X3/X3/XR1/a_n573_3040#" 105.213
+cap "VCO_0/X3/OUT270" "vdda2" 137.498
+cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "vdda2" 100.415
+cap "VCO_0/X3/X3/VDD" "vdda2" 482.973
+cap "vdda2" "VCO_0/X3/X3/XR1/a_n703_n3602#" 2.05348
+cap "vdda2" "VCO_0/X3/X3/VDD" 122.381
+cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "vdda2" 54.0462
+cap "VCO_0/X3/X3/VDD" "vdda2" 276.886
+cap "VCO_0/X3/OUT90" "vdda2" 101.171
+cap "VCO_0/X3/X2/VDD" "vdda2" 263.334
+cap "vdda2" "VCO_0/X3/X3/XR2/a_n703_n3602#" 82.6999
+cap "VCO_0/X3/X3/XR2/a_n703_n3602#" "VCO_0/X3/X2/VDD" 15.2261
+cap "VCO_0/X3/X2/VDD" "VCO_0/X3/X2/XR1/a_n703_n3602#" 23.8766
+cap "VCO_0/X3/X2/VDD" "VCO_0/GND" 119.616
+cap "VCO_0/VDD" "VCO_0/X3/X2/XR2/a_n703_n3602#" 0.703389
+cap "VCO_0/GND" "VCO_0/VDD" 58.9036
+cap "CTRL5" "VCO_0/X9/GND" 63.7456
+cap "VGA_routing_0/m4_419918_417788#" "io_analog[6]" 645.9
+cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "VCO_0/GND" 103.305
+cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "VCO_0/GND" 69.1087
+cap "vdda2" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 2358.1
+cap "vdda2" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 59.7015
+cap "VCO_0/X3/X6/XC2/m3_n2150_n3100#" "vdda2" 185.899
+cap "vdda2" "VCO_0/X3/X6/IN" 2247.4
+cap "vdda2" "VCO_0/X3/X6/IN" 42.2256
+cap "VCO_0/X3/GND" "vdda2" 39.4724
+cap "VCO_0/X3/VDD" "vdda2" 0.339617
+cap "VCO_0/X3/VDD" "vdda2" 24.4983
+cap "VCO_0/X3/VDD" "vdda2" 447.022
+cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "vdda2" 70.6318
+cap "VCO_0/X3/OUT270" "vdda2" 221.194
+cap "vdda2" "VCO_0/X3/OUT90" 234.954
+cap "vdda2" "VCO_0/X3/X3/XR1/a_n703_n3602#" 27.8659
+cap "vdda2" "VCO_0/X3/X3/VDD" 259.275
+cap "VCO_0/X3/X3/XR2/a_n703_n3602#" "vdda2" 86.9887
+cap "VCO_0/X3/X2/VDD" "vdda2" 448.87
+cap "VCO_0/X3/X3/XR2/a_n703_n3602#" "VCO_0/X3/X2/VDD" 20.6674
+cap "VCO_0/X3/X2/XR1/a_n703_n3602#" "VCO_0/X3/X2/VDD" 0.0917385
+cap "VCO_0/X3/X2/VDD" "VCO_0/GND" 0.255849
+cap "VCO_0/VDD" "VCO_0/GND" 0.31291
+cap "VCO_0/VDD" "VCO_0/X3/X2/XR2/a_n703_n3602#" 0.0333423
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll5" 2.17473
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll1" -1.42749
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll4" 1.13133
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll5" 0.616835
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll4" 0.299397
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/XM1/a_n73_n100#" -2.2344
+cap "VCO_0/X9/XC6/c2_n451_n200#" "VCO_0/X9/ctrll5" 0.64626
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/XM1/a_n73_n100#" -2.54469
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll5" 15.5219
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll4" 6.54036
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/ctrll1" 0.104384
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/GND" 0.0740888
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XM4/a_111_n100#" 88.7286
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll3" 15.5893
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll4" 31.619
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/XM4/a_111_n100#" -3.55433
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll3" 62.4623
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll4" 81.8048
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/XM3/a_n33_n100#" 20.5251
+cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll4" 29.9485
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/XM2/a_15_n100#" -11.2165
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/ctrll2" 68.261
+cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll3" -6.06838
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/XM5/a_159_n100#" -1.36729
+cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll4" 27.4661
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XM1/a_n73_n100#" 2.50237
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll1" -4.70259
+cap "VCO_0/X9/GND" "VCO_0/X9/XM3/a_n33_n100#" -0.495511
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/ctrll3" 80.6513
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/ctrll4" 4.35759
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XM2/a_15_n100#" 94.4786
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/XM3/a_n33_n100#" -3.4523
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll3" 41.9571
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll4" 68.2393
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/XM2/a_15_n100#" 0.00843564
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/XM4/a_111_n100#" -2.34679
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll5" 62.3872
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll3" 2.07044e-06
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll4" 0.585832
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/ctrll1" 80.8131
+cap "VCO_0/X9/GND" "VCO_0/X9/ctrll2" -0.736261
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/ctrll4" 83.2359
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XM3/a_n33_n100#" 119.785
+cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll3" 22.447
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll2" -0.455172
+cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll4" 30.6654
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XC6/c2_n451_n200#" 0.0175591
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/XM3/a_n33_n100#" -5.22203
+cap "VCO_0/CTRL4" "VCO_0/CTRL2" 10.0386
+cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/CTRL2" -1.98038
+cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/CTRL1" -0.161706
+cap "VCO_0/CTRL1" "VCO_0/CTRL5" 6.09831
+cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/CTRL2" -0.0314326
+cap "VCO_0/CTRL2" "VCO_0/X9/XM2/a_15_n100#" 2.8682
+cap "VCO_0/CTRL2" "VCO_0/CTRL5" 8.52278
+cap "VCO_0/CTRL3" "VCO_0/CTRL1" 28.5429
+cap "VCO_0/CTRL3" "VCO_0/CTRL2" 68.6404
+cap "VCO_0/CTRL2" "VCO_0/CTRL1" 171.827
+cap "VCO_0/CTRL1" "VCO_0/X9/GND" -0.147282
+cap "VCO_0/CTRL4" "VCO_0/CTRL1" 8.01168
+cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/CTRL1" -0.00220564
+cap "VCO_0/CTRL2" "VCO_0/X9/GND" 0.965949
+cap "io_analog[6]" "VGA_routing_0/m4_419918_417788#" 645.9
+cap "VCO_0/GND" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 52.2047
+cap "VCO_0/GND" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 34.9236
+cap "vdda2" "VCO_0/X3/BIAS" 7.24205
+cap "vdda2" "VCO_0/X3/X6/XC2/c1_n2050_n3000#" 2492.98
+cap "VCO_0/X3/X6/IN" "vdda2" 2369.93
+cap "VCO_0/X3/BIAS" "vdda2" 12.3155
+cap "vdda2" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 144.617
+cap "vdda2" "VCO_0/X3/GND" 28.1946
+cap "vdda2" "VCO_0/X3/X6/XM41/a_495_122#" 42.5638
+cap "VCO_0/X3/GND" "vdda2" 337.663
+cap "vdda2" "VCO_0/X3/BIAS" 62.4766
+cap "VCO_0/X3/VDD" "vdda2" 0.336766
+cap "vdda2" "VCO_0/X3/VDD" 0.1159
+cap "vdda2" "VCO_0/X3/VDD" 26.4412
+cap "VCO_0/X3/OUT270" "vdda2" 194.288
+cap "VCO_0/X3/GND" "vdda2" 400.617
+cap "vdda2" "VCO_0/X3/X6/XM41/a_n707_n274#" 75.6083
+cap "vdda2" "VCO_0/X3/VDD" 56.4311
+cap "VCO_0/X3/BIAS" "vdda2" 9.67032
+cap "VCO_0/X3/X3/XR1/a_n703_n3602#" "vdda2" 32.2627
+cap "VCO_0/X3/OUT90" "vdda2" 234.954
+cap "vdda2" "VCO_0/X3/X3/XR2/a_n703_n3602#" 108.551
+cap "vdda2" "VCO_0/X3/X3/XR2/a_n703_n3602#" 27.2961
+cap "VCO_0/X9/XC6/c2_n451_n200#" "VCO_0/X9/ctrll4" -1.13374
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll5" 1.65383
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XC6/c2_n451_n200#" 4.89011
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll4" 0.429065
+cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll5" 3.42232
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/XM4/a_111_n100#" -1.93278
+cap "VCO_0/X9/XC6/c2_n451_n200#" "VCO_0/X9/ctrll5" 0.327132
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/XM4/a_111_n100#" -2.92474
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/ctrll5" 12.2199
+cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/ctrll5" 246.716
+cap "VCO_0/X9/XM4/a_111_n100#" "VCO_0/X9/ctrll3" -5.18228
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/ctrll5" 15.8628
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM2/a_15_n100#" 2.13
+cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll5" 26.1316
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/GND" 35.3764
+cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/ctrll1" -6.46766
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM1/a_n73_n100#" 0.193973
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/ctrll3" 28.2261
+cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/ctrll2" -9.78707
+cap "VCO_0/X9/ctrll1" "VCO_0/X9/GND" -0.0616028
+cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/ctrll3" -12.4502
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM4/a_111_n100#" 15.208
+cap "VCO_0/X9/ctrll2" "VCO_0/X9/GND" -0.207212
+cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/GND" -0.495511
+cap "VCO_0/X9/XM3/a_n33_n100#" "VCO_0/X9/ctrll3" -0.349322
+cap "VCO_0/X9/ctrll3" "VCO_0/X9/GND" -1.59019
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll5" 127.916
+cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll5" 9.82973
+cap "VCO_0/X9/XM1/a_n73_n100#" "VCO_0/X9/ctrll5" 0.562934
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll1" 4.56784
+cap "VCO_0/X9/XM5/a_159_n100#" "VCO_0/X9/ctrll4" -16.8328
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll2" 5.35975
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/XM3/a_n33_n100#" 4.59171
+cap "VCO_0/X9/ctrll5" "VCO_0/X9/XM4/a_111_n100#" 116.146
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/GND" 6.88977
+cap "VCO_0/X9/ctrll4" "VCO_0/X9/ctrll3" 106.728
+cap "VCO_0/X9/XM2/a_15_n100#" "VCO_0/X9/ctrll3" -0.132455
+cap "VCO_0/CTRL1" "VCO_0/CTRL4" 1.40846
+cap "VCO_0/CTRL5" "VCO_0/CTRL2" 6.02634
+cap "VCO_0/CTRL2" "VCO_0/CTRL4" 1.63399
+cap "VCO_0/CTRL5" "VCO_0/CTRL1" 4.66105
+cap "VGA_routing_0/m4_419918_417788#" "io_analog[6]" 645.9
+cap "vssa2" "VCO_0/bias_calc_0/GND" 0.0243059
+cap "VCO_0/bias_calc_0/w_17930_210#" "REF" 17.3166
+cap "VCO_0/bias_calc_0/XM39/a_n465_n188#" "REF" 222.426
+cap "VCO_0/bias_calc_0/XM38/a_1891_n100#" "REF" 8.63542
+cap "vssa2" "VCO_0/bias_calc_0/XM39/a_n465_n188#" 0.353454
+cap "VCO_0/bias_calc_0/GND" "REF" 215.476
+cap "REF" "VCO_0/bias_calc_0/XM39/a_n465_n188#" 0.506266
+cap "REF" "VCO_0/bias_calc_0/w_17930_210#" 0.180513
+cap "VCO_0/bias_calc_0/XM38/a_1821_n197#" "VCO_0/bias_calc_0/GND" 6.9181
+cap "VCO_0/bias_calc_0/GND" "VCO_0/bias_calc_0/BIASOUT" 76.0545
+cap "VCO_0/bias_calc_0/XM39/a_n465_n188#" "VCO_0/bias_calc_0/GND" 45.1924
+cap "REF" "VCO_0/bias_calc_0/GND" 0.995098
+cap "REF" "VCO_0/bias_calc_0/BIASOUT" 0.353811
+cap "VCO_0/bias_calc_0/GND" "VCO_0/bias_calc_0/XM38/a_1821_n197#" 18.6416
+cap "VCO_0/bias_calc_0/GND" "VCO_0/bias_calc_0/XM39/a_207_122#" -4.54236
+cap "VCO_0/bias_calc_0/GND" "VCO_0/bias_calc_0/BIASOUT" 60.0927
+cap "VCO_0/bias_calc_0/BIASOUT" "VCO_0/GND" 0.178063
+cap "VCO_0/X3/GND" "vdda2" 195.45
+cap "VCO_0/X3/X6/XC2/c1_n2050_n3000#" "vdda2" 2346.07
+cap "VCO_0/X3/X6/IN" "vdda2" 2279.15
+cap "vdda2" "VCO_0/X3/X6/XC2/m3_n2150_n3100#" 302.027
+cap "VCO_0/X3/X6/XM41/a_495_122#" "vdda2" 0.43023
+cap "VCO_0/X3/GND" "vdda2" 26.187
+cap "VCO_0/X3/X6/XM41/a_495_122#" "vdda2" 59.4174
+cap "VCO_0/X3/VDD" "vdda2" 274.473
+cap "vdda2" "VCO_0/X3/X6/VOP" 82.4211
+cap "VCO_0/X3/X6/SUB" "vdda2" 24.7843
+cap "vdda2" "VCO_0/X3/X6/IN" 434.452
+cap "VCO_0/X3/X6/VOP" "vdda2" 0.719035
+cap "VCO_0/X3/X6/VOP" "vdda2" 5.67401
+cap "VCO_0/X3/VDD" "vdda2" 0.34756
+cap "VCO_0/X3/X6/SUB" "vdda2" 0.000130533
+cap "VCO_0/X3/VDD" "vdda2" 17.0672
+cap "vdda2" "VCO_0/X3/X6/SUB" 1.95554
+cap "VCO_0/X3/X6/IN" "vdda2" 0.328175
+cap "VCO_0/X3/X6/IN" "vdda2" 65.3666
+cap "VCO_0/X3/X6/IN" "vdda2" 96.7948
+cap "VCO_0/X3/VDD" "vdda2" 52.9428
+cap "vdda2" "VCO_0/X3/X6/XM41/a_207_n188#" 3.45777
+cap "vdda2" "VCO_0/X3/X3/BIAS" 45.6664
+cap "VCO_0/X3/OUT270" "vdda2" 208.816
+cap "VCO_0/X3/X6/XM41/a_303_122#" "vdda2" 2.8127
+cap "vdda2" "VCO_0/X3/X6/VOP" 23.4529
+cap "vdda2" "VCO_0/X3/X3/GND" 180.258
+cap "vdda2" "VCO_0/X3/X6/XM41/a_n707_n274#" 77.6517
+cap "VCO_0/X3/OUT90" "vdda2" 234.954
+cap "vdda2" "VCO_0/X3/X3/XR1/a_n703_n3602#" 32.2627
+cap "vdda2" "VCO_0/X3/X2/GND" 108.551
+cap "vdda2" "VCO_0/X3/X2/GND" 27.2961
+cap "io_analog[6]" "VGA_routing_0/m4_419918_417788#" 645.9
+cap "VCO_0/bias_calc_0/XM38/a_1891_n100#" "REF" 1.99532
+cap "VCO_0/bias_calc_0/XM38/a_1821_n197#" "REF" 9.46799
+cap "REF" "VCO_0/bias_calc_0/XM37/a_1821_n197#" 84.1494
+cap "REF" "VCO_0/bias_calc_0/w_17930_210#" 81.9039
+cap "VCO_0/bias_calc_0/XM39/a_n465_n188#" "REF" 210.02
+cap "REF" "VCO_0/bias_calc_0/XM37/a_1763_n100#" 91.9039
+cap "REF" "VCO_0/bias_calc_0/XM37/a_1763_n100#" 0.0367635
+cap "VCO_0/bias_calc_0/BIASOUT" "REF" 0.0340996
+cap "VCO_0/bias_calc_0/XM38/a_1821_n197#" "VCO_0/bias_calc_0/GND" 1.4675
+cap "REF" "VCO_0/bias_calc_0/XM37/a_1821_n197#" 2.20247
+cap "VCO_0/bias_calc_0/XM38/a_1821_n197#" "REF" 1.68758
+cap "REF" "VCO_0/bias_calc_0/w_17930_210#" 1.05988
+cap "VCO_0/bias_calc_0/GND" "VCO_0/bias_calc_0/XM38/a_1821_n197#" 3.56232
+cap "VCO_0/GND" "VCO_0/bias_calc_0/XR20/a_n285_n1572#" 0.0209724
+cap "vdda2" "VCO_0/X3/VOP" 155.857
+cap "vdda2" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" 323.218
+cap "vdda2" "VCO_0/X3/X6/XR21/a_n415_n4762#" 57.1841
+cap "vdda2" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" 323.393
+cap "vdda2" "VCO_0/X3/VOP" 157.208
+cap "vdda2" "VCO_0/X3/X6/XR21/a_n415_n4762#" 57.1841
+cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "vdda2" 1.12198
+cap "vdda2" "VCO_0/X3/X6/SUB" 49.6293
+cap "vdda2" "VCO_0/X3/X6/VDD" 263.24
+cap "VCO_0/X3/X6/VOP" "vdda2" 134.057
+cap "VCO_0/X3/X6/IN" "vdda2" 70.013
+cap "vdda2" "VCO_0/X3/X6/SUB" 7.58576e-05
+cap "vdda2" "VCO_0/X3/X6/SUB" 2.36918
+cap "vdda2" "VCO_0/X3/X6/VOP" 6.87142
+cap "VCO_0/X3/X6/VOP" "vdda2" 20.9757
+cap "vdda2" "VCO_0/X3/X3/GND" 337.006
+cap "vdda2" "VCO_0/X3/X6/VOP" 113.416
+cap "vdda2" "VCO_0/X3/OUT270" 207.743
+cap "vdda2" "VCO_0/X3/X3/BIAS" 93.6844
+cap "VCO_0/X3/X3/SUB" "vdda2" 100.403
+cap "vdda2" "VCO_0/X3/X3/XM1/a_n417_n100#" 13.6419
+cap "VCO_0/X3/X6/IN" "vdda2" 61.9052
+cap "VCO_0/X3/X3/XM1/a_n417_n100#" "vdda2" 0.451372
+cap "vdda2" "VCO_0/X3/X3/GND" 13.3566
+cap "vdda2" "VCO_0/X3/X3/SUB" 1.16642
+cap "VCO_0/X3/X3/XM1/a_n417_n100#" "vdda2" 0.108773
+cap "vdda2" "VCO_0/X3/X3/GND" 0.0589003
+cap "vdda2" "VCO_0/X3/X3/BIAS" 8.07367
+cap "vdda2" "VCO_0/X3/X3/SUB" 0.0287145
+cap "vdda2" "VCO_0/X3/X3/BIAS" 3.47466
+cap "VCO_0/X3/X3/GND" "vdda2" 206.166
+cap "VCO_0/X3/X3/SUB" "vdda2" 35.1406
+cap "vdda2" "VCO_0/X3/X3/XM1/a_n417_n100#" 4.40623
+cap "VCO_0/X3/X3/BIAS" "vdda2" 50.7721
+cap "vdda2" "VCO_0/X3/OUT90" 234.954
+cap "vdda2" "VCO_0/X3/X2/XM1/a_n417_n100#" 9.85837
+cap "VCO_0/X3/X2/GND" "vdda2" 539.631
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n509_n100#" 3.34602e-06
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n321_n100#" 2.85396e-06
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n369_122#" 0.0015256
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n417_n100#" 3.34393e-06
+cap "VCO_0/X3/X2/BIAS" "vdda2" 138.885
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n321_n100#" 7.05464e-08
+cap "VCO_0/X3/X2/GND" "vdda2" 40.6791
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n417_n100#" 7.9064e-08
+cap "VCO_0/X3/X2/XM4/a_n509_n100#" "vdda2" 7.9064e-08
+cap "VCO_0/X3/X2/XM4/a_n369_122#" "vdda2" 0.000248087
+cap "VCO_0/X3/X2/BIAS" "vdda2" 6.73766
+cap "VCO_0/X3/X2/XM1/a_n417_n100#" "vdda2" 0.307672
+cap "VGA_routing_0/m4_419918_417788#" "io_analog[6]" 645.9
+cap "VCO_0/bias_calc_0/XM38/a_1821_n197#" "REF" 9.46024
+cap "VCO_0/bias_calc_0/XM37/a_1763_n100#" "REF" 92.9591
+cap "REF" "VCO_0/bias_calc_0/w_17930_210#" 81.2432
+cap "VCO_0/bias_calc_0/XM38/a_1891_n100#" "REF" 2.22515
+cap "VCO_0/bias_calc_0/VCTRL" "REF" 84.1256
+cap "REF" "VCO_0/bias_calc_0/XM37/a_1891_n100#" 212.097
+cap "VCO_0/bias_calc_0/XM38/a_1821_n197#" "REF" 1.68882
+cap "VCO_0/bias_calc_0/XM37/a_1763_n100#" "REF" 0.0333613
+cap "VCO_0/bias_calc_0/w_17930_210#" "REF" 1.06444
+cap "VCO_0/bias_calc_0/XM38/a_1891_n100#" "REF" 0.0346559
+cap "VCO_0/bias_calc_0/VCTRL" "REF" 2.18685
+cap "vdda2" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" 262.945
+cap "vdda2" "VCO_0/X3/X5/XC1/c1_n2050_n3000#" 2126.61
+cap "VCO_0/X3/VOP" "vdda2" 12.9139
+cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "vdda2" 375.797
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2025.83
+cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "vdda2" 41.7901
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 37.2258
+cap "vdda2" "VCO_0/X3/X5/XM26/a_159_n100#" 2.47096
+cap "VCO_0/X3/X5/XM26/a_63_n100#" "vdda2" 309.082
+cap "vdda2" "VCO_0/X3/X6/SUB" 5.66347
+cap "VCO_0/X3/X5/IN4" "vdda2" 2.86449
+cap "vdda2" "VCO_0/X3/X6/VOP" 64.9819
+cap "vdda2" "VCO_0/X3/X6/VOP" 2.91674
+cap "VCO_0/X3/X5/IN4" "vdda2" 3.38941
+cap "VCO_0/X3/m3_19820_13570#" "vdda2" 47.65
+cap "vdda2" "VCO_0/X3/X5/XM26/a_159_n100#" 0.0723078
+cap "VCO_0/X3/X5/XM26/a_63_n100#" "vdda2" 2.23698
+cap "vdda2" "VCO_0/X3/X6/SUB" 2.11183
+cap "vdda2" "VCO_0/X3/X5/IN4" 1.40929
+cap "vdda2" "VCO_0/X3/X5/XM26/a_63_n100#" 4.14875
+cap "VCO_0/X3/X5/XM26/a_159_n100#" "vdda2" 8.43843
+cap "vdda2" "VCO_0/X3/X6/SUB" 2.67776
+cap "VCO_0/X3/X3/BIAS" "vdda2" 27.3653
+cap "VCO_0/X3/X3/INA" "vdda2" 0.718462
+cap "VCO_0/X3/X3/INB" "vdda2" 6.41017
+cap "VCO_0/X3/X3/SUB" "vdda2" 144.579
+cap "VCO_0/X3/X5/IN3" "vdda2" 0.649858
+cap "VCO_0/X3/m3_19820_13570#" "vdda2" 61.9052
+cap "VCO_0/X3/X6/VOP" "vdda2" 8.7294
+cap "VCO_0/X3/X3/GND" "vdda2" 73.1934
+cap "VCO_0/X3/X5/IN4" "vdda2" 790.748
+cap "VCO_0/X3/X3/XM1/a_n417_n100#" "vdda2" 75.6445
+cap "VCO_0/X3/X5/XM26/a_63_n100#" "vdda2" 25.8011
+cap "VCO_0/X3/X5/XM26/a_159_n100#" "vdda2" 28.3598
+cap "vdda2" "VCO_0/X3/X3/OUTA" 0.472524
+cap "VCO_0/X3/X3/SUB" "vdda2" 0.0961893
+cap "vdda2" "VCO_0/X3/X3/OUTA" 0.0933125
+cap "VCO_0/X3/X3/SUB" "vdda2" 3.86104
+cap "VCO_0/X3/X3/GND" "vdda2" 0.459908
+cap "VCO_0/X3/X3/GND" "vdda2" 27.0632
+cap "VCO_0/X3/X3/INB" "vdda2" 3.0131
+cap "vdda2" "VCO_0/X3/X3/INA" 1.39941
+cap "vdda2" "VCO_0/X3/X3/INA" 0.111707
+cap "VCO_0/X3/X3/OUTB" "vdda2" 1.04491
+cap "vdda2" "VCO_0/X3/X3/XM1/a_n417_n100#" 1.56644
+cap "VCO_0/X3/X3/BIAS" "vdda2" 9.38576
+cap "vdda2" "VCO_0/X3/X3/XM1/a_n417_n100#" 4.61262
+cap "VCO_0/X3/X3/BIAS" "vdda2" 22.1318
+cap "vdda2" "VCO_0/X3/X3/OUTA" 613.191
+cap "VCO_0/X3/X3/GND" "vdda2" 66.4148
+cap "vdda2" "VCO_0/X3/X3/XM1/a_n417_n100#" 27.5331
+cap "VCO_0/X3/X3/SUB" "vdda2" 56.2432
+cap "VCO_0/X3/X3/BIAS" "vdda2" 14.085
+cap "VCO_0/X3/X3/INA" "vdda2" 3.65931
+cap "VCO_0/X3/X2/XM4/a_255_n100#" "vdda2" 3.34602e-06
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n33_n100#" 3.34393e-06
+cap "VCO_0/X3/X2/XM3/a_n33_n188#" "vdda2" 0.188361
+cap "VCO_0/X3/X2/XM4/a_447_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/OUTB" "vdda2" 660.37
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n321_n100#" 4.92062e-07
+cap "VCO_0/X3/X2/XM4/a_15_122#" "vdda2" 0.0015256
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n129_n100#" 3.34602e-06
+cap "VCO_0/X3/X2/XM4/a_159_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X2/XM3/a_15_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X2/XM4/a_399_122#" "vdda2" 0.0015256
+cap "VCO_0/X3/X2/GND" "vdda2" 2.07361e-05
+cap "VCO_0/X3/X4/SUB" "vdda2" 208.721
+cap "vdda2" "VCO_0/X3/X2/XM4/a_63_n100#" 3.34602e-06
+cap "VCO_0/X3/X2/XM4/a_351_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X2/XM1/a_n417_n100#" "vdda2" 55.9973
+cap "VCO_0/X3/I2B" "vdda2" 5.45223
+cap "VCO_0/X3/X2/BIAS" "vdda2" 21.8296
+cap "VCO_0/X3/X2/XM3/a_n73_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X2/XM4/a_n177_122#" "vdda2" 0.00152487
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n225_n100#" 3.34393e-06
+cap "VCO_0/X3/X2/XM4/a_207_122#" "vdda2" 0.00152414
+cap "VCO_0/X3/X3/OUTA" "vdda2" 103.917
+cap "vdda2" "VCO_0/X3/X2/XM4/a_255_n100#" 7.9064e-08
+cap "VCO_0/X3/X2/BIAS" "vdda2" 18.3469
+cap "VCO_0/X3/X2/XM4/a_63_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/SUB" 49.6138
+cap "VCO_0/X3/X2/XM4/a_15_122#" "vdda2" 0.000248087
+cap "vdda2" "VCO_0/X3/X2/XM4/a_447_n100#" 8.27095e-08
+cap "VCO_0/X3/X2/XM4/a_n225_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X2/XM3/a_15_n100#" 7.1728e-08
+cap "VCO_0/X3/I2B" "vdda2" 2.22387
+cap "VCO_0/X3/X2/XM4/a_399_122#" "vdda2" 0.000248087
+cap "vdda2" "VCO_0/X3/X2/XM4/a_n321_n100#" 8.51761e-09
+cap "VCO_0/X3/X2/XM4/a_n33_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/OUTB" 0.752747
+cap "VCO_0/X3/X2/XM4/a_n177_122#" "vdda2" 0.000248087
+cap "vdda2" "VCO_0/X3/X2/XM4/a_351_n100#" 7.9064e-08
+cap "VCO_0/X3/X2/XM3/a_n33_n188#" "vdda2" 0.019309
+cap "VCO_0/X3/X2/XM4/a_159_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X2/GND" 2.67064e-06
+cap "vdda2" "VCO_0/X3/X2/XM3/a_n73_n100#" 7.1728e-08
+cap "VCO_0/X3/X2/XM1/a_n417_n100#" "vdda2" 3.24224
+cap "VCO_0/X3/X2/XM4/a_207_122#" "vdda2" 0.000248087
+cap "VCO_0/X3/X2/XM4/a_n129_n100#" "vdda2" 7.9064e-08
+cap "io_analog[6]" "VGA_routing_0/m4_419918_417788#" 552.571
+cap "VCO_0/bias_calc_0/XM38/a_611_n100#" "VCO_0/bias_calc_0/VCTRL" 0.000382837
+cap "REF" "VCO_0/bias_calc_0/XM38/a_669_n197#" 7.51153
+cap "REF" "VCO_0/bias_calc_0/XM37/a_611_n100#" 161.414
+cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_861_n197#" 0.0876228
+cap "REF" "VCO_0/bias_calc_0/XM36/a_803_n100#" 121.579
+cap "REF" "VCO_0/bias_calc_0/w_17100_7240#" 77.5039
+cap "VCO_0/bias_calc_0/XM37/a_611_n100#" "VCO_0/bias_calc_0/VCTRL" 1.30276
+cap "REF" "VCO_0/bias_calc_0/VCTRL" 67.9755
+cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_803_n100#" 57.224
+cap "REF" "VCO_0/bias_calc_0/XM38/a_611_n100#" 1.71475
+cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_931_n100#" 0.0349523
+cap "VCO_0/bias_calc_0/w_17100_7240#" "VCO_0/bias_calc_0/VCTRL" 26.5003
+cap "REF" "VCO_0/bias_calc_0/XM36/a_803_n100#" 0.0254999
+cap "VCO_0/bias_calc_0/w_17100_7240#" "VCO_0/bias_calc_0/VCTRL" 2.57039
+cap "REF" "VCO_0/bias_calc_0/XM38/a_611_n100#" 0.0267118
+cap "REF" "VCO_0/bias_calc_0/VCTRL" 1.71822
+cap "VCO_0/bias_calc_0/w_17100_7240#" "REF" 1.34465
+cap "REF" "VCO_0/bias_calc_0/XM38/a_669_n197#" 1.32351
+cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM38/a_611_n100#" 5.14253e-05
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2492.98
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2373.41
+cap "VCO_0/X3/X5/XC1/m3_n2150_n3100#" "vdda2" 137.129
+cap "vdda2" "VCO_0/X3/X5/XC1/m3_n2150_n3100#" 40.5115
+cap "vdda2" "VCO_0/X3/X5/XC1/c1_n2050_n3000#" 44.1662
+cap "VCO_0/X3/X5/XM26/a_159_n100#" "vdda2" 4.84388
+cap "vdda2" "VCO_0/X3/X5/IN3" 2.86449
+cap "vdda2" "VCO_0/X3/X5/IN2" 2.78528
+cap "VCO_0/X3/X5/VDD" "vdda2" 26.5997
+cap "VCO_0/X3/X5/SUB" "vdda2" 8.13111
+cap "VCO_0/X3/X5/IN2" "vdda2" 7.16588
+cap "VCO_0/X3/X5/XM26/a_159_n100#" "vdda2" 0.157649
+cap "VCO_0/X3/X5/SUB" "vdda2" 10.6814
+cap "VCO_0/X3/m3_19820_13570#" "vdda2" 24.7574
+cap "vdda2" "VCO_0/X3/X5/IN3" 7.80015
+cap "VCO_0/X3/X5/VDD" "vdda2" 9.10155
+cap "VCO_0/X3/X5/IN2" "vdda2" 1.4243
+cap "VCO_0/X3/X5/XM26/a_159_n100#" "vdda2" 22.9567
+cap "VCO_0/X3/X5/SUB" "vdda2" 3.71836
+cap "VCO_0/X3/X5/VDD" "vdda2" 1.06698
+cap "vdda2" "VCO_0/X3/X5/IN3" 1.45036
+cap "VCO_0/X3/m3_19820_13570#" "vdda2" 292.084
+cap "VCO_0/X3/X1/INB" "vdda2" 16.4561
+cap "VCO_0/X3/I2B" "vdda2" 4.14028
+cap "VCO_0/X3/X5/IN2" "vdda2" 87.3849
+cap "VCO_0/X3/X5/IN3" "vdda2" 79.8073
+cap "VCO_0/X3/I4A" "vdda2" 3.91243
+cap "VCO_0/X3/X5/IN1" "vdda2" 282.855
+cap "VCO_0/X3/X5/SUB" "vdda2" 62.3142
+cap "VCO_0/X3/X3/INB" "vdda2" 10.4625
+cap "VCO_0/X3/X1/XM2/a_n73_n100#" "vdda2" 7.36267
+cap "VCO_0/X3/I2A" "vdda2" 3.02324
+cap "VCO_0/X3/X5/VDD" "vdda2" 40.1401
+cap "VCO_0/X3/X5/IN4" "vdda2" 41.7663
+cap "VCO_0/X3/X3/INA" "vdda2" 4.53195
+cap "vdda2" "VCO_0/X3/I4B" 3.40392
+cap "VCO_0/X3/X1/INA" "vdda2" 3.45258
+cap "VCO_0/X3/X5/XM26/a_159_n100#" "vdda2" 53.7344
+cap "vdda2" "VCO_0/X3/I4B" 1.01723
+cap "VCO_0/X3/X3/OUTA" "vdda2" 11.0224
+cap "VCO_0/X3/X1/INA" "vdda2" 4.96297
+cap "vdda2" "VCO_0/X3/X3/SUB" 0.624356
+cap "VCO_0/X3/X1/INB" "vdda2" 8.58368
+cap "VCO_0/X3/X1/XM2/a_n73_n100#" "vdda2" 0.378082
+cap "vdda2" "VCO_0/X3/X1/OUTA" 11.071
+cap "vdda2" "VCO_0/X3/I2B" 1.52174
+cap "vdda2" "VCO_0/X3/I4A" 1.21904
+cap "VCO_0/X3/X3/OUTA" "vdda2" 2.50628
+cap "VCO_0/X3/X3/INA" "vdda2" 1.5296
+cap "vdda2" "VCO_0/X3/X3/SUB" 0.0223203
+cap "VCO_0/X3/X1/INA" "vdda2" 1.07065
+cap "vdda2" "VCO_0/X3/X1/OUTA" 2.51908
+cap "VCO_0/X3/X1/XM2/a_n73_n100#" "vdda2" 0.874975
+cap "vdda2" "VCO_0/X3/I2A" 0.714527
+cap "VCO_0/X3/X3/INA" "vdda2" 2.70427
+cap "vdda2" "VCO_0/X3/X3/INB" 6.0639
+cap "vdda2" "VCO_0/X3/X1/OUTB" 1.04491
+cap "VCO_0/X3/I2B" "vdda2" 45.0997
+cap "vdda2" "VCO_0/X3/X4/OUTB" 153.004
+cap "VCO_0/X3/I4A" "vdda2" 33.495
+cap "vdda2" "VCO_0/X3/X3/OUTA" 33.7261
+cap "vdda2" "VCO_0/X3/X1/OUTA" 203.527
+cap "vdda2" "VCO_0/X3/X3/INB" 1.09749
+cap "vdda2" "VCO_0/X3/I1B" 35.1747
+cap "VCO_0/X3/I2A" "vdda2" 30.6955
+cap "vdda2" "VCO_0/X3/X3/INA" 39.8597
+cap "vdda2" "VCO_0/X3/X3/SUB" 17.8607
+cap "VCO_0/X3/I4B" "vdda2" 43.0993
+cap "VCO_0/X3/X1/XM2/a_n73_n100#" "vdda2" 3.94004
+cap "vdda2" "VCO_0/X3/X1/INA" 16.1689
+cap "vdda2" "VCO_0/X3/X4/INB" 76.6968
+cap "VCO_0/X3/I2A" "vdda2" 57.6401
+cap "vdda2" "VCO_0/X3/X3/XM3/a_n33_n188#" 57.6401
+cap "VCO_0/X3/I1A" "vdda2" 3.84776
+cap "VCO_0/X3/X4/OUTB" "vdda2" 816.212
+cap "vdda2" "VCO_0/X3/X4/XM3/a_n33_n188#" 0.202545
+cap "VCO_0/X3/I2B" "vdda2" 71.3428
+cap "VCO_0/X3/I4A" "vdda2" 57.6401
+cap "vdda2" "VCO_0/X3/X4/XM3/a_n73_n100#" 3.34602e-06
+cap "VCO_0/X3/X2/XM3/a_n33_n188#" "vdda2" 0.0141836
+cap "VCO_0/X3/X1/OUTA" "vdda2" 42.1607
+cap "VCO_0/X3/X4/XM2/a_n73_n100#" "vdda2" 5.95615
+cap "vdda2" "VCO_0/X3/X3/OUTA" 8.29453
+cap "VCO_0/X3/I3B" "vdda2" 3.84776
+cap "VCO_0/X3/I1B" "vdda2" 57.6401
+cap "vdda2" "VCO_0/X3/X4/XM3/a_15_n100#" 3.34602e-06
+cap "vdda2" "VCO_0/X3/X4/SUB" 46.9592
+cap "VCO_0/X3/X4/OUTB" "vdda2" 0.752747
+cap "vdda2" "VCO_0/X3/X4/INB" 3.24791
+cap "VCO_0/X3/X4/XM2/a_n73_n100#" "vdda2" 0.611847
+cap "VCO_0/X3/X4/SUB" "vdda2" 1.58092
+cap "vdda2" "VCO_0/X3/X4/XM3/a_n33_n188#" 0.0205672
+cap "VCO_0/X3/X4/XM3/a_n73_n100#" "vdda2" 7.1728e-08
+cap "vdda2" "VCO_0/X3/X2/XM3/a_n33_n188#" 0.00125825
+cap "VCO_0/X3/X4/XM3/a_15_n100#" "vdda2" 7.1728e-08
+cap "vdda2" "VCO_0/X3/I2B" 1.01521
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM37/a_n925_n100#" -0.017135
+cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/VDD" 0.699439
+cap "VCO_0/bias_calc_0/BIAS2V" "REF" 164.712
+cap "VCO_0/bias_calc_0/VCTRL" "REF" -1.77636e-15
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM36/a_803_n100#" -0.0553194
+cap "VCO_0/bias_calc_0/VDD" "REF" 293.983
+cap "VCO_0/bias_calc_0/VCTRL" "VCO_0/bias_calc_0/XM36/a_803_n100#" 0.0240786
+cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "REF" 415.747
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VCTRL" 0.157064
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VDD" -0.0177673
+cap "REF" "VCO_0/bias_calc_0/XM36/a_803_n100#" 0.360046
+cap "REF" "VCO_0/bias_calc_0/BIAS2V" 2.33205
+cap "REF" "VCO_0/bias_calc_0/VDD" 3.04648
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2577.62
+cap "VCO_0/X3/m2_20210_20620#" "vdda2" 38.4743
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 2497.17
+cap "VCO_0/X3/m2_20210_20620#" "vdda2" 159.69
+cap "vdda2" "VCO_0/X3/m2_20210_20620#" 36.1709
+cap "VCO_0/X3/X5/XC1/c1_n2050_n3000#" "vdda2" 29.7175
+cap "VCO_0/X3/X5/VDD" "vdda2" 69.095
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 867.475
+cap "VCO_0/X3/X5/IN2" "vdda2" 0.0792087
+cap "VCO_0/X3/X5/IN1" "vdda2" 2.86449
+cap "VCO_0/X3/SUB" "vdda2" 6.51197
+cap "VCO_0/X3/X5/VDD" "vdda2" 3.58486
+cap "VCO_0/X3/X5/VDD" "vdda2" 0.606484
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 2.22035
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 55.7557
+cap "VCO_0/X3/X5/IN2" "vdda2" 0.0260685
+cap "VCO_0/X3/X5/IN2" "vdda2" 0.0584082
+cap "VCO_0/X3/X5/IN1" "vdda2" 1.45036
+cap "VCO_0/X3/X5/IN1" "vdda2" 17.7845
+cap "VCO_0/X3/SUB" "vdda2" 3.43731
+cap "VCO_0/X3/SUB" "vdda2" 5.84567
+cap "vdda2" "VCO_0/X3/X5/VDD" 24.0861
+cap "vdda2" "VCO_0/X3/SUB" 126.854
+cap "vdda2" "VCO_0/X3/X1/XM2/a_n73_n100#" 81.9237
+cap "vdda2" "VCO_0/X3/X1/BIAS" 36.1947
+cap "vdda2" "VCO_0/X3/X5/IN2" 0.680511
+cap "vdda2" "VCO_0/X3/X5/IN1" 608.855
+cap "vdda2" "VCO_0/X3/X1/GND" 100.358
+cap "vdda2" "VCO_0/X3/X5/XR18/a_n285_4200#" 104.58
+cap "vdda2" "VCO_0/X3/X1/GND" 0.518808
+cap "VCO_0/X3/X1/XM2/a_n73_n100#" "vdda2" 1.29713
+cap "vdda2" "VCO_0/X3/X1/BIAS" 29.8284
+cap "VCO_0/X3/SUB" "vdda2" 0.0995485
+cap "vdda2" "VCO_0/X3/X1/BIAS" 12.6951
+cap "vdda2" "VCO_0/X3/X1/GND" 36.5765
+cap "vdda2" "VCO_0/X3/X1/XM2/a_n73_n100#" 4.18901
+cap "vdda2" "VCO_0/X3/SUB" 4.32264
+cap "VCO_0/X3/X1/OUTA" "vdda2" 489.309
+cap "vdda2" "VCO_0/X3/X1/BIAS" 18.5616
+cap "VCO_0/X3/X1/GND" "vdda2" 90.8086
+cap "vdda2" "VCO_0/X3/X1/XM2/a_n73_n100#" 27.9993
+cap "VCO_0/X3/X3/SUB" "vdda2" 48.032
+cap "VCO_0/X3/X4/XM4/a_n129_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X4/XM4/a_63_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X4/XM4/a_447_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/XM4/a_n321_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X4/XM4/a_399_122#" "vdda2" 0.0015256
+cap "VCO_0/X3/X4/XM4/a_n369_122#" "vdda2" 0.0015256
+cap "VCO_0/X3/X4/XM4/a_351_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/XM4/a_n509_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X4/XM4/a_15_122#" "vdda2" 0.0015256
+cap "VCO_0/X3/X4/OUTB" "vdda2" 436.339
+cap "VCO_0/X3/X4/GND" "vdda2" 212.253
+cap "VCO_0/X3/X1/OUTA" "vdda2" 70.0714
+cap "VCO_0/X3/X4/XM4/a_159_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/XM4/a_n225_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/XM4/a_n33_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/BIAS" "vdda2" 28.8377
+cap "VCO_0/X3/X4/XM4/a_n417_n100#" "vdda2" 3.34393e-06
+cap "VCO_0/X3/X4/XM4/a_207_122#" "vdda2" 0.00152414
+cap "VCO_0/X3/X4/XM2/a_n73_n100#" "vdda2" 59.8995
+cap "VCO_0/X3/X4/GND" "vdda2" 2.07361e-05
+cap "VCO_0/X3/X4/XM4/a_255_n100#" "vdda2" 3.34602e-06
+cap "VCO_0/X3/X4/XM4/a_n177_122#" "vdda2" 0.00152487
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n129_n100#" 7.9064e-08
+cap "VCO_0/X3/X4/XM4/a_255_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n321_n100#" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/GND" 2.67064e-06
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n369_122#" 0.000248087
+cap "VCO_0/X3/X4/XM4/a_63_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n509_n100#" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/XM4/a_207_122#" 0.000248087
+cap "vdda2" "VCO_0/X3/X4/XM4/a_447_n100#" 8.27095e-08
+cap "VCO_0/X3/X4/XM4/a_351_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/BIAS" 24.7667
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n225_n100#" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n177_122#" 0.000248087
+cap "VCO_0/X3/X4/XM4/a_159_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/GND" 64.7565
+cap "vdda2" "VCO_0/X3/X4/XM4/a_n417_n100#" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/XM2/a_n73_n100#" 2.93806
+cap "vdda2" "VCO_0/X3/X4/XM4/a_399_122#" 0.000248087
+cap "VCO_0/X3/X4/XM4/a_n33_n100#" "vdda2" 7.9064e-08
+cap "vdda2" "VCO_0/X3/X4/XM4/a_15_122#" 0.000248087
+cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 355.86
+cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "VCO_0/bias_calc_0/VDD" -12.5521
+cap "VCO_0/bias_calc_0/XM36/a_803_n100#" "VCO_0/bias_calc_0/BIAS2V" 291.899
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM36/a_803_n100#" 18.7058
+cap "VCO_0/bias_calc_0/XR19/a_n415_n1322#" "VCO_0/bias_calc_0/BIAS2V" 0.000165168
+cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 88.8717
+cap "VCO_0/bias_calc_0/XR19/a_n285_760#" "VCO_0/bias_calc_0/BIAS2V" 0.000125011
+cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/XM36/a_803_n100#" -14.1196
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XR19/a_n285_760#" 2.49437e-05
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XR19/a_n415_n1322#" 3.1119e-05
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VDD" 0.000170458
+cap "vdda2" "VCO_0/X3/m2_20210_20620#" 127.792
+cap "vdda2" "VCO_0/X3/X5/XR18/a_n415_n4762#" 55.2515
+cap "vdda2" "VCO_0/GND" 424.15
+cap "VCO_0/GND" "vdda2" 424.15
+cap "VCO_0/X3/m2_20210_20620#" "vdda2" 251.267
+cap "VCO_0/X3/X5/XR18/a_n415_n4762#" "vdda2" 50.0551
+cap "VCO_0/X3/m2_20210_20620#" "vdda2" 3.53028
+cap "VCO_0/X3/X5/VDD" "vdda2" 79.7193
+cap "VCO_0/X3/SUB" "vdda2" 40.7583
+cap "VCO_0/X3/X1/GND" "vdda2" 312.968
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 23.3346
+cap "VCO_0/GND" "vdda2" 223.864
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 13.8029
+cap "VCO_0/X3/SUB" "vdda2" 0.29747
+cap "VCO_0/X3/X5/VDD" "vdda2" 0.0320968
+cap "VCO_0/X3/SUB" "vdda2" 3.0089
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 3.2914
+cap "VCO_0/X3/X5/XR18/a_n285_4200#" "vdda2" 86.4972
+cap "VCO_0/X3/X5/VDD" "vdda2" 0.166442
+cap "VCO_0/X3/OUT180" "vdda2" 209.003
+cap "VCO_0/X3/X1/GND" "vdda2" 379.613
+cap "VCO_0/X3/SUB" "vdda2" 98.6171
+cap "VCO_0/m1_46335_31170#" "vdda2" 16.287
+cap "VCO_0/X3/X1/BIAS" "vdda2" 87.7198
+cap "vdda2" "VCO_0/X3/SUB" 0.0804688
+cap "vdda2" "VCO_0/X3/X1/GND" 3.8433
+cap "vdda2" "VCO_0/X3/X1/BIAS" 0.377045
+cap "vdda2" "VCO_0/X3/SUB" 0.00303494
+cap "vdda2" "VCO_0/X3/X1/BIAS" 0.165358
+cap "vdda2" "VCO_0/X3/OUT0" 234.954
+cap "vdda2" "VCO_0/X3/X1/BIAS" 46.2955
+cap "vdda2" "VCO_0/X3/X1/XR1/a_n703_n3602#" 31.6833
+cap "vdda2" "VCO_0/X3/X1/GND" 181.772
+cap "VCO_0/X3/X4/GND" "vdda2" 508.895
+cap "VCO_0/X3/X4/BIAS" "vdda2" 232.856
+cap "vdda2" "VCO_0/X3/X4/BIAS" 0.317882
+cap "vdda2" "VCO_0/X3/X4/GND" 28.8666
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM36/a_291_n100#" 36.2743
+cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 86.6371
+cap "VCO_0/bias_calc_0/XM2/a_291_n100#" "VCO_0/bias_calc_0/BIAS2V" 8.15412
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/VDD" 105.705
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM3/a_291_n100#" 32.3775
+cap "VCO_0/bias_calc_0/BIAS2V" "VCO_0/bias_calc_0/XM2/a_291_n100#" 14.2313
+cap "VCO_0/bias_calc_0/VDD" "VCO_0/bias_calc_0/BIAS2V" 8.75282
+cap "VCO_0/X3/BIAS" "vdda2" 105.347
+cap "VCO_0/GND" "vdda2" 119.32
+cap "VCO_0/GND" "vdda2" 119.32
+cap "VCO_0/X3/BIAS" "vdda2" 105.347
+cap "VCO_0/X3/BIAS" "vdda2" 38.7591
+cap "VCO_0/GND" "vdda2" 415.718
+cap "VCO_0/X3/X5/VDD" "vdda2" 83.7758
+cap "vdda2" "VCO_0/X3/X5/VDD" 0.0576215
+cap "VCO_0/X3/OUT180" "vdda2" 221.194
+cap "VCO_0/X3/X5/VDD" "vdda2" 0.167429
+cap "vdda2" "VCO_0/X3/X1/BIAS" 66.6326
+cap "VCO_0/X3/SUB" "vdda2" 81.5185
+cap "VCO_0/X3/OUT0" "vdda2" 234.954
+cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "vdda2" 31.6925
+cap "vdda2" "VCO_0/X3/X4/GND" 105.025
+cap "vdda2" "VCO_0/X3/X4/BIAS" 131.653
+cap "vdda2" "VCO_0/X3/X4/GND" 26.4431
+cap "VCO_0/X3/X1/XR1/a_n573_3040#" "VCO_0/GND" 382.291
+cap "VCO_0/X3/OUT180" "VCO_0/X3/X1/VDD" 221.194
+cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "VCO_0/X3/X1/VDD" 81.5185
+cap "VCO_0/X3/BIAS" "VCO_0/X3/X1/VDD" 57.8737
+cap "VCO_0/X3/OUT0" "vdda2" 234.954
+cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "vdda2" 31.6925
+cap "VCO_0/m1_50680_31080#" "vdda2" 131.653
+cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "vdda2" 105.025
+cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "vdda2" 26.4431
+cap "VCO_0/X11/XM4/a_63_n188#" "VCO_0/GND" 0.000963296
+cap "VCO_0/X11/XM4/a_n33_122#" "VCO_0/GND" -2.71051e-20
+cap "VCO_0/GND" "VCO_0/GND" 4.33681e-19
+cap "VCO_0/X11/XM4/a_111_n100#" "VCO_0/GND" 1.38778e-17
+cap "VCO_0/X11/XM4/a_n33_122#" "VCO_0/GND" 1.35525e-20
+cap "vdda2" "VCO_0/VDD" 39.8858
+cap "VCO_0/VDD" "vdda2" 39.8858
+cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/GND" 4.94547
+cap "VCO_0/m1_46210_32690#" "VCO_0/output_buffer_0/VDD" 15.7913
+cap "VCO_0/output_buffer_0/XR2/a_n415_n1322#" "VCO_0/output_buffer_0/VDD" 22.7056
+cap "VCO_0/m1_47700_36500#" "VCO_0/output_buffer_0/VDD" 55.7516
+cap "vdda2" "VCO_0/output_buffer_0/OUTB" 44.4719
+cap "VCO_0/X3/X1/VDD" "VCO_0/output_buffer_0/OUTB" 9.22733
+cap "vdda2" "VCO_0/output_buffer_0/XR2/a_n415_n1322#" -0.00409666
+cap "vdda2" "VCO_0/X3/X1/VDD" 44.7105
+cap "VCO_0/m1_48170_36500#" "vdda2" 64.1088
+cap "VCO_0/X3/X1/VDD" "VCO_0/output_buffer_0/OUTB" 85.636
+cap "vdda2" "VCO_0/output_buffer_0/OUTB" 125.954
+cap "vdda2" "VCO_0/X3/X1/XR1/a_n703_n3602#" 8.83774
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/X3/X1/XR1/a_n703_n3602#" 16.9572
+cap "VCO_0/X3/X4/VDD" "vdda2" 114.171
+cap "VCO_0/output_buffer_0/OUTB" "vdda2" 0.447962
+cap "VCO_0/output_buffer_0/BIAS" "vdda2" 20.2526
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 9.73779
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/X3/X4/VDD" 33.7419
+cap "VCO_0/output_buffer_0/XR3/a_n703_n2202#" "vdda2" 19.3101
+cap "vdda2" "VCO_0/X3/X1/XR2/a_n703_n3602#" 7.21518
+cap "VCO_0/GND" "VCO_0/X11/XM4/a_n275_n274#" 0.194171
+cap "VCO_0/GND" "VCO_0/X11/XM3/a_15_122#" 0.0272999
+cap "VCO_0/X11/XM2/a_n33_n188#" "VCO_0/GND" 0.100962
+cap "VCO_0/CTRL1" "VCO_0/GND" 0.256564
+cap "VCO_0/GND" "VCO_0/X11/XM2/a_15_n100#" -2.84217e-14
+cap "VCO_0/CTRL1" "VCO_0/GND" 0.258619
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM2/a_n1091_n274#" 0.233479
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR2/a_n415_n1322#" 32.7192
+cap "VCO_0/output_buffer_0/INA" "VCO_0/output_buffer_0/OUTB" 87.8801
+cap "VCO_0/output_buffer_0/INA" "VCO_0/output_buffer_0/XR2/a_n415_n1322#" 0.0765442
+cap "VCO_0/output_buffer_0/INB" "VCO_0/output_buffer_0/OUTB" 12.7575
+cap "vdda2" "VCO_0/output_buffer_0/OUTB" 28.0698
+cap "VCO_0/X3/X1/VDD" "VCO_0/output_buffer_0/OUTB" 24.498
+cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/OUTB" -0.0897258
+cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "VCO_0/output_buffer_0/INA" -3.27754
+cap "VCO_0/output_buffer_0/INA" "VCO_0/output_buffer_0/OUTB" 155.385
+cap "VCO_0/X3/X1/VDD" "VCO_0/output_buffer_0/OUTB" 187.096
+cap "vdda2" "VCO_0/output_buffer_0/OUTB" 66.7825
+cap "VCO_0/X3/X1/XR1/a_n703_n3602#" "VCO_0/output_buffer_0/OUTB" 128.498
+cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/OUTB" 4.15793
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/BIAS" 116.418
+cap "VCO_0/output_buffer_0/OUTB" "vdda2" 0.254108
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/VDD" 103.12
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/X3/X4/VDD" 87.3999
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 68.6636
+cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "VCO_0/output_buffer_0/VDD" -1.19988
+cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "OUT180" 0.0329709
+cap "VCO_0/output_buffer_0/VDD" "OUT180" 76.0187
+cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/VDD" -0.0604315
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/SUB" 2.63342
+cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/OUTB" 4.51812
+cap "VCO_0/output_buffer_0/INB" "VCO_0/output_buffer_0/OUTB" 9.25257
+cap "VCO_0/output_buffer_0/INA" "VCO_0/output_buffer_0/OUTB" 16.3144
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR1/a_n285_760#" 7.52053
+cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 0.864664
+cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 0.0279802
+cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/OUTB" 65.6156
+cap "VCO_0/output_buffer_0/XM33/a_n989_n100#" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 0.000867213
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/SUB" 48.4075
+cap "VCO_0/output_buffer_0/XM33/a_n989_n100#" "VCO_0/output_buffer_0/OUTB" 85.2244
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 13.4968
+cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/OUTB" 143.86
+cap "VCO_0/output_buffer_0/XR29/a_n573_n2072#" "VCO_0/output_buffer_0/XM33/a_n945_n188#" 8.68357
+cap "VCO_0/output_buffer_0/XM33/a_n989_n100#" "VCO_0/output_buffer_0/OUTB" 458.827
+cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 0.278207
+cap "VCO_0/output_buffer_0/XM33/a_n989_n100#" "VCO_0/output_buffer_0/XR29/a_n573_n2072#" 3.50188
+cap "VCO_0/output_buffer_0/XR29/a_n573_n2072#" "VCO_0/output_buffer_0/OUTB" 38.1132
+cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/OUTB" 3.00295
+cap "VCO_0/output_buffer_0/XM33/a_n945_n188#" "VCO_0/output_buffer_0/OUTB" 250.496
+cap "VCO_0/output_buffer_0/XM32/a_n945_n188#" "VCO_0/output_buffer_0/OUTB" 21.2919
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n609_n100#" 592.755
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 82.7644
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM4/a_n2817_n100#" 719.223
+cap "VCO_0/output_buffer_0/XM33/a_n945_n188#" "VCO_0/output_buffer_0/OUTB" 26.3833
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/VDD" 141.959
+cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/OUTB" 244.309
+cap "VCO_0/output_buffer_0/XM4/a_n2817_n100#" "OUT180" 1.77969
+cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/VDD" -7.73432
+cap "VCO_0/output_buffer_0/BIAS" "OUT180" 0.539922
+cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "VCO_0/output_buffer_0/VDD" -0.655573
+cap "VCO_0/X3/X1/XR2/a_n703_n3602#" "OUT180" 0.0587963
+cap "VCO_0/output_buffer_0/XM33/a_735_n100#" "VCO_0/output_buffer_0/VDD" -23.2508
+cap "OUT180" "VCO_0/output_buffer_0/VDD" 109.042
+cap "OUT180" "VCO_0/output_buffer_0/XM33/a_735_n100#" 1.29939
+cap "VCO_0/output_buffer_0/XM4/a_n2817_n100#" "VCO_0/output_buffer_0/VDD" -33.4069
+cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/OUTA" 0.3148
+cap "VCO_0/output_buffer_0/XR1/a_n285_760#" "VCO_0/output_buffer_0/OUTA" 0.117913
+cap "VCO_0/output_buffer_0/XR1/a_n285_760#" "VCO_0/output_buffer_0/OUTA" 4.05345
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/OUTA" 5.42724
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/SUB" 0.575771
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 0.809397
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/SUB" 6.01503
+cap "VCO_0/output_buffer_0/XR2/a_n285_760#" "VCO_0/output_buffer_0/OUTA" 0.164928
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM33/a_n989_n100#" -0.0329953
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR1/a_n285_760#" 3.62001
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/OUTB" 304.945
+cap "VCO_0/output_buffer_0/XM32/a_n945_n188#" "VCO_0/output_buffer_0/OUTA" 92.6853
+cap "VCO_0/output_buffer_0/XM32/a_n945_n188#" "VCO_0/output_buffer_0/OUTB" 70.8565
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/SUB" 53.1156
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM33/a_n945_n188#" 1.51388
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 34.6674
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/SUB" 9.06023
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM33/a_n989_n100#" 251.508
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/BIAS" 139.734
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XR3/a_n703_n2202#" 91.7768
+cap "VCO_0/output_buffer_0/XM32/a_n945_n188#" "VCO_0/output_buffer_0/OUTB" 25.7306
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/VDD" 127.154
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/XM3/a_n2817_n100#" 726.943
+cap "VCO_0/output_buffer_0/OUTB" "VCO_0/output_buffer_0/OUTA" 120.933
+cap "VCO_0/output_buffer_0/XM33/a_n609_n100#" "VCO_0/output_buffer_0/OUTB" 825.994
+cap "VCO_0/output_buffer_0/XR3/a_n703_n2202#" "OUT180" 0.0602982
+cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/XM33/a_735_n100#" -30.5877
+cap "VCO_0/output_buffer_0/BIAS" "VCO_0/output_buffer_0/VDD" -7.63391
+cap "OUT180" "VCO_0/output_buffer_0/XM3/a_n2817_n100#" 1.78666
+cap "VCO_0/output_buffer_0/VDD" "OUT180" 102.313
+cap "VCO_0/output_buffer_0/XR3/a_n703_n2202#" "VCO_0/output_buffer_0/VDD" -0.721516
+cap "VCO_0/output_buffer_0/VDD" "VCO_0/output_buffer_0/XM3/a_n2817_n100#" -33.6933
+cap "OUT180" "VCO_0/output_buffer_0/XM33/a_735_n100#" 1.30939
+cap "VCO_0/output_buffer_0/BIAS" "OUT180" 0.550143
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/XR1/a_n285_760#" 0.0175955
+cap "VCO_0/output_buffer_0/OUTA" "VCO_0/output_buffer_0/SUB" 0.108577
+cap "OUT180" "VCO_0/output_buffer_0/OUTA" 4.50491
+cap "VCO_0/output_buffer_0/XR1/a_n285_760#" "VCO_0/output_buffer_0/OUTA" -0.209379
+cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/OUTA" 36.9643
+cap "OUT180" "VCO_0/output_buffer_0/SUB" 12.7592
+cap "OUT180" "VCO_0/output_buffer_0/OUTA" 13.5609
+cap "VCO_0/output_buffer_0/SUB" "VCO_0/output_buffer_0/OUTA" 116.053
+cap "VCO_0/output_buffer_0/XM33/a_n1091_n274#" "OUT180" 95.9679
+cap "VCO_0/output_buffer_0/VDD" "OUT180" 114.712
+cap "VCO_0/output_buffer_0/VDD" "OUT180" 82.2858
+cap "VCO_0/output_buffer_0/XM33/a_n1091_n274#" "OUT180" 0.0353427
+cap "VGA_routing_0/m1_491911_626492#" "io_analog[5]" 189.031
+cap "VGA_routing_0/m1_491911_626492#" "io_analog[5]" 367.156
+cap "io_analog[5]" "io_analog[5]" 579.74
+cap "io_analog[5]" "io_analog[5]" 298.48
+cap "io_analog[5]" "io_analog[5]" 579.74
+cap "io_analog[5]" "io_analog[5]" 298.48
+cap "io_analog[5]" "io_analog[5]" 298.48
+cap "io_analog[5]" "io_analog[5]" 579.74
+cap "io_clamp_low[1]" "io_analog[5]" -161.747
+cap "io_clamp_low[1]" "io_analog[5]" 1419.22
+cap "io_analog[5]" "io_analog[5]" 169.446
+cap "io_analog[5]" "io_analog[5]" 633.573
+cap "io_clamp_low[1]" "io_analog[5]" 3834.65
+cap "io_analog[5]" "io_clamp_high[1]" 2545.69
+cap "io_analog[5]" "io_clamp_low[1]" 595.498
+cap "io_analog[5]" "io_clamp_high[1]" 3562.93
+cap "io_clamp_high[1]" "io_analog[5]" -259.254
+cap "io_analog[5]" "io_analog[5]" 321.244
+cap "io_analog[5]" "io_analog[5]" 928.412
+cap "io_clamp_high[1]" "io_analog[5]" -161.747
+cap "io_analog[5]" "io_analog[5]" 298.48
+cap "io_analog[5]" "io_analog[5]" 579.74
+cap "io_analog[5]" "io_analog[5]" 298.48
+cap "io_analog[5]" "io_analog[5]" 579.74
+cap "io_analog[5]" "io_analog[5]" 407.527
+cap "io_analog[5]" "io_analog[5]" 791.543
+cap "VGA_routing_0/m1_491912_626638#" "io_analog[4]" 336.381
+cap "VGA_routing_0/m1_491912_626638#" "io_analog[4]" 173.186
+cap "io_analog[4]" "io_analog[4]" 579.74
+cap "io_analog[4]" "io_analog[4]" 298.48
+cap "io_analog[4]" "io_analog[4]" 298.48
+cap "io_analog[4]" "io_analog[4]" 579.74
+cap "io_analog[4]" "io_analog[4]" 319.36
+cap "io_analog[4]" "io_clamp_low[0]" -55.8932
+cap "io_analog[4]" "io_analog[4]" 725.503
+cap "io_analog[4]" "io_clamp_low[0]" -89.5878
+cap "io_analog[4]" "io_clamp_low[0]" 1463.99
+cap "io_analog[4]" "io_clamp_high[0]" 30.0662
+cap "io_analog[4]" "io_clamp_low[0]" 1463.91
+cap "io_clamp_high[0]" "io_analog[4]" 1845.02
+cap "io_analog[4]" "io_analog[4]" 87.3981
+cap "io_analog[4]" "io_clamp_high[0]" -55.8932
+cap "io_analog[4]" "io_analog[4]" 274.962
+cap "io_analog[4]" "io_clamp_high[0]" 963.232
+cap "io_analog[4]" "io_analog[4]" 579.74
+cap "io_analog[4]" "io_analog[4]" 298.48
+cap "io_analog[4]" "io_analog[4]" 579.74
+cap "io_analog[4]" "io_analog[4]" 298.48
+cap "io_analog[4]" "io_analog[4]" 298.48
+cap "io_analog[4]" "io_analog[4]" 579.74
+cap "io_analog[4]" "VGA_routing_0/m1_491912_626638#" 352.813
+cap "io_analog[4]" "VGA_routing_0/m1_491912_626638#" 181.646
+merge "VCO_0/VSUBS" "BGR_lvs_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "BGR_lvs_0/VSUBS" "VCO_1/VSUBS"
+merge "VCO_1/VSUBS" "TX_line_0/VSUBS"
+merge "TX_line_0/VSUBS" "VGA_routing_0/VSUBS"
+merge "VGA_routing_0/VSUBS" "VSUBS"
+merge "VGA_routing_0/m4_419918_417788#" "BGR_lvs_0/vbg" -114239 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -29982000 -21460 -24720050 -16405 -263660000 -50200 -183800472 -68036 0 0
+merge "BGR_lvs_0/vbg" "io_analog[6]"
+merge "VCO_0/output_buffer_0/VDD" "VCO_0/X3/X1/VDD" -96516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10860400 -18058 -162407034 -350072 0 0
+merge "VCO_0/X3/X1/VDD" "VCO_0/VDD"
 merge "VCO_0/VDD" "VCO_0/X3/X2/VDD"
-merge "VCO_0/X3/X2/VDD" "VCCD"
-merge "VCO_0/CTRL5" "VCO_0/X9/ctrll5" 989.931 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2428160 -442 0 0 0 0 0 0 0 0 0 0
-merge "VCO_0/X9/ctrll5" "CTRL5"
-merge "VCO_0/m1_33455_32800#" "VCO_0/bias_calc_0/BIAS2V" -10946.7 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -22097996 -778 -1880900 0 0 0 0 0 0 0
-merge "VCO_0/bias_calc_0/BIAS2V" "REF"
+merge "VCO_0/X3/X2/VDD" "VCO_1/output_buffer_0/VDD"
+merge "VCO_1/output_buffer_0/VDD" "VCO_1/X3/X1/VDD"
+merge "VCO_1/X3/X1/VDD" "VCO_1/X3/X2/VDD"
+merge "VCO_1/X3/X2/VDD" "VCO_1/VDD"
+merge "VCO_1/VDD" "vdda2"
+merge "VGA_routing_0/m1_448364_344480#" "io_analog[0]" -4425.07 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4272604 -15144 0 0 0 0 0 0
+merge "BGR_lvs_0/Iout0" "VCO_1/bias_calc_0/BIAS2V" -6403.03 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1740600 -7914 -3880900 -9894 -1520000 -5688 0 0 0 0
+merge "VCO_1/bias_calc_0/BIAS2V" "REF2"
+merge "TX_line_0/OUTA" "VGA_routing_0/m1_443471_412049#" -50821.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -73770910 -80702 -4000000 -10000 -15263386 -29174 0 0
+merge "VGA_routing_0/m1_443471_412049#" "VCO_1/output_buffer_0/OUTA"
+merge "VCO_1/output_buffer_0/OUTA" "txina"
+merge "VCO_0/m1_33455_32800#" "VCO_0/bias_calc_0/BIAS2V" -7726.12 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -37824 -778 -2592900 -8126 -2102000 -21220 0 0 0 0
+merge "VCO_0/bias_calc_0/BIAS2V" "BGR_lvs_0/Iout1"
+merge "BGR_lvs_0/Iout1" "REF"
+merge "VGA_routing_0/m2_445625_418319#" "vccd1" -20368.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -34259500 -47688 0 0 0 0 0 0
+merge "VCO_0/CTRL1" "VCO_0/X9/ctrll1" -881.525 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -13598 -694 -272200 -4190 0 0 0 0 0 0 0 0
+merge "VCO_0/X9/ctrll1" "VCO_1/X9/ctrll1"
+merge "VCO_1/X9/ctrll1" "VCO_1/CTRL1"
+merge "VCO_1/CTRL1" "CTRL1"
+merge "BGR_lvs_0/Iout2" "io_analog[7]" -5658.43 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -10200900 -14006 0 0 0 0 0 0
+merge "VCO_1/output_buffer_0/OUTB" "TX_line_0/INB" -50956.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -64166750 -69080 -1405000 -6120 -4039840 -14024 0 0
+merge "TX_line_0/INB" "VGA_routing_0/m1_443471_411908#"
+merge "VGA_routing_0/m1_443471_411908#" "txinb"
+merge "VCO_0/X3/X6/GND" "VCO_0/bias_calc_0/GND" -221156 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -134306886 -334175 -843760000 -90400 0 0
+merge "VCO_0/bias_calc_0/GND" "VCO_0/GND"
+merge "VCO_0/GND" "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss"
+merge "BGR_lvs_0/opamp_realcomp3_usefinger_0/vss" "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss"
+merge "BGR_lvs_0/opamp_realcomp3_usefinger_1/vss" "BGR_lvs_0/VSS"
+merge "BGR_lvs_0/VSS" "VCO_1/bias_calc_0/GND"
+merge "VCO_1/bias_calc_0/GND" "VCO_1/X3/X6/GND"
+merge "VCO_1/X3/X6/GND" "VCO_1/GND"
+merge "VCO_1/GND" "vssa2"
+merge "BGR_lvs_0/opamp_realcomp3_usefinger_0/vdd" "BGR_lvs_0/XM_feedbackmir_0/B" -175952 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -383837220 -190670 -338400000 -68400 0 0
+merge "BGR_lvs_0/XM_feedbackmir_0/B" "BGR_lvs_0/VDD"
+merge "BGR_lvs_0/VDD" "vccd2"
+merge "VCO_0/CTRL2" "VCO_0/X9/ctrll2" -789.953 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -14193 -714 -468200 -6990 0 0 0 0 0 0 0 0
+merge "VCO_0/X9/ctrll2" "VCO_1/X9/ctrll2"
+merge "VCO_1/X9/ctrll2" "VCO_1/CTRL2"
+merge "VCO_1/CTRL2" "CTRL2"
+merge "VGA_routing_0/m2_486048_557650#" "BGR_lvs_0/Iout3" -5504.96 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9282400 -15688 0 0 0 0 0 0
+merge "BGR_lvs_0/Iout3" "m3_290506_594136#"
+merge "VCO_0/output_buffer_0/OUTB" "OUT180" -6018.51 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -532855 -3346 -2295200 -5782 0 0 0 0
+merge "VGA_routing_0/m1_465142_344633#" "io_analog[1]" -2174.54 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -319488 -10128 0 0 0 0 0 0
+merge "VCO_0/CTRL3" "VCO_0/X9/ctrll3" -801.807 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -12983 -674 -468200 -6990 0 0 0 0 0 0 0 0
+merge "VCO_0/X9/ctrll3" "VCO_1/X9/ctrll3"
+merge "VCO_1/X9/ctrll3" "VCO_1/CTRL3"
+merge "VCO_1/CTRL3" "CTRL3"
+merge "VGA_routing_0/m1_444321_418953#" "BGR_lvs_0/Iout4" -5133.63 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7206218 -15687 0 0 0 0 0 0
+merge "BGR_lvs_0/Iout4" "m3_292774_580566#"
+merge "VCO_0/bias_calc_0/VCTRL" "VCO_1/bias_calc_0/VCTRL" -3261.69 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -916100 -12110 0 0 0 0 0 0 0 0 0 0
+merge "VCO_1/bias_calc_0/VCTRL" "VCTRL"
+merge "VGA_routing_0/m1_491912_626638#" "io_analog[4]" -15870.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4015000 -10712 -16264000 -36916 -4015000 -10712 0 0
+merge "VCO_0/X9/ctrll4" "VCO_0/CTRL4" -926.453 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -14820 -734 -636200 -9790 0 0 0 0 0 0 0 0
+merge "VCO_0/CTRL4" "VCO_1/X9/ctrll4"
+merge "VCO_1/X9/ctrll4" "VCO_1/CTRL4"
+merge "VCO_1/CTRL4" "CTRL4"
+merge "VGA_routing_0/m3_426670_449375#" "vssa1" -7519.65 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1728000 -19920 0 0 0 0 0 0
+merge "VCO_0/CTRL5" "VCO_0/X9/ctrll5" -2928.16 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -14223 -754 -832200 -12590 0 0 0 0 0 0 0 0
+merge "VCO_0/X9/ctrll5" "VCO_1/X9/ctrll5"
+merge "VCO_1/X9/ctrll5" "VCO_1/CTRL5"
+merge "VCO_1/CTRL5" "CTRL5"
+merge "VCO_0/output_buffer_0/OUTA" "OUT0" -1560.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -549020 -3474 0 0 0 0 0 0
+merge "VGA_routing_0/m1_491911_626492#" "io_analog[5]" -16380.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2000000 -6600 -26278816 -38514 -2000000 -6600 0 0
+merge "VGA_routing_0/m1_443140_352045#" "io_analog[3]" -5744.9 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1706048 -4894 -4523344 -17876 0 0 0 0
+merge "BGR_lvs_0/porst" "gpio_analog[7]" -4133.13 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9913160 -16126 0 0 0 0 0 0
+merge "VGA_routing_0/m1_467461_355277#" "io_analog[2]" -2715.89 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1342344 -11226 0 0 0 0 0 0
+merge "VGA_routing_0/m3_441966_382352#" "io_in[13]" -1128.51 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -731264 -4080 0 0 0 0 0 0
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 3d02bf1..2722b4b 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1669738703
+timestamp 1669926749
 << metal1 >>
 rect 14920 682870 15420 682910
 rect 14920 682040 14960 682870
@@ -1255,7 +1255,7 @@
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
 use BGR_lvs  BGR_lvs_0
-timestamp 1666387681
+timestamp 1669926749
 transform 1 0 254230 0 1 568403
 box -14230 -10403 62405 12600
 use TX_line  TX_line_0
@@ -1350,6 +1350,7 @@
 rlabel metal3 s 582300 677984 584800 682984 0 io_analog[0]
 port 36 nsew signal bidirectional
 rlabel metal3 0 680242 1700 685242 0 io_analog[10]
+port 678 nsew
 rlabel metal3 s 566594 702300 571594 704800 0 io_analog[1]
 port 38 nsew signal bidirectional
 rlabel metal3 s 465394 702300 470394 704800 0 io_analog[2]
diff --git a/mag/vop_dec.ext b/mag/vop_dec.ext
index 17302c2..d3535e5 100644
--- a/mag/vop_dec.ext
+++ b/mag/vop_dec.ext
@@ -7,47 +7,61 @@
 use sky130_fd_pr__res_high_po_2p85_MXEQGY XR21 1 0 6051 0 1 5198
 use sky130_fd_pr__nfet_01v8_lvt_6BNFGK XM41 0 1 6970 -1 0 9063
 use sky130_fd_pr__cap_mim_m3_1_4RCNTW XC2 1 0 8750 0 1 3500
-node "GND" 0 1703.66 5720 520 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 343200 2360 499200 2840 488800 2920 0 0 0 0 0 0
-node "VDD" 6 836.989 6870 8460 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 82400 3780 452400 3700 0 0 0 0 0 0 0 0
-node "IN" 8 3644.15 6780 8340 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 239800 7480 48400 1100 1300000 7300 344000 2520 0 0 0 0
-node "VOP" 3 1727.62 5770 9400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 315900 5240 871500 5500 0 0 0 0 0 0 0 0
+node "GND" 0 1919.42 5720 520 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 343200 2360 499200 2840 488800 2920 0 0 0 0 0 0
+node "VDD" 6 700.295 6870 8460 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 82400 3780 452400 3700 0 0 0 0 0 0 0 0
+node "IN" 8 3391.05 6780 8340 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 239800 7480 48400 1100 1300000 7300 344000 2520 0 0 0 0
+node "VOP" 3 1589.7 5770 9400 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 315900 5240 871500 5500 0 0 0 0 0 0 0 0
 node "SUB" 96 147.502 6430 8350 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12000 680 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_6430_9730#" 96 147.502 6430 9730 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12000 680 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_6430_9730#" "VOP" 84.1288
-cap "SUB" "li_6430_9730#" 6.26866
-cap "VDD" "VOP" 4770.98
-cap "IN" "VOP" 1690.75
-cap "VDD" "IN" 1924.28
-cap "XR21/a_n285_n4632#" "XR21/a_n415_n4762#" 333.254
-cap "XR21/a_n285_n4632#" "XC2/c1_n2050_n3000#" -733.408
-cap "IN" "XC2/m3_n2150_n3100#" 15.1892
-cap "XM41/a_111_122#" "XC2/m3_n2150_n3100#" 265.005
-cap "XR21/a_n285_4200#" "XM41/a_n561_n188#" 9.97343
-cap "XR21/a_n285_4200#" "XM41/a_n707_n274#" 53.2991
-cap "XM41/a_n707_n274#" "XM41/a_n561_n188#" 88.9029
-cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -431.126
-cap "XM41/a_n605_n100#" "XM41/a_n561_n188#" -2.03943
-cap "XM41/a_n605_n100#" "XM41/a_n707_n274#" 6.35197
-cap "XM41/a_n561_n188#" "XM41/a_n605_n100#" 14.0271
-cap "XM41/a_n707_n274#" "XR21/a_n285_4200#" 1.42109e-14
-cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" -10.1361
-cap "XM41/a_n707_n274#" "XM41/a_n561_n188#" -9.1662
-cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -237.852
-cap "XM41/a_n707_n274#" "XM41/a_n605_n100#" 69.0627
-cap "XM41/a_n561_n188#" "XM41/a_n605_n100#" -13.749
-cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" 89.1313
-cap "XM41/a_n561_n188#" "XM41/a_n707_n274#" 43.2585
-cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -1062.68
-cap "XM41/a_n605_n100#" "XM41/a_n707_n274#" 19.2494
-cap "XR21/a_n285_4200#" "XM41/a_n707_n274#" 380.255
-cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -578.48
-cap "XM41/a_n707_n274#" "XR21/a_n285_4200#" 5.41419
-cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" -16.8613
-cap "XM41/a_n605_n100#" "XM41/a_n707_n274#" 217.015
-cap "XM41/a_n605_n100#" "XM41/a_n561_n188#" 98.2017
-cap "XM41/a_n707_n274#" "XM41/a_n561_n188#" 8.32725
-merge "XM41/a_n81_122#" "XM41/a_n177_n188#" -13417 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -17368648 -2496 0 0 -3580000 0 -3843400 -1562 0 0 0 0
+cap "li_6430_9730#" "IN" 2.21719
+cap "IN" "SUB" 14.5006
+cap "VOP" "IN" 961.24
+cap "IN" "VDD" 1120.07
+cap "li_6430_9730#" "SUB" 5.59211
+cap "li_6430_9730#" "VOP" 38.9486
+cap "VOP" "SUB" 13.2571
+cap "li_6430_9730#" "VDD" 1.23562
+cap "VOP" "VDD" 1985.23
+cap "XC2/c1_n2050_n3000#" "XR21/a_n285_n4632#" 269
+cap "XR21/a_n415_n4762#" "XR21/a_n285_n4632#" 285.267
+cap "XR21/a_n415_n4762#" "XC2/c1_n2050_n3000#" 33.9545
+cap "XR21/a_n285_n4632#" "XC2/c1_n2050_n3000#" -4.54747e-13
+cap "XC2/c1_n2050_n3000#" "XR21/a_n415_n4762#" 39.0789
+cap "XR21/a_n415_n4762#" "XR21/a_n285_n4632#" 139.351
+cap "XC2/c1_n2050_n3000#" "XR21/a_n415_n4762#" 39.0789
+cap "XC2/m3_n2150_n3100#" "XR21/a_n415_n4762#" 139.351
+cap "XC2/m3_n2150_n3100#" "XR21/a_n415_n4762#" 139.351
+cap "IN" "XR21/a_n415_n4762#" 39.0789
+cap "XC2/m3_n2150_n3100#" "XM41/a_n707_n274#" 59.7221
+cap "XM41/a_n707_n274#" "XM41/a_111_122#" 23.9807
+cap "XM41/a_111_122#" "XM41/a_n707_n274#" 8.90146
+cap "XM41/a_111_122#" "XC2/m3_n2150_n3100#" 388.263
+cap "XM41/a_n605_n100#" "XM41/a_n561_n188#" 3.13394
+cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" 6.98594
+cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -195.755
+cap "XM41/a_n561_n188#" "XM41/a_n707_n274#" 68.755
+cap "XM41/a_n605_n100#" "XM41/a_n707_n274#" 8.29221
+cap "XR21/a_n285_4200#" "XM41/a_n707_n274#" 53.6081
+cap "XM41/a_n707_n274#" "XR21/a_n285_4200#" 0.90689
+cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" -16.439
+cap "XM41/a_n561_n188#" "XM41/a_n707_n274#" 106.282
+cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -105.761
+cap "XM41/a_n707_n274#" "XM41/a_n605_n100#" 38.5923
+cap "XM41/a_n561_n188#" "XM41/a_n605_n100#" 13.9966
+cap "XM41/a_n605_n100#" "XM41/a_n561_n188#" -0.464983
+cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" 75.5579
+cap "XM41/a_n605_n100#" "XR21/a_n285_4200#" -466.31
+cap "XM41/a_n707_n274#" "XM41/a_n561_n188#" 51.4399
+cap "XM41/a_n707_n274#" "XM41/a_n605_n100#" 30.4971
+cap "XM41/a_n707_n274#" "XR21/a_n285_4200#" 181.523
+cap "XM41/a_n707_n274#" "XM41/a_n605_n100#" 70.6514
+cap "XM41/a_n561_n188#" "XR21/a_n285_4200#" 2.20407
+cap "XM41/a_n707_n274#" "XR21/a_n285_4200#" 15.8323
+cap "XM41/a_n707_n274#" "XM41/a_n561_n188#" 90.4884
+cap "XR21/a_n285_4200#" "XM41/a_n605_n100#" -255.728
+cap "XM41/a_n561_n188#" "XM41/a_n605_n100#" 41.0737
+merge "XM41/a_n81_122#" "XM41/a_n177_n188#" -1024.53 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -32016 -2496 0 0 0 0 -152400 -1562 0 0 0 0
 merge "XM41/a_n177_n188#" "XM41/a_n273_122#"
 merge "XM41/a_n273_122#" "XM41/a_n369_n188#"
 merge "XM41/a_n369_n188#" "XM41/a_n465_122#"
@@ -60,21 +74,21 @@
 merge "XM41/a_111_122#" "XM41/a_15_n188#"
 merge "XM41/a_15_n188#" "XC2/c1_n2050_n3000#"
 merge "XC2/c1_n2050_n3000#" "IN"
-merge "XM41/a_n707_n274#" "li_6430_9730#" 432.966 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 818628 -580 0 0 0 0 0 0 0 0 0 0 0 0
+merge "XM41/a_n707_n274#" "li_6430_9730#" -600.899 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5236 -580 0 0 0 0 0 0 0 0 0 0 0 0
 merge "li_6430_9730#" "XC2/VSUBS"
 merge "XC2/VSUBS" "SUB"
 merge "SUB" "XR21/a_n415_n4762#"
 merge "XR21/a_n415_n4762#" "VSUBS"
-merge "XC2/m3_n2150_n3100#" "XR21/a_n285_n4632#" -3053.37 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -95734 -1942 0 0 -7982400 -1160 0 0 0 0 0 0
+merge "XC2/m3_n2150_n3100#" "XR21/a_n285_n4632#" -1158.95 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -229858 -1942 0 0 -31200 -1160 0 0 0 0 0 0
 merge "XR21/a_n285_n4632#" "GND"
-merge "XM41/a_n33_n100#" "XM41/a_n225_n100#" 6770.69 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11813060 -3444 0 0 0 0 0 0 0 0 0 0
+merge "XM41/a_n33_n100#" "XM41/a_n225_n100#" -325.196 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -63920 -3444 0 0 0 0 0 0 0 0 0 0
 merge "XM41/a_n225_n100#" "XM41/a_n417_n100#"
 merge "XM41/a_n417_n100#" "XM41/a_n605_n100#"
 merge "XM41/a_n605_n100#" "XM41/a_543_n100#"
 merge "XM41/a_543_n100#" "XM41/a_351_n100#"
 merge "XM41/a_351_n100#" "XM41/a_159_n100#"
 merge "XM41/a_159_n100#" "VDD"
-merge "XM41/a_n129_n100#" "XM41/a_n321_n100#" 8103.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14337168 -4894 0 0 0 0 0 0 0 0 0 0
+merge "XM41/a_n129_n100#" "XM41/a_n321_n100#" -944.889 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -284818 -4894 0 0 0 0 0 0 0 0 0 0
 merge "XM41/a_n321_n100#" "XM41/a_n513_n100#"
 merge "XM41/a_n513_n100#" "XR21/a_n285_4200#"
 merge "XR21/a_n285_4200#" "XM41/a_447_n100#"
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 1036243..d929952 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -48,7 +48,7 @@
 X0 c2_n451_n200# m4_n551_n300# sky130_fd_pr__cap_mim_m3_2 l=2e+06u w=2e+06u
 .ends
 
-.subckt cap_bank ctrll1 ctrll2 ctrll3 ctrll4 ctrll5 IN GND
+.subckt cap_bank ctrll5 ctrll4 ctrll2 ctrll3 ctrll1 IN GND
 XXM1 m1_3910_n1320# ctrll1 GND GND sky130_fd_pr__nfet_01v8_lvt_648S5X
 XXM2 GND ctrll2 m1_4820_n1420# GND sky130_fd_pr__nfet_01v8_lvt_648S5X
 XXM3 ctrll3 GND GND ctrll3 GND m1_4820_n890# sky130_fd_pr__nfet_01v8_lvt_DJ7QE5
@@ -63,11 +63,11 @@
 .ends
 
 .subckt sky130_fd_pr__res_high_po_2p85_P79JE3 a_n285_n1192# a_n285_760# a_n415_n1322#
-X0 a_n285_n1192# a_n285_760# a_n415_n1322# sky130_fd_pr__res_high_po w=2.85e+06u l=7.6e+06u
+X0 a_n285_n1192# a_n285_760# a_n415_n1322# sky130_fd_pr__res_high_po_2p85 l=7.6e+06u
 .ends
 
 .subckt sky130_fd_pr__res_high_po_5p73_W59YBA a_n573_1640# a_n573_n2072# a_n703_n2202#
-X0 a_n573_n2072# a_n573_1640# a_n703_n2202# sky130_fd_pr__res_high_po w=5.73e+06u l=1.64e+07u
+X0 a_n573_n2072# a_n573_1640# a_n703_n2202# sky130_fd_pr__res_high_po_5p73 l=1.64e+07u
 .ends
 
 .subckt sky130_fd_pr__nfet_01v8_lvt_YTLFGX a_543_n100# a_159_n100# a_n609_n100# a_n705_n100#
@@ -185,7 +185,7 @@
 X3 a_n129_n100# a_n177_n188# a_n221_n100# a_n323_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.1e+11p ps=2.62e+06u w=1e+06u l=150000u
 .ends
 
-.subckt output_buffer BIAS INB INA GND VDD OUTA OUTB SUB
+.subckt output_buffer INB INA VDD BIAS OUTB GND OUTA SUB
 XXR1 VDD m1_12140_1165# SUB sky130_fd_pr__res_high_po_2p85_P79JE3
 XXR2 VDD m1_12140_n1090# SUB sky130_fd_pr__res_high_po_2p85_P79JE3
 XXR3 VDD OUTB SUB sky130_fd_pr__res_high_po_5p73_W59YBA
@@ -309,7 +309,7 @@
 .ends
 
 .subckt sky130_fd_pr__res_high_po_2p85_MM89SS a_n285_n1572# a_n415_n1702# a_n285_1140#
-X0 a_n285_n1572# a_n285_1140# a_n415_n1702# sky130_fd_pr__res_high_po w=2.85e+06u l=1.14e+07u
+X0 a_n285_n1572# a_n285_1140# a_n415_n1702# sky130_fd_pr__res_high_po_2p85 l=1.14e+07u
 .ends
 
 .subckt sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4 a_483_n100# a_541_n197# a_157_n197# a_99_n100#
@@ -328,7 +328,7 @@
 X9 a_n29_n100# a_n99_n197# a_n157_n100# w_n807_n319# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=350000u
 .ends
 
-.subckt bias_calc AMP VOP BIAS2V VCTRL BIASOUT GND VDD PSUB SUB
+.subckt bias_calc AMP VCTRL PSUB BIASOUT VOP VDD BIAS2V GND SUB
 XXM36 m1_17310_5240# BIAS2V BIAS2V VDD m1_17310_5240# BIAS2V m1_17310_5240# VDD BIAS2V
 + m1_17310_5240# PSUB m1_17310_5240# m1_17310_5240# BIAS2V BIAS2V BIAS2V BIAS2V m1_17310_5240#
 + VDD VDD m1_17310_5240# BIAS2V VDD BIAS2V VDD BIAS2V VDD BIAS2V BIAS2V VDD BIAS2V
@@ -391,31 +391,31 @@
 X2 a_35_n64# a_n35_n161# a_n93_n64# w_n359_n284# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=350000u
 .ends
 
-.subckt core_osc_amp INA INB BIAS VDD GND OUTA OUTB SUB
+.subckt core_osc_amp INB INA VDD BIAS GND OUTB OUTA SUB
 XXM1 m1_3550_1144# m1_3550_1144# m1_3550_1144# GND GND m1_3550_1144# m1_3550_1144#
 + m1_3550_1144# GND GND m1_3550_1144# GND m1_3550_1144# BIAS m1_3550_1144# SUB GND
 + GND m1_3550_1144# m1_3550_1144# GND GND GND sky130_fd_pr__nfet_01v8_lvt_YTLFGX
 XXM2 OUTA SUB m1_3550_1144# OUTA m1_3550_1144# OUTA INA INA sky130_fd_pr__nfet_01v8_lvt_HNLS5R
-XXR16 VDD OUTA SUB sky130_fd_pr__res_high_po_2p85_P79JE3
 XXM3 OUTB SUB m1_3550_1144# OUTB m1_3550_1144# OUTB INB INB sky130_fd_pr__nfet_01v8_lvt_HNLS5R
-XXR17 VDD OUTB SUB sky130_fd_pr__res_high_po_2p85_P79JE3
+XXR16 VDD OUTA SUB sky130_fd_pr__res_high_po_2p85_P79JE3
 XXM4 m1_3550_1144# m1_3550_1144# m1_3550_1144# GND GND m1_3550_1144# m1_3550_1144#
 + m1_3550_1144# GND GND m1_3550_1144# GND m1_3550_1144# BIAS m1_3550_1144# SUB GND
 + GND m1_3550_1144# m1_3550_1144# GND GND GND sky130_fd_pr__nfet_01v8_lvt_YTLFGX
+XXR17 VDD OUTB SUB sky130_fd_pr__res_high_po_2p85_P79JE3
 .ends
 
-.subckt core_osc S4A S1B S1A S3B S3A S4B BIAS VDD GND S2B S2A SUB
-XX4 S3A S3B BIAS VDD GND S4A S4B SUB core_osc_amp
-XX1 S4B S4A BIAS VDD GND S1A S1B SUB core_osc_amp
-XX2 S1A S1B BIAS VDD GND S2A S2B SUB core_osc_amp
-XX3 S2A S2B BIAS VDD GND S3A S3B SUB core_osc_amp
+.subckt core_osc VDD GND S1B S1A S3A S3B S4B S4A BIAS S2B S2A SUB
+XX4 S3B S3A VDD BIAS GND S4B S4A SUB core_osc_amp
+XX1 S4A S4B VDD BIAS GND S1B S1A SUB core_osc_amp
+XX2 S1B S1A VDD BIAS GND S2B S2A SUB core_osc_amp
+XX3 S2B S2A VDD BIAS GND S3B S3A SUB core_osc_amp
 .ends
 
 .subckt sky130_fd_pr__res_high_po_5p73_YZEQ6M a_n573_n3472# a_n703_n3602# a_n573_3040#
-X0 a_n573_n3472# a_n573_3040# a_n703_n3602# sky130_fd_pr__res_high_po w=5.73e+06u l=3.04e+07u
+X0 a_n573_n3472# a_n573_3040# a_n703_n3602# sky130_fd_pr__res_high_po_5p73 l=3.04e+07u
 .ends
 
-.subckt buffer_amp INA INB BIAS GND VDD OUTB OUTA SUB
+.subckt buffer_amp INB INA VDD BIAS OUTB GND OUTA SUB
 XXR1 OUTB SUB VDD sky130_fd_pr__res_high_po_5p73_YZEQ6M
 XXR2 OUTA SUB VDD sky130_fd_pr__res_high_po_5p73_YZEQ6M
 XXM1 m1_6810_1630# BIAS BIAS BIAS GND SUB m1_6810_1630# m1_6810_1630# BIAS GND BIAS
@@ -427,13 +427,22 @@
 .ends
 
 .subckt sky130_fd_pr__res_high_po_2p85_MXEQGY a_n285_4200# a_n285_n4632# a_n415_n4762#
-X0 a_n285_n4632# a_n285_4200# a_n415_n4762# sky130_fd_pr__res_high_po w=2.85e+06u l=4.2e+07u
+X0 a_n285_n4632# a_n285_4200# a_n415_n4762# sky130_fd_pr__res_high_po_2p85 l=4.2e+07u
 .ends
 
 .subckt sky130_fd_pr__cap_mim_m3_1_4RCNTW c1_n2050_n3000# m3_n2150_n3100#
 X0 c1_n2050_n3000# m3_n2150_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=2e+07u
 .ends
 
+.subckt amp_dec AMP IN4 IN3 IN2 IN1 VDD GND SUB
+XXM25 AMP SUB VDD AMP VDD AMP IN3 IN3 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
+XXM26 AMP SUB VDD AMP VDD AMP IN4 IN4 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
+XXM27 AMP SUB VDD AMP VDD AMP IN2 IN2 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
+XXM28 AMP SUB VDD AMP VDD AMP IN1 IN1 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
+XXR18 AMP GND SUB sky130_fd_pr__res_high_po_2p85_MXEQGY
+XXC1 AMP GND sky130_fd_pr__cap_mim_m3_1_4RCNTW
+.ends
+
 .subckt sky130_fd_pr__nfet_01v8_lvt_6BNFGK a_543_n100# a_159_n100# a_n273_122# a_255_n100#
 + a_351_n100# a_n417_n100# a_n465_122# a_n129_n100# a_n513_n100# a_399_n188# a_63_n100#
 + a_n225_n100# a_495_122# a_111_122# a_n321_n100# a_207_n188# a_n369_n188# a_n33_n100#
@@ -453,61 +462,52 @@
 X11 a_n129_n100# a_n177_n188# a_n225_n100# a_n707_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 .ends
 
-.subckt vop_dec GND VOP VDD IN SUB
+.subckt vop_dec VOP VDD IN GND SUB
 XXR21 VOP GND SUB sky130_fd_pr__res_high_po_2p85_MXEQGY
 XXC2 IN GND sky130_fd_pr__cap_mim_m3_1_4RCNTW
 XXM41 VDD VDD IN VOP VDD VDD IN VOP VOP IN VOP VDD IN IN VOP IN IN VDD SUB IN VDD
 + VOP IN IN IN IN sky130_fd_pr__nfet_01v8_lvt_6BNFGK
 .ends
 
-.subckt amp_dec IN1 IN2 IN3 IN4 GND VDD AMP SUB
-XXM25 AMP SUB VDD AMP VDD AMP IN3 IN3 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
-XXM26 AMP SUB VDD AMP VDD AMP IN4 IN4 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
-XXM27 AMP SUB VDD AMP VDD AMP IN2 IN2 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
-XXM28 AMP SUB VDD AMP VDD AMP IN1 IN1 sky130_fd_pr__nfet_01v8_lvt_HNLS5R
-XXR18 AMP GND SUB sky130_fd_pr__res_high_po_2p85_MXEQGY
-XXC1 AMP GND sky130_fd_pr__cap_mim_m3_1_4RCNTW
+.subckt buffer_amp_vop I1A I1B AMP I3B I3A I4B I4A OUT0 I2B I2A VOP OUT180 BIAS GND
++ VDD SUB
+XX4 I4B I4A VDD BIAS X6/IN GND X6/IN SUB buffer_amp
+XX5 AMP OUT270 OUT90 OUT0 OUT180 VDD GND SUB amp_dec
+XX6 VOP VDD X6/IN GND SUB vop_dec
+XX1 I1B I1A VDD BIAS OUT180 GND OUT0 SUB buffer_amp
+XX2 I2B I2A VDD BIAS X6/IN GND X6/IN SUB buffer_amp
+XX3 I3B I3A VDD BIAS OUT270 GND OUT90 SUB buffer_amp
 .ends
 
-.subckt buffer_amp_vop BIAS VOP I4B I4A I1B I1A I3B I3A I2A GND OUT180 OUT0 OUT270
-+ OUT90 AMP I2B VDD SUB
-XX4 I4A I4B BIAS GND VDD X6/IN X6/IN SUB buffer_amp
-XX6 GND VOP VDD X6/IN SUB vop_dec
-XX5 OUT180 OUT0 OUT90 OUT270 GND VDD AMP SUB amp_dec
-XX1 I1A I1B BIAS GND VDD OUT180 OUT0 SUB buffer_amp
-XX2 I2A I2B BIAS GND VDD X6/IN X6/IN SUB buffer_amp
-XX3 I3A I3B BIAS GND VDD OUT270 OUT90 SUB buffer_amp
-.ends
-
-.subckt VCO bias_calc_0/BIAS2V CTRL5 CTRL4 VDD CTRL3 bias_calc_0/VCTRL CTRL2 output_buffer_0/OUTB
-+ output_buffer_0/OUTA CTRL1 GND
-XX4 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X4/IN GND cap_bank
-XX6 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X6/IN GND cap_bank
-XX5 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X5/IN GND cap_bank
-Xoutput_buffer_0 X3/BIAS X3/OUT180 X3/OUT0 GND VDD output_buffer_0/OUTA output_buffer_0/OUTB
+.subckt VCO bias_calc_0/BIAS2V CTRL5 CTRL4 bias_calc_0/VCTRL CTRL3 VDD output_buffer_0/OUTB
++ CTRL2 output_buffer_0/OUTA CTRL1 GND
+XX4 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X4/IN GND cap_bank
+XX5 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X5/IN GND cap_bank
+XX6 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X6/IN GND cap_bank
+Xoutput_buffer_0 X3/OUT180 X3/OUT0 VDD X3/BIAS output_buffer_0/OUTB GND output_buffer_0/OUTA
 + GND output_buffer
-XX10 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X3/I4B GND cap_bank
-XX7 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X7/IN GND cap_bank
-XX11 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X3/I4A GND cap_bank
-XX8 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X8/IN GND cap_bank
-XX9 CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 X9/IN GND cap_bank
-Xbias_calc_0 X3/AMP X3/VOP bias_calc_0/BIAS2V bias_calc_0/VCTRL X3/BIAS GND VDD VDD
+XX10 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X3/I4B GND cap_bank
+XX7 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X7/IN GND cap_bank
+XX8 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X8/IN GND cap_bank
+XX11 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X3/I4A GND cap_bank
+XX9 CTRL5 CTRL4 CTRL2 CTRL3 CTRL1 X9/IN GND cap_bank
+Xbias_calc_0 X3/AMP bias_calc_0/VCTRL VDD X3/BIAS X3/VOP VDD bias_calc_0/BIAS2V GND
 + GND bias_calc
 Xsky130_fd_pr__pfet_01v8_lvt_75KH85_0 VDD bias_calc_0/BIAS2V bias_calc_0/BIAS2V VDD
 + bias_calc_0/BIAS2V bias_calc_0/BIAS2V bias_calc_0/BIAS2V VDD sky130_fd_pr__pfet_01v8_lvt_75KH85
-XX1 X3/I4A X4/IN X5/IN X8/IN X9/IN X3/I4B X3/BIAS VDD GND X6/IN X7/IN GND core_osc
-XX3 X3/BIAS X3/VOP X3/I4B X3/I4A X4/IN X5/IN X8/IN X9/IN X7/IN GND X3/OUT180 X3/OUT0
-+ X3/OUT270 X3/OUT90 X3/AMP X6/IN VDD GND buffer_amp_vop
+XX1 VDD GND X4/IN X5/IN X9/IN X8/IN X3/I4B X3/I4A X3/BIAS X6/IN X7/IN GND core_osc
+XX3 X5/IN X4/IN X3/AMP X8/IN X9/IN X3/I4B X3/I4A X3/OUT0 X6/IN X7/IN X3/VOP X3/OUT180
++ X3/BIAS GND VDD GND buffer_amp_vop
 .ends
 
 .subckt sky130_fd_pr__res_xhigh_po_5p73_UZMRKM a_669_10600# a_n3057_n11032# a_n1815_n11032#
 + a_n3057_10600# a_n1815_10600# a_n3187_n11162# a_669_n11032# a_n573_10600# a_n573_n11032#
 + a_1911_n11032# a_1911_10600#
-X0 a_n3057_n11032# a_n3057_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po w=5.73e+06u l=1.06e+08u
-X1 a_n573_n11032# a_n573_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po w=5.73e+06u l=1.06e+08u
-X2 a_n1815_n11032# a_n1815_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po w=5.73e+06u l=1.06e+08u
-X3 a_669_n11032# a_669_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po w=5.73e+06u l=1.06e+08u
-X4 a_1911_n11032# a_1911_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po w=5.73e+06u l=1.06e+08u
+X0 a_n3057_n11032# a_n3057_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po_5p73 l=1.06e+08u
+X1 a_n573_n11032# a_n573_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po_5p73 l=1.06e+08u
+X2 a_n1815_n11032# a_n1815_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po_5p73 l=1.06e+08u
+X3 a_669_n11032# a_669_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po_5p73 l=1.06e+08u
+X4 a_1911_n11032# a_1911_10600# a_n3187_n11162# sky130_fd_pr__res_xhigh_po_5p73 l=1.06e+08u
 .ends
 
 .subckt XM_Rref sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_1911_n11032# sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0/a_n3057_10600#
@@ -518,6 +518,10 @@
 + m1_3616_20636# sky130_fd_pr__res_xhigh_po_5p73_UZMRKM
 .ends
 
+.subckt sky130_fd_pr__res_high_po_1p41_S8KB58 a_n141_n4671# a_n141_4239# a_n271_n4801#
+X0 a_n141_n4671# a_n141_4239# a_n271_n4801# sky130_fd_pr__res_high_po_1p41 l=4.239e+07u
+.ends
+
 .subckt sky130_fd_pr__pfet_01v8_TSNZVH a_50_n364# w_n246_n584# a_n108_n364# a_n50_n461#
 X0 a_50_n364# a_n50_n461# a_n108_n364# w_n246_n584# sky130_fd_pr__pfet_01v8 ad=1.16e+12p pd=8.58e+06u as=1.16e+12p ps=8.58e+06u w=4e+06u l=500000u
 .ends
@@ -527,7 +531,7 @@
 .ends
 
 .subckt sky130_fd_pr__res_high_po_1p41_2TBR6S a_n141_n2032# a_n141_1600# a_n271_n2162#
-X0 a_n141_n2032# a_n141_1600# a_n271_n2162# sky130_fd_pr__res_high_po w=1.41e+06u l=1.6e+07u
+X0 a_n141_n2032# a_n141_1600# a_n271_n2162# sky130_fd_pr__res_high_po_1p41 l=1.6e+07u
 .ends
 
 .subckt sky130_fd_pr__cap_mim_m3_1_Y9W37A m3_n2450_n680# c1_n2350_n580#
@@ -549,10 +553,6 @@
 + sky130_fd_pr__cap_mim_m3_1_Y9W37A
 .ends
 
-.subckt sky130_fd_pr__res_high_po_1p41_S8KB58 a_n141_n4671# a_n141_4239# a_n271_n4801#
-X0 a_n141_n4671# a_n141_4239# a_n271_n4801# sky130_fd_pr__res_high_po w=1.41e+06u l=4.239e+07u
-.ends
-
 .subckt sky130_fd_pr__pfet_01v8_lvt_Q24T46 a_n416_n136# a_n616_n162# w_n812_n284#
 + a_358_n136# a_158_n162# a_100_n136# a_n674_n136# a_n158_n136# a_n358_n162# a_616_n136#
 + a_416_n162# a_n100_n162#
@@ -592,10 +592,10 @@
 + XM_current_gate_3/m1_30_n420# XM_current_gate_8/li_818_316# XM_current_gate_4/m1_30_n420#
 + XM_current_gate_1/m1_30_n420# XM_current_gate_0/m1_30_260# XM_current_gate_3/m1_30_260#
 + XM_current_gate_6/m1_30_260# XM_current_gate_7/m1_94_n180#
-XXM_current_gate_1 XM_current_gate_1/m1_30_n420# XM_current_gate_1/m1_94_n180# XM_current_gate_8/li_818_316#
-+ XM_current_gate_1/m1_30_260# XM_current_gate
 XXM_current_gate_0 XM_current_gate_0/m1_30_n420# XM_current_gate_0/m1_94_n180# XM_current_gate_8/li_818_316#
 + XM_current_gate_0/m1_30_260# XM_current_gate
+XXM_current_gate_1 XM_current_gate_1/m1_30_n420# XM_current_gate_1/m1_94_n180# XM_current_gate_8/li_818_316#
++ XM_current_gate_1/m1_30_260# XM_current_gate
 XXM_current_gate_2 XM_current_gate_2/m1_30_n420# XM_current_gate_2/m1_94_n180# XM_current_gate_8/li_818_316#
 + XM_current_gate_2/m1_30_260# XM_current_gate
 XXM_current_gate_3 XM_current_gate_3/m1_30_n420# XM_current_gate_3/m1_94_n180# XM_current_gate_8/li_818_316#
@@ -689,7 +689,7 @@
 X55 a_n803_n1160# a_n1003_n1257# a_n1061_n1160# w_n1097_n1260# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
 .ends
 
-.subckt XM_feedbackmir B m1_30_180# m1_290_100# m1_290_460# m1_98_12#
+.subckt XM_feedbackmir m1_30_180# B m1_290_100# m1_290_460# m1_98_12#
 Xsky130_fd_pr__pfet_01v8_lvt_8URDWJ_0 m1_30_180# m1_290_460# m1_98_12# m1_290_100#
 + m1_98_12# B B m1_290_100# m1_30_180# m1_290_460# m1_98_12# m1_30_180# m1_30_180#
 + m1_30_180# m1_30_180# m1_30_180# m1_98_12# m1_30_180# m1_98_12# m1_30_180# m1_290_460#
@@ -708,86 +708,113 @@
 + B m1_290_100# m1_98_12# m1_98_12# m1_98_12# m1_98_12# m1_98_12# m1_98_12# sky130_fd_pr__pfet_01v8_lvt_8URDWJ
 .ends
 
-.subckt sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 Emitter Collector Base
-X0 Collector Base Emitter sky130_fd_pr__pnp_05v5 area=0p
+.subckt sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 Emitter Collector Base m=1
+X0 Collector Base Emitter sky130_fd_pr__pnp_05v5_W3p40L3p40
 .ends
 
 .subckt XM_bjt sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|2]/Emitter
 + VSUBS
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|0] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|1] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|2]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|3] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[3|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[4|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[5|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[6|4]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 .ends
 
 .subckt sky130_fd_pr__res_high_po_1p41_GWJZ59 a_n141_n10832# a_n271_n10962# a_n141_10400#
-X0 a_n141_n10832# a_n141_10400# a_n271_n10962# sky130_fd_pr__res_high_po w=1.41e+06u l=1.04e+08u
+X0 a_n141_n10832# a_n141_10400# a_n271_n10962# sky130_fd_pr__res_high_po_1p41 l=1.04e+08u
+.ends
+
+.subckt sky130_fd_pr__res_high_po_1p41_6ZUZ5C a_n271_n1372# a_n141_810# a_n141_n1242#
+X0 a_n141_n1242# a_n141_810# a_n271_n1372# sky130_fd_pr__res_high_po_1p41 l=8.1e+06u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_lvt_MUAP4U a_n100_n344# a_n416_118# a_358_118# a_n416_n247#
++ a_n674_118# a_n616_n344# a_n158_118# a_n100_21# a_n358_21# a_158_21# a_358_n247#
++ w_n812_n466# a_158_n344# a_100_n247# a_n674_n247# a_n616_21# a_416_21# a_n158_n247#
++ a_616_118# a_100_118# a_616_n247# a_n358_n344# a_416_n344#
+X0 a_100_118# a_n100_21# a_n158_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X1 a_616_118# a_416_21# a_358_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X2 a_100_n247# a_n100_n344# a_n158_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X3 a_n416_n247# a_n616_n344# a_n674_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X4 a_n158_n247# a_n358_n344# a_n416_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X5 a_358_118# a_158_21# a_100_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X6 a_n416_118# a_n616_21# a_n674_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
+X7 a_n158_118# a_n358_21# a_n416_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
+X8 a_358_n247# a_158_n344# a_100_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
+X9 a_616_n247# a_416_n344# a_358_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
+.ends
+
+.subckt XM_otabias_pmos m1_143_85# m1_330_160# m1_70_320# sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/w_n812_n466#
+Xsky130_fd_pr__pfet_01v8_lvt_MUAP4U_0 m1_143_85# m1_330_160# m1_70_320# m1_330_160#
++ m1_70_320# m1_143_85# m1_70_320# m1_143_85# m1_143_85# m1_143_85# m1_70_320# sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/w_n812_n466#
++ m1_143_85# m1_330_160# m1_70_320# m1_143_85# m1_143_85# m1_70_320# m1_330_160# m1_330_160#
++ m1_330_160# m1_143_85# m1_143_85# sky130_fd_pr__pfet_01v8_lvt_MUAP4U
 .ends
 
 .subckt sky130_fd_pr__pfet_01v8_lvt_9UM225 a_n932_n247# a_n100_n344# a_n416_118# a_674_21#
@@ -844,80 +871,23 @@
 + m1_100_382# m1_30_240# m1_290_100# m1_104_1108# sky130_fd_pr__pfet_01v8_lvt_9UM225
 .ends
 
-.subckt sky130_fd_pr__pfet_01v8_lvt_MUAP4U a_n100_n344# a_n416_118# a_358_118# a_n416_n247#
-+ a_n674_118# a_n616_n344# a_n158_118# a_n100_21# a_n358_21# a_158_21# a_358_n247#
-+ w_n812_n466# a_158_n344# a_100_n247# a_n674_n247# a_n616_21# a_416_21# a_n158_n247#
-+ a_616_118# a_100_118# a_616_n247# a_n358_n344# a_416_n344#
-X0 a_100_118# a_n100_21# a_n158_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
-X1 a_616_118# a_416_21# a_358_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
-X2 a_100_n247# a_n100_n344# a_n158_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
-X3 a_n416_n247# a_n616_n344# a_n674_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
-X4 a_n158_n247# a_n358_n344# a_n416_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
-X5 a_358_118# a_158_21# a_100_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
-X6 a_n416_118# a_n616_21# a_n674_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=1e+06u
-X7 a_n158_118# a_n358_21# a_n416_118# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=1e+06u
-X8 a_358_n247# a_158_n344# a_100_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
-X9 a_616_n247# a_416_n344# a_358_n247# w_n812_n466# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=0p ps=0u w=1e+06u l=1e+06u
-.ends
-
-.subckt XM_otabias_pmos m1_143_85# m1_330_160# m1_70_320# sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/w_n812_n466#
-Xsky130_fd_pr__pfet_01v8_lvt_MUAP4U_0 m1_143_85# m1_330_160# m1_70_320# m1_330_160#
-+ m1_70_320# m1_143_85# m1_70_320# m1_143_85# m1_143_85# m1_143_85# m1_70_320# sky130_fd_pr__pfet_01v8_lvt_MUAP4U_0/w_n812_n466#
-+ m1_143_85# m1_330_160# m1_70_320# m1_143_85# m1_143_85# m1_70_320# m1_330_160# m1_330_160#
-+ m1_330_160# m1_143_85# m1_143_85# sky130_fd_pr__pfet_01v8_lvt_MUAP4U
-.ends
-
-.subckt sky130_fd_pr__res_high_po_1p41_6ZUZ5C a_n271_n1372# a_n141_810# a_n141_n1242#
-X0 a_n141_n1242# a_n141_810# a_n271_n1372# sky130_fd_pr__res_high_po w=1.41e+06u l=8.1e+06u
-.ends
-
 .subckt XM_bjt_out sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|2]/Emitter VSUBS
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|0] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|0] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|0] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|1] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|1] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|1] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|2] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|0] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|0] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|0] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|1] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|1] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|1] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|2] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|2] sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|2]/Emitter
-+ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|2] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|3] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|3] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|3] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|4] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|4] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|4] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40
-.ends
-
-.subckt sky130_fd_pr__cap_mim_m3_1_EN3Q86 c1_n1650_n2140# m3_n1750_n2240#
-X0 c1_n1650_n2140# m3_n1750_n2240# sky130_fd_pr__cap_mim_m3_1 l=2.14e+07u w=1.6e+07u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_lvt_E96B6C a_29_n507# a_n287_n419# a_n229_n507# a_287_n507#
-+ a_229_n419# a_n545_n419# a_n487_n507# a_n29_n419# a_487_n419# VSUBS
-X0 a_487_n419# a_287_n507# a_229_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=1.305e+12p pd=9.58e+06u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-X1 a_n29_n419# a_n229_n507# a_n287_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=1.305e+12p pd=9.58e+06u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-X2 a_229_n419# a_29_n507# a_n29_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=1e+06u
-X3 a_n287_n419# a_n487_n507# a_n545_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_lvt_A5VCMN a_229_n481# a_29_n507# a_n545_n481# a_n229_n507#
-+ a_287_n507# a_n29_n481# a_487_n481# a_n487_n507# a_n287_n481# VSUBS
-X0 a_487_n481# a_287_n507# a_229_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=1.305e+12p pd=9.58e+06u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-X1 a_229_n481# a_29_n507# a_n29_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-X2 a_n29_n481# a_n229_n507# a_n287_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-X3 a_n287_n481# a_n487_n507# a_n545_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
-.ends
-
-.subckt XM_diffpair m1_160_200# sky130_fd_pr__nfet_01v8_lvt_E96B6C_0/VSUBS m1_30_1280#
-+ m1_30_n1060# m1_280_n670# m1_551_360#
-Xsky130_fd_pr__nfet_01v8_lvt_E96B6C_0 m1_551_360# m1_280_n670# m1_551_360# m1_160_200#
-+ m1_280_n670# m1_30_1280# m1_160_200# m1_30_n1060# m1_30_1280# sky130_fd_pr__nfet_01v8_lvt_E96B6C_0/VSUBS
-+ sky130_fd_pr__nfet_01v8_lvt_E96B6C
-Xsky130_fd_pr__nfet_01v8_lvt_A5VCMN_0 m1_280_n670# m1_160_200# m1_30_n1060# m1_160_200#
-+ m1_551_360# m1_30_1280# m1_30_n1060# m1_551_360# m1_280_n670# sky130_fd_pr__nfet_01v8_lvt_E96B6C_0/VSUBS
-+ sky130_fd_pr__nfet_01v8_lvt_A5VCMN
++ VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|2] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|3] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|3] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|3] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[0|4] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[1|4] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
+Xsky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0[2|4] VSUBS VSUBS VSUBS sky130_fd_pr__rf_pnp_05v5_W3p40L3p40 m=1
 .ends
 
 .subckt sky130_fd_pr__pfet_01v8_lvt_D74VRS a_n345_118# a_n661_n1247# a_445_118# a_977_n1344#
@@ -1016,32 +986,38 @@
 + m1_52_164# sky130_fd_pr__pfet_01v8_lvt_D74VRS
 .ends
 
+.subckt sky130_fd_pr__nfet_01v8_lvt_E96B6C a_29_n507# a_n287_n419# a_n229_n507# a_287_n507#
++ a_229_n419# a_n545_n419# a_n487_n507# a_n29_n419# a_487_n419# VSUBS
+X0 a_487_n419# a_287_n507# a_229_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=1.305e+12p pd=9.58e+06u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+X1 a_n29_n419# a_n229_n507# a_n287_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=1.305e+12p pd=9.58e+06u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+X2 a_229_n419# a_29_n507# a_n29_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=1e+06u
+X3 a_n287_n419# a_n487_n507# a_n545_n419# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_A5VCMN a_229_n481# a_29_n507# a_n545_n481# a_n229_n507#
++ a_287_n507# a_n29_n481# a_487_n481# a_n487_n507# a_n287_n481# VSUBS
+X0 a_487_n481# a_287_n507# a_229_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=1.305e+12p pd=9.58e+06u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+X1 a_229_n481# a_29_n507# a_n29_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+X2 a_n29_n481# a_n229_n507# a_n287_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+X3 a_n287_n481# a_n487_n507# a_n545_n481# VSUBS sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=1.305e+12p ps=9.58e+06u w=4.5e+06u l=1e+06u
+.ends
+
+.subckt XM_diffpair m1_160_200# sky130_fd_pr__nfet_01v8_lvt_E96B6C_0/VSUBS m1_30_1280#
++ m1_30_n1060# m1_280_n670# m1_551_360#
+Xsky130_fd_pr__nfet_01v8_lvt_E96B6C_0 m1_551_360# m1_280_n670# m1_551_360# m1_160_200#
++ m1_280_n670# m1_30_1280# m1_160_200# m1_30_n1060# m1_30_1280# sky130_fd_pr__nfet_01v8_lvt_E96B6C_0/VSUBS
++ sky130_fd_pr__nfet_01v8_lvt_E96B6C
+Xsky130_fd_pr__nfet_01v8_lvt_A5VCMN_0 m1_280_n670# m1_160_200# m1_30_n1060# m1_160_200#
++ m1_551_360# m1_30_1280# m1_30_n1060# m1_551_360# m1_280_n670# sky130_fd_pr__nfet_01v8_lvt_E96B6C_0/VSUBS
++ sky130_fd_pr__nfet_01v8_lvt_A5VCMN
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_EN3Q86 c1_n1650_n2140# m3_n1750_n2240#
+X0 c1_n1650_n2140# m3_n1750_n2240# sky130_fd_pr__cap_mim_m3_1 l=2.14e+07u w=1.6e+07u
+.ends
+
 .subckt sky130_fd_pr__res_high_po_2p85_7J2RPB a_n285_n1642# a_n415_n1772# a_n285_1210#
-X0 a_n285_n1642# a_n285_1210# a_n415_n1772# sky130_fd_pr__res_high_po w=2.85e+06u l=1.21e+07u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_lvt_7MFZYU a_n429_299# a_29_299# a_n487_n725# a_429_387#
-+ a_429_n1281# a_n29_n725# a_n487_943# a_n429_n813# a_429_n725# a_n487_n169# a_29_n813#
-+ a_n29_943# a_n589_n1455# a_29_n1369# a_n29_n1281# a_n29_n169# a_n487_387# a_n429_n257#
-+ a_29_855# a_n429_855# a_n429_n1369# a_429_n169# a_n487_n1281# a_29_n257# a_n29_387#
-+ a_429_943#
-X0 a_429_n169# a_29_n257# a_n29_n169# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X1 a_429_n725# a_29_n813# a_n29_n725# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X2 a_n29_n1281# a_n429_n1369# a_n487_n1281# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X3 a_429_387# a_29_299# a_n29_387# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X4 a_429_943# a_29_855# a_n29_943# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X5 a_429_n1281# a_29_n1369# a_n29_n1281# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=2e+06u
-X6 a_n29_n169# a_n429_n257# a_n487_n169# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X7 a_n29_n725# a_n429_n813# a_n487_n725# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X8 a_n29_943# a_n429_855# a_n487_943# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-X9 a_n29_387# a_n429_299# a_n487_387# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
-.ends
-
-.subckt XM_tail VSUBS m1_530_330# m1_780_80#
-Xsky130_fd_pr__nfet_01v8_lvt_7MFZYU_0 m1_780_80# m1_780_80# VSUBS VSUBS VSUBS m1_530_330#
-+ VSUBS m1_780_80# VSUBS VSUBS m1_780_80# m1_530_330# VSUBS m1_780_80# m1_530_330#
-+ m1_530_330# VSUBS m1_780_80# m1_780_80# m1_780_80# m1_780_80# VSUBS VSUBS m1_780_80#
-+ m1_530_330# VSUBS sky130_fd_pr__nfet_01v8_lvt_7MFZYU
+X0 a_n285_n1642# a_n285_1210# a_n415_n1772# sky130_fd_pr__res_high_po_2p85 l=1.21e+07u
 .ends
 
 .subckt sky130_fd_pr__nfet_01v8_lvt_USQY94 a_n1174_n1403# a_658_109# a_n716_n1403#
@@ -1084,6 +1060,30 @@
 + m1_985_79# m1_522_2926# m1_985_79# m1_520_2170# m1_985_79# sky130_fd_pr__nfet_01v8_lvt_USQY94
 .ends
 
+.subckt sky130_fd_pr__nfet_01v8_lvt_7MFZYU a_n429_299# a_29_299# a_n487_n725# a_429_387#
++ a_429_n1281# a_n29_n725# a_n487_943# a_n429_n813# a_429_n725# a_n487_n169# a_29_n813#
++ a_n29_943# a_n589_n1455# a_29_n1369# a_n29_n1281# a_n29_n169# a_n487_387# a_n429_n257#
++ a_29_855# a_n429_855# a_n429_n1369# a_429_n169# a_n487_n1281# a_29_n257# a_n29_387#
++ a_429_943#
+X0 a_429_n169# a_29_n257# a_n29_n169# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X1 a_429_n725# a_29_n813# a_n29_n725# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X2 a_n29_n1281# a_n429_n1369# a_n487_n1281# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X3 a_429_387# a_29_299# a_n29_387# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X4 a_429_943# a_29_855# a_n29_943# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X5 a_429_n1281# a_29_n1369# a_n29_n1281# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=2e+06u
+X6 a_n29_n169# a_n429_n257# a_n487_n169# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X7 a_n29_n725# a_n429_n813# a_n487_n725# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X8 a_n29_943# a_n429_855# a_n487_943# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+X9 a_n29_387# a_n429_299# a_n487_387# a_n589_n1455# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=2e+06u
+.ends
+
+.subckt XM_tail m1_530_330# m1_780_80# VSUBS
+Xsky130_fd_pr__nfet_01v8_lvt_7MFZYU_0 m1_780_80# m1_780_80# VSUBS VSUBS VSUBS m1_530_330#
++ VSUBS m1_780_80# VSUBS VSUBS m1_780_80# m1_530_330# VSUBS m1_780_80# m1_530_330#
++ m1_530_330# VSUBS m1_780_80# m1_780_80# m1_780_80# m1_780_80# VSUBS VSUBS m1_780_80#
++ m1_530_330# VSUBS sky130_fd_pr__nfet_01v8_lvt_7MFZYU
+.ends
+
 .subckt sky130_fd_pr__pfet_01v8_lvt_MBDTEX a_745_n236# a_545_n262# a_1777_n236# a_1577_n262#
 + a_229_n236# a_n1577_n236# a_2035_n236# a_n1777_n262# a_29_n262# w_n2129_n298# a_n545_n236#
 + a_n745_n262# a_1003_n236# a_803_n262# a_n2035_n262# a_1835_n262# a_n29_n236# a_n229_n262#
@@ -1147,19 +1147,18 @@
 + m1_70_n360# m1_70_n360# sky130_fd_pr__pfet_01v8_lvt_B64SAM
 .ends
 
-.subckt opamp_realcomp3_usefinger bias_0p7 out first_stage_out ppair_gate in_n in_p
-+ vdd vss
-Xsky130_fd_pr__cap_mim_m3_1_EN3Q86_0 first_stage_out m1_6290_1100# sky130_fd_pr__cap_mim_m3_1_EN3Q86
-XXM_diffpair_0 in_p vss first_stage_out ppair_gate m2_n4080_2260# in_n XM_diffpair
+.subckt opamp_realcomp3_usefinger in_n in_p out bias_0p7 vdd vss
 XXM_cs_0 vdd out first_stage_out XM_cs
+XXM_diffpair_0 in_p vss first_stage_out ppair_gate m2_n4080_2260# in_n XM_diffpair
+Xsky130_fd_pr__cap_mim_m3_1_EN3Q86_0 first_stage_out m1_6290_1100# sky130_fd_pr__cap_mim_m3_1_EN3Q86
 Xsky130_fd_pr__res_high_po_2p85_7J2RPB_0 out vss m1_6290_1100# sky130_fd_pr__res_high_po_2p85_7J2RPB
-XXM_tail_0 vss m2_n4080_2260# bias_0p7 XM_tail
 XXM_actload2_0 bias_0p7 out out vss out out vss XM_actload2
+XXM_tail_0 m2_n4080_2260# bias_0p7 vss XM_tail
 XXM_ppair_0 vdd first_stage_out ppair_gate XM_ppair
 .ends
 
 .subckt sky130_fd_pr__res_high_po_1p41_HX7ZEK a_n271_n5312# a_n141_n5182# a_n141_4750#
-X0 a_n141_n5182# a_n141_4750# a_n271_n5312# sky130_fd_pr__res_high_po w=1.41e+06u l=4.75e+07u
+X0 a_n141_n5182# a_n141_4750# a_n271_n5312# sky130_fd_pr__res_high_po_1p41 l=4.75e+07u
 .ends
 
 .subckt sky130_fd_pr__nfet_01v8_lvt_QA4PPD li_n560_n643# a_n458_n469# a_n400_n557#
@@ -1199,27 +1198,27 @@
 Xsky130_fd_pr__nfet_01v8_lvt_64DJ5N_1 m1_n10_n4460# m1_450_n4460# m1_n10_n4460# m1_n10_n4460#
 + m1_62_n3610# m1_450_n4460# m1_62_n3610# m1_62_n3610# m1_62_n3610# sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/VSUBS
 + sky130_fd_pr__nfet_01v8_lvt_64DJ5N
-Xsky130_fd_pr__nfet_01v8_lvt_64S6GM_1 m1_n10_n4460# m1_450_n4460# m1_62_n3610# m1_n10_n4460#
-+ m1_n10_n4460# m1_62_n3610# m1_62_n3610# m1_450_n4460# m1_62_n3610# sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/VSUBS
-+ sky130_fd_pr__nfet_01v8_lvt_64S6GM
 Xsky130_fd_pr__nfet_01v8_lvt_64S6GM_0 m1_n10_n960# m1_450_n4460# m1_62_n98# m1_n10_n960#
 + m1_n10_n960# m1_62_n98# m1_62_n98# m1_450_n4460# m1_62_n98# sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/VSUBS
 + sky130_fd_pr__nfet_01v8_lvt_64S6GM
+Xsky130_fd_pr__nfet_01v8_lvt_64S6GM_1 m1_n10_n4460# m1_450_n4460# m1_62_n3610# m1_n10_n4460#
++ m1_n10_n4460# m1_62_n3610# m1_62_n3610# m1_450_n4460# m1_62_n3610# sky130_fd_pr__nfet_01v8_lvt_64S6GM_1/VSUBS
++ sky130_fd_pr__nfet_01v8_lvt_64S6GM
 .ends
 
-.subckt XM_output_mirr_combined XM_output_mirr_7/m1_62_n98# XM_output_mirr_7/m1_450_n4460#
+.subckt XM_output_mirr_combined XM_output_mirr_7/m1_450_n4460# XM_output_mirr_7/m1_62_n98#
 + XM_output_mirr_2/m1_n10_n4460# XM_output_mirr_2/m1_62_n98# XM_output_mirr_2/m1_n10_n960#
-+ XM_output_mirr_7/m1_62_n3610# XM_output_mirr_4/m1_n10_n960# XM_output_mirr_2/m1_450_n4460#
-+ XM_output_mirr_4/m1_62_n98# XM_output_mirr_2/m1_62_n3610# XM_output_mirr_5/m1_62_n3610#
-+ XM_output_mirr_0/m1_450_n4460# XM_output_mirr_5/m1_62_n98# XM_output_mirr_0/m1_62_n98#
-+ XM_output_mirr_6/m1_62_n3610# XM_output_mirr_5/m1_n10_n4460# XM_output_mirr_3/m1_n10_n4460#
++ XM_output_mirr_4/m1_n10_n960# XM_output_mirr_7/m1_62_n3610# XM_output_mirr_2/m1_450_n4460#
++ XM_output_mirr_4/m1_62_n98# XM_output_mirr_2/m1_62_n3610# XM_output_mirr_0/m1_450_n4460#
++ XM_output_mirr_5/m1_62_n98# XM_output_mirr_0/m1_62_n98# XM_output_mirr_6/m1_62_n3610#
++ XM_output_mirr_5/m1_n10_n4460# XM_output_mirr_3/m1_n10_n4460# XM_output_mirr_5/m1_62_n3610#
 + XM_output_mirr_6/m1_450_n4460# XM_output_mirr_3/m1_450_n4460# XM_output_mirr_4/m1_450_n4460#
-+ XM_output_mirr_7/m1_n10_n960# XM_output_mirr_3/m1_62_n98# XM_output_mirr_6/m1_n10_n4460#
-+ XM_output_mirr_5/m1_450_n4460# XM_output_mirr_1/m1_450_n4460# XM_output_mirr_1/m1_n10_n960#
-+ XM_output_mirr_3/m1_62_n3610# XM_output_mirr_6/m1_n10_n960# XM_output_mirr_5/m1_n10_n960#
-+ XM_output_mirr_7/m1_n10_n4460# XM_output_mirr_1/m1_n10_n4460# XM_output_mirr_6/m1_62_n98#
-+ XM_output_mirr_1/m1_62_n98# XM_output_mirr_4/m1_62_n3610# XM_output_mirr_4/m1_n10_n4460#
-+ XM_output_mirr_0/m1_n10_n960# XM_output_mirr_0/m1_n10_n4460# XM_output_mirr_0/m1_62_n3610#
++ XM_output_mirr_7/m1_n10_n960# XM_output_mirr_0/m1_62_n3610# XM_output_mirr_3/m1_62_n98#
++ XM_output_mirr_6/m1_n10_n4460# XM_output_mirr_5/m1_450_n4460# XM_output_mirr_1/m1_450_n4460#
++ XM_output_mirr_1/m1_n10_n960# XM_output_mirr_6/m1_62_n98# XM_output_mirr_3/m1_62_n3610#
++ XM_output_mirr_6/m1_n10_n960# XM_output_mirr_5/m1_n10_n960# XM_output_mirr_7/m1_n10_n4460#
++ XM_output_mirr_1/m1_n10_n4460# XM_output_mirr_1/m1_62_n98# XM_output_mirr_4/m1_62_n3610#
++ XM_output_mirr_4/m1_n10_n4460# XM_output_mirr_0/m1_n10_n960# XM_output_mirr_0/m1_n10_n4460#
 + XM_output_mirr_1/m1_62_n3610# VSUBS XM_output_mirr_3/m1_n10_n960#
 XXM_output_mirr_0 VSUBS XM_output_mirr_0/m1_62_n98# XM_output_mirr_0/m1_62_n3610#
 + XM_output_mirr_0/m1_n10_n960# XM_output_mirr_0/m1_n10_n4460# XM_output_mirr_0/m1_450_n4460#
@@ -1252,23 +1251,23 @@
 + XM_output_mirr_combined_0/XM_output_mirr_7/m1_n10_n960# XM_output_mirr_combined_0/XM_output_mirr_2/m1_n10_n960#
 + m2_300_360# XM_output_mirr_combined_0/XM_output_mirr_6/m1_n10_n960# XM_output_mirr_combined_0/XM_output_mirr_1/m1_n10_n960#
 + VSUBS
-XXM_output_mirr_combined_0 m1_300_5420# XM_output_mirr_combined_0/XM_output_mirr_7/m1_450_n4460#
-+ m2_300_360# m1_300_5420# XM_output_mirr_combined_0/XM_output_mirr_2/m1_n10_n960#
-+ m1_740_1920# XM_output_mirr_combined_0/XM_output_mirr_4/m1_n10_n960# XM_output_mirr_combined_0/XM_output_mirr_2/m1_450_n4460#
-+ m1_300_5420# m1_740_1920# m1_740_1920# m1_740_1920# m1_300_5420# m1_300_5420# m1_740_1920#
-+ m2_300_360# m2_300_360# XM_output_mirr_combined_0/XM_output_mirr_6/m1_450_n4460#
+XXM_output_mirr_combined_0 XM_output_mirr_combined_0/XM_output_mirr_7/m1_450_n4460#
++ m1_300_5420# m2_300_360# m1_300_5420# XM_output_mirr_combined_0/XM_output_mirr_2/m1_n10_n960#
++ XM_output_mirr_combined_0/XM_output_mirr_4/m1_n10_n960# m1_740_1920# XM_output_mirr_combined_0/XM_output_mirr_2/m1_450_n4460#
++ m1_300_5420# m1_740_1920# m1_740_1920# m1_300_5420# m1_300_5420# m1_740_1920# m2_300_360#
++ m2_300_360# m1_740_1920# XM_output_mirr_combined_0/XM_output_mirr_6/m1_450_n4460#
 + XM_output_mirr_combined_0/XM_output_mirr_3/m1_450_n4460# XM_output_mirr_combined_0/XM_output_mirr_4/m1_450_n4460#
-+ XM_output_mirr_combined_0/XM_output_mirr_7/m1_n10_n960# m1_300_5420# m2_300_360#
-+ XM_output_mirr_combined_0/XM_output_mirr_5/m1_450_n4460# XM_output_mirr_combined_0/XM_output_mirr_1/m1_450_n4460#
-+ XM_output_mirr_combined_0/XM_output_mirr_1/m1_n10_n960# m1_740_1920# XM_output_mirr_combined_0/XM_output_mirr_6/m1_n10_n960#
-+ XM_output_mirr_combined_0/XM_output_mirr_5/m1_n10_n960# m2_300_360# m2_300_360#
-+ m1_300_5420# m1_300_5420# m1_740_1920# m2_300_360# m1_300_5420# m2_300_360# m1_740_1920#
++ XM_output_mirr_combined_0/XM_output_mirr_7/m1_n10_n960# m1_740_1920# m1_300_5420#
++ m2_300_360# XM_output_mirr_combined_0/XM_output_mirr_5/m1_450_n4460# XM_output_mirr_combined_0/XM_output_mirr_1/m1_450_n4460#
++ XM_output_mirr_combined_0/XM_output_mirr_1/m1_n10_n960# m1_300_5420# m1_740_1920#
++ XM_output_mirr_combined_0/XM_output_mirr_6/m1_n10_n960# XM_output_mirr_combined_0/XM_output_mirr_5/m1_n10_n960#
++ m2_300_360# m2_300_360# m1_300_5420# m1_740_1920# m2_300_360# m1_300_5420# m2_300_360#
 + m1_740_1920# VSUBS XM_output_mirr_combined_0/XM_output_mirr_3/m1_n10_n960# XM_output_mirr_combined
-XXM_output_mirr_combined_2 VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
+XXM_output_mirr_combined_1 VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
 + VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
 + VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
 + VSUBS VSUBS VSUBS XM_output_mirr_combined
-XXM_output_mirr_combined_1 VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
+XXM_output_mirr_combined_2 VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
 + VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
 + VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS VSUBS
 + VSUBS VSUBS VSUBS XM_output_mirr_combined
@@ -1298,150 +1297,1011 @@
 + VSUBS VSUBS VSUBS XM_output_mirr_combined
 .ends
 
-.subckt BGR_lvs Vota_bias1 vbneg vb vbe3 voutb2 vgate vd4 VDD vbg Iout0 Iout1 Iout2
-+ porst Iout3 Iout4 Iout5 Iout6 VSS
+.subckt BGR_lvs Iout0 Iout1 Iout2 porst vbg Iout3 Iout4 Iout5 Iout6 VDD VSS
 XXM_Rref_0 VSS vd4 VSS XM_Rref
-XXM_pdn_0 VSS vgate porst VDD VSS VSS XM_pdn
 Xsky130_fd_pr__res_high_po_1p41_S8KB58_0 vbg vbe3 VSS sky130_fd_pr__res_high_po_1p41_S8KB58
+XXM_pdn_0 VSS vgate porst VDD VSS VSS XM_pdn
 XXM_current_gate_with_dummy_0 VDD VDD VDD VDD opamp_realcomp3_usefinger_1/out VDD
 + VDD voutb2 VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD VDD vd4 VDD VDD
 + VDD VDD XM_current_gate_with_dummy
 XXM_feedbackmir_0 VDD VDD opamp_realcomp3_usefinger_0/in_n vb vgate XM_feedbackmir
 XXM_bjt_0 vbneg opamp_realcomp3_usefinger_0/in_n VSS XM_bjt
 Xsky130_fd_pr__res_high_po_1p41_GWJZ59_0 VSS VSS m1_n1770_n3060# sky130_fd_pr__res_high_po_1p41_GWJZ59
-XXM_feedbackmir2_0 vbg vgate vgate VDD vgate vgate VDD XM_feedbackmir2
-XXM_otabias_pmos_0 vgate Vota_bias1 VDD VDD XM_otabias_pmos
 Xsky130_fd_pr__res_high_po_1p41_6ZUZ5C_0 VSS vbneg vb sky130_fd_pr__res_high_po_1p41_6ZUZ5C
+XXM_otabias_pmos_0 vgate Vota_bias1 VDD VDD XM_otabias_pmos
+XXM_feedbackmir2_0 vbg vgate vgate VDD vgate vgate VDD XM_feedbackmir2
 XXM_bjt_out_0 vbe3 VSS XM_bjt_out
-Xopamp_realcomp3_usefinger_0 Vota_bias1 vgate opamp_realcomp3_usefinger_0/first_stage_out
-+ opamp_realcomp3_usefinger_0/ppair_gate opamp_realcomp3_usefinger_0/in_n vb VDD VSS
-+ opamp_realcomp3_usefinger
-Xopamp_realcomp3_usefinger_1 Vota_bias1 opamp_realcomp3_usefinger_1/out opamp_realcomp3_usefinger_1/first_stage_out
-+ opamp_realcomp3_usefinger_1/ppair_gate vbg vd4 VDD VSS opamp_realcomp3_usefinger
+Xopamp_realcomp3_usefinger_0 opamp_realcomp3_usefinger_0/in_n vb vgate Vota_bias1
++ VDD VSS opamp_realcomp3_usefinger
+Xopamp_realcomp3_usefinger_1 vbg vd4 opamp_realcomp3_usefinger_1/out Vota_bias1 VDD
++ VSS opamp_realcomp3_usefinger
 Xsky130_fd_pr__res_high_po_1p41_HX7ZEK_0 VSS m1_n1770_n3060# vd4 sky130_fd_pr__res_high_po_1p41_HX7ZEK
 XXM_otabias_nmos_0 Vota_bias1 VSS Vota_bias1 VSS VSS XM_otabias_nmos
 XXM_output_mirr_combined_with_dummy_0 Iout4 voutb2 Iout3 Iout2 Iout6 Iout1 VSS Iout5
 + Iout0 VSS XM_output_mirr_combined_with_dummy
 .ends
 
-.subckt sloci_top3 VCTRL CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 OUT0 OUT180 REF io_analog[6]
-+ BGR_lvs_0/Iout1 VCO_0/VDD m3_175894_702300# BGR_lvs_0/VDD BGR_lvs_0/vbg m3_165594_702300#
-+ BGR_lvs_0/porst GND
-XVCO_0 REF CTRL5 CTRL4 VCO_0/VDD CTRL3 VCTRL CTRL2 OUT180 OUT0 CTRL1 GND VCO
-XBGR_lvs_0 BGR_lvs_0/Vota_bias1 BGR_lvs_0/vbneg BGR_lvs_0/vb BGR_lvs_0/vbe3 BGR_lvs_0/voutb2
-+ BGR_lvs_0/vgate BGR_lvs_0/vd4 BGR_lvs_0/VDD BGR_lvs_0/vbg REF BGR_lvs_0/Iout1 BGR_lvs_0/Iout2
-+ BGR_lvs_0/porst BGR_lvs_0/Iout3 BGR_lvs_0/Iout4 BGR_lvs_0/Iout5 BGR_lvs_0/Iout6
-+ GND BGR_lvs
+.subckt sky130_fd_pr__nfet_01v8_lvt_FKGFGD a_1791_122# a_447_122# a_n1905_n100# a_n2433_122#
++ a_591_n100# a_n657_n100# a_n225_n188# a_1407_122# a_2271_n188# a_n321_122# a_207_n100#
++ a_1743_n100# a_927_n188# a_1359_n100# a_2703_n100# a_1311_n188# a_2751_122# a_2319_n100#
++ a_n2577_n100# a_n1185_n188# a_n1665_122# a_n2145_n188# a_n1617_n100# a_n3059_n274#
++ a_n753_n100# a_n369_n100# a_303_n100# a_1455_n100# a_2415_n100# a_639_122# a_1983_122#
++ a_n2673_n100# a_n2289_n100# a_n2625_122# a_n1713_n100# a_n1329_n100# a_n465_n100#
++ a_n897_122# a_n513_122# a_1551_n100# a_n33_n188# a_735_n188# a_1167_n100# a_2511_n100#
++ a_1887_n188# a_2127_n100# a_2847_n188# a_n2385_n100# a_n1857_122# a_15_n100# a_n1425_n100#
++ a_n561_n100# a_831_122# a_n177_n100# a_111_n100# a_879_n100# a_1263_n100# a_2223_n100#
++ a_n2481_n100# a_n2817_122# a_n2097_n100# a_2175_122# a_n2957_n100# a_n1521_n100#
++ a_n1137_n100# a_n1089_122# a_n273_n100# a_n705_122# a_n993_n188# a_975_n100# a_543_n188#
++ a_1695_n188# a_n609_n188# a_159_n188# a_2655_n188# a_n2193_n100# a_1023_122# a_n1233_n100#
++ a_n2049_122# a_n1953_n188# a_n1569_n188# a_n2913_n188# a_63_122# a_n2529_n188# a_687_n100#
++ a_n1281_122# a_1071_n100# a_2031_n100# a_2799_n100# a_2367_122# a_1839_n100# a_255_122#
++ a_n81_n100# a_783_n100# a_n2241_122# a_n849_n100# a_399_n100# a_n801_n188# a_351_n188#
++ a_n417_n188# a_2895_n100# a_1599_122# a_2463_n188# a_1215_122# a_2079_n188# a_1935_n100#
++ a_1503_n188# a_n1041_n100# a_1119_n188# a_n2001_n100# a_n1761_n188# a_n2769_n100#
++ a_n1377_n188# a_n2721_n188# a_n2337_n188# a_n129_122# a_n1809_n100# a_n1473_122#
++ a_n945_n100# a_495_n100# a_2559_122# a_1647_n100# a_2607_n100# a_n2865_n100#
+X0 a_n2481_n100# a_n2529_n188# a_n2577_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 a_n2385_n100# a_n2433_122# a_n2481_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X2 a_n2193_n100# a_n2241_122# a_n2289_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X3 a_n2097_n100# a_n2145_n188# a_n2193_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X4 a_n2001_n100# a_n2049_122# a_n2097_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X5 a_n2673_n100# a_n2721_n188# a_n2769_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X6 a_n2577_n100# a_n2625_122# a_n2673_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X7 a_n2289_n100# a_n2337_n188# a_n2385_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X8 a_2031_n100# a_1983_122# a_1935_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X9 a_207_n100# a_159_n188# a_111_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X10 a_303_n100# a_255_122# a_207_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X11 a_399_n100# a_351_n188# a_303_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X12 a_495_n100# a_447_122# a_399_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X13 a_591_n100# a_543_n188# a_495_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X14 a_687_n100# a_639_122# a_591_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X15 a_783_n100# a_735_n188# a_687_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X16 a_879_n100# a_831_122# a_783_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X17 a_975_n100# a_927_n188# a_879_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X18 a_n1521_n100# a_n1569_n188# a_n1617_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X19 a_n1425_n100# a_n1473_122# a_n1521_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X20 a_n1233_n100# a_n1281_122# a_n1329_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X21 a_n1137_n100# a_n1185_n188# a_n1233_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X22 a_n1041_n100# a_n1089_122# a_n1137_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X23 a_n1905_n100# a_n1953_n188# a_n2001_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X24 a_n1809_n100# a_n1857_122# a_n1905_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X25 a_n1713_n100# a_n1761_n188# a_n1809_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X26 a_n1617_n100# a_n1665_122# a_n1713_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X27 a_n1329_n100# a_n1377_n188# a_n1425_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X28 a_n561_n100# a_n609_n188# a_n657_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X29 a_1071_n100# a_1023_122# a_975_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X30 a_1263_n100# a_1215_122# a_1167_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X31 a_1551_n100# a_1503_n188# a_1455_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X32 a_n945_n100# a_n993_n188# a_n1041_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X33 a_n753_n100# a_n801_n188# a_n849_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X34 a_n657_n100# a_n705_122# a_n753_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X35 a_n465_n100# a_n513_122# a_n561_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X36 a_n369_n100# a_n417_n188# a_n465_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X37 a_1167_n100# a_1119_n188# a_1071_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X38 a_1359_n100# a_1311_n188# a_1263_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X39 a_1455_n100# a_1407_122# a_1359_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X40 a_1647_n100# a_1599_122# a_1551_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X41 a_1743_n100# a_1695_n188# a_1647_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X42 a_1935_n100# a_1887_n188# a_1839_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X43 a_n849_n100# a_n897_122# a_n945_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X44 a_1839_n100# a_1791_122# a_1743_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X45 a_15_n100# a_n33_n188# a_n81_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X46 a_n81_n100# a_n129_122# a_n177_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X47 a_111_n100# a_63_122# a_15_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X48 a_n273_n100# a_n321_122# a_n369_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X49 a_n177_n100# a_n225_n188# a_n273_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X50 a_n2865_n100# a_n2913_n188# a_n2957_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.1e+11p ps=2.62e+06u w=1e+06u l=150000u
+X51 a_n2769_n100# a_n2817_122# a_n2865_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X52 a_2127_n100# a_2079_n188# a_2031_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X53 a_2223_n100# a_2175_122# a_2127_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X54 a_2415_n100# a_2367_122# a_2319_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X55 a_2511_n100# a_2463_n188# a_2415_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X56 a_2703_n100# a_2655_n188# a_2607_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X57 a_2319_n100# a_2271_n188# a_2223_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X58 a_2607_n100# a_2559_122# a_2511_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X59 a_2799_n100# a_2751_122# a_2703_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X60 a_2895_n100# a_2847_n188# a_2799_n100# a_n3059_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.1e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
 .ends
 
-.subckt user_analog_project_wrapper io_analog[4] io_analog[5] io_analog[6] wb_clk_i
-+ wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13]
-+ wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[1] wbs_adr_i[2]
+.subckt sky130_fd_pr__res_xhigh_po_5p73_4C7XCD a_n573_n491# a_n573_59# a_n703_n621#
+X0 a_n573_n491# a_n573_59# a_n703_n621# sky130_fd_pr__res_xhigh_po_5p73 l=590000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_G3ZQK6 a_543_n100# a_7455_n100# a_n609_n100# a_159_n100#
++ a_1695_n100# a_4575_n100# a_3951_122# a_n2001_122# a_2655_n100# a_5535_n100# a_879_122#
++ a_n5793_n100# a_8175_122# a_n7281_n188# a_3615_n100# a_n3873_n100# a_n6753_n100#
++ a_6063_122# a_n2481_n188# a_n5361_n188# a_n8241_n188# a_n6369_n100# a_n7713_n100#
++ a_n273_122# a_n1953_n100# a_n3489_n100# a_n4833_n100# a_n2097_n188# a_n3441_n188#
++ a_n6321_n188# a_n1569_n100# a_n2913_n100# a_n4449_n100# a_n7329_n100# a_n1521_n188#
++ a_n3057_n188# a_n4401_n188# a_n2529_n100# a_n5409_n100# a_1839_122# a_n1137_n188#
++ a_n4017_n188# a_n7569_122# a_n5457_122# a_6591_n100# a_n3345_122# a_n705_n100# a_1791_n100#
++ a_4671_n100# a_7551_n100# a_n1233_122# a_255_n100# a_7167_n100# a_4911_122# a_2751_n100#
++ a_4287_n100# a_5631_n100# a_975_n188# a_2367_n100# a_5247_n100# a_8127_n100# a_7887_n188#
++ a_3711_n100# a_7023_122# a_5967_n188# a_5295_122# a_3327_n100# a_6207_n100# a_n3585_n100#
++ a_n6465_n100# a_6927_n188# a_3183_122# a_1407_n100# a_n7425_n100# a_n1665_n100#
++ a_n4545_n100# a_1071_122# a_n2625_n100# a_n5505_n100# a_n4689_122# a_n6417_122#
++ a_n2577_122# a_n4305_122# a_n801_n100# a_351_n100# a_n417_n100# a_4383_n100# a_7263_n100#
++ a_n7761_122# a_2463_n100# a_5343_n100# a_8223_n100# a_2079_n100# a_6303_n100# a_6255_122#
++ a_n465_122# a_3423_n100# a_n6561_n100# a_n8097_n100# a_4143_122# a_1503_n100# a_3039_n100#
++ a_n3681_n100# a_n1761_n100# a_n3297_n100# a_n4641_n100# a_n6177_n100# a_n7521_n100#
++ a_2031_122# a_1119_n100# a_n6897_n188# a_n1377_n100# a_n2721_n100# a_n4257_n100#
++ a_n5601_n100# a_n7137_n100# a_n5217_n100# a_n4977_n188# a_n7857_n188# a_n2337_n100#
++ a_n5649_122# a_n3537_122# a_n5937_n188# a_n1425_122# a_n513_n100# a_7599_122# a_n129_n100#
++ a_4095_n100# a_783_n188# a_n6993_122# a_7695_n188# a_7215_122# a_5487_122# a_n4881_122#
++ a_2175_n100# a_5055_n100# a_399_n188# a_6015_n100# a_n8193_n100# a_5775_n188# a_5103_122#
++ a_3375_122# a_2895_n188# a_3135_n100# a_n6273_n100# a_1263_122# a_1215_n100# a_n3393_n100#
++ a_6735_n188# a_3855_n188# a_63_n100# a_n1473_n100# a_n4353_n100# a_n7233_n100# a_4815_n188#
++ a_1935_n188# a_n1089_n100# a_n2433_n100# a_n5313_n100# a_n6609_122# a_n2049_n100#
++ a_n2769_122# a_n3009_n100# a_7071_n100# a_n7953_122# a_n225_n100# a_4191_n100# a_6447_122#
++ a_2271_n100# a_5151_n100# a_8031_n100# a_n657_122# a_n945_n188# a_n5841_122# a_4335_122#
++ a_3231_n100# a_6111_n100# a_927_n100# a_3999_n100# a_6879_n100# a_2223_122# a_1311_n100#
++ a_4959_n100# a_7839_n100# a_7791_122# a_5919_n100# a_n1185_n100# a_n4065_n100# a_n4785_n188#
++ a_n7665_n188# a_n2145_n100# a_n5025_n100# a_n3729_122# a_495_122# a_n2865_n188#
++ a_n5745_n188# a_n3105_n100# a_n1617_122# a_111_122# a_n3825_n188# a_n6705_n188#
++ a_n321_n100# a_n1905_n188# a_7407_122# a_5679_122# a_n6801_122# a_3567_122# a_591_n188#
++ a_n2961_122# a_6975_n100# a_5583_n188# a_1455_122# a_n7185_122# a_639_n100# a_7935_n100#
++ a_n6081_n100# a_8079_n188# a_6543_n188# a_5199_n188# a_3663_n188# a_n5073_122# a_1023_n100#
++ a_n1281_n100# a_n4161_n100# a_n7041_n100# a_7503_n188# a_6159_n188# a_4623_n188#
++ a_3279_n188# a_1743_n188# a_207_n188# a_n5121_n100# a_n8001_n100# a_7119_n188# a_4239_n188#
++ a_n2241_n100# a_n5889_n100# a_2703_n188# a_1359_n188# a_n3201_n100# a_2319_n188#
++ a_n3969_n100# a_n6849_n100# a_n7809_n100# a_n4929_n100# a_6639_122# a_n849_122#
++ a_4527_122# a_2799_122# a_n753_n188# a_n3921_122# a_2415_122# a_n369_n188# a_n8145_122#
++ a_6687_n100# a_n33_n100# a_735_n100# a_7983_122# a_n6033_122# a_1887_n100# a_4767_n100#
++ a_7647_n100# a_n2193_122# a_5871_122# a_2847_n100# a_5727_n100# a_3807_n100# a_n5985_n100#
++ a_687_122# a_n4593_n188# a_n7473_n188# a_n6945_n100# a_n1809_122# a_n7089_n188#
++ a_n8387_n274# a_303_122# a_n2673_n188# a_n5553_n188# a_n7905_n100# a_n2289_n188#
++ a_n3633_n188# a_n5169_n188# a_n6513_n188# a_n8049_n188# a_n1713_n188# a_n3249_n188#
++ a_n6129_n188# a_n897_n100# a_3759_122# a_n1329_n188# a_n4209_n188# a_1647_122# a_n7377_122#
++ a_6783_n100# a_5391_n188# a_n5265_122# a_831_n100# a_4863_n100# a_6399_n100# a_7743_n100#
++ a_447_n100# a_1983_n100# a_6831_122# a_6351_n188# a_3471_n188# a_n3153_122# a_1599_n100#
++ a_2943_n100# a_4479_n100# a_5823_n100# a_7359_n100# a_n8285_n100# a_7311_n188# a_3087_n188#
++ a_4431_n188# a_2991_122# a_1551_n188# a_n1041_122# a_2559_n100# a_3903_n100# a_5439_n100#
++ a_4047_n188# a_2511_n188# a_1167_n188# a_3519_n100# a_n5697_n100# a_n3777_n100#
++ a_n6657_n100# a_5007_n188# a_2127_n188# a_n1857_n100# a_n4737_n100# a_n7617_n100#
++ a_n81_122# a_n993_n100# a_n2817_n100# a_4719_122# a_15_n188# a_2607_122# a_n561_n188#
++ a_n4497_122# a_n177_n188# a_n6225_122# a_6495_n100# a_n2385_122# a_n4113_122#
+X0 a_3903_n100# a_3855_n188# a_3807_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 a_3807_n100# a_3759_122# a_3711_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X2 a_3519_n100# a_3471_n188# a_3423_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X3 a_n6561_n100# a_n6609_122# a_n6657_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X4 a_3999_n100# a_3951_122# a_3903_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X5 a_n6753_n100# a_n6801_122# a_n6849_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X6 a_n6465_n100# a_n6513_n188# a_n6561_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X7 a_6111_n100# a_6063_122# a_6015_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X8 a_n6945_n100# a_n6993_122# a_n7041_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X9 a_n6657_n100# a_n6705_n188# a_n6753_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X10 a_n6369_n100# a_n6417_122# a_n6465_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X11 a_6591_n100# a_6543_n188# a_6495_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X12 a_6303_n100# a_6255_122# a_6207_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X13 a_n6849_n100# a_n6897_n188# a_n6945_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X14 a_6783_n100# a_6735_n188# a_6687_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X15 a_6495_n100# a_6447_122# a_6399_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X16 a_6207_n100# a_6159_n188# a_6111_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X17 a_6975_n100# a_6927_n188# a_6879_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X18 a_6687_n100# a_6639_122# a_6591_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X19 a_6399_n100# a_6351_n188# a_6303_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X20 a_6879_n100# a_6831_122# a_6783_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X21 a_63_n100# a_15_n188# a_n33_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X22 a_n3201_n100# a_n3249_n188# a_n3297_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X23 a_n3681_n100# a_n3729_122# a_n3777_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X24 a_n3393_n100# a_n3441_n188# a_n3489_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X25 a_n3105_n100# a_n3153_122# a_n3201_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X26 a_n3297_n100# a_n3345_122# a_n3393_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X27 a_n3009_n100# a_n3057_n188# a_n3105_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X28 a_n3873_n100# a_n3921_122# a_n3969_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X29 a_n3585_n100# a_n3633_n188# a_n3681_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X30 a_n3777_n100# a_n3825_n188# a_n3873_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X31 a_n3489_n100# a_n3537_122# a_n3585_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X32 a_3231_n100# a_3183_122# a_3135_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X33 a_3135_n100# a_3087_n188# a_3039_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X34 a_3039_n100# a_2991_122# a_2943_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X35 a_n6081_n100# a_n6129_n188# a_n6177_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X36 a_n6273_n100# a_n6321_n188# a_n6369_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X37 a_n5985_n100# a_n6033_122# a_n6081_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X38 a_n6177_n100# a_n6225_122# a_n6273_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X39 a_5823_n100# a_5775_n188# a_5727_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X40 a_6015_n100# a_5967_n188# a_5919_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X41 a_5727_n100# a_5679_122# a_5631_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X42 a_5919_n100# a_5871_122# a_5823_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X43 a_8223_n100# a_8175_122# a_8127_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.1e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X44 a_8127_n100# a_8079_n188# a_8031_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X45 a_n2241_n100# a_n2289_n188# a_n2337_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X46 a_n2721_n100# a_n2769_122# a_n2817_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X47 a_n2433_n100# a_n2481_n188# a_n2529_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X48 a_n2145_n100# a_n2193_122# a_n2241_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X49 a_n2049_n100# a_n2097_n188# a_n2145_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X50 a_n2913_n100# a_n2961_122# a_n3009_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X51 a_n2625_n100# a_n2673_n188# a_n2721_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X52 a_n2337_n100# a_n2385_122# a_n2433_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X53 a_n2529_n100# a_n2577_122# a_n2625_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X54 a_2271_n100# a_2223_122# a_2175_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X55 a_n2817_n100# a_n2865_n188# a_n2913_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X56 a_2751_n100# a_2703_n188# a_2655_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X57 a_2463_n100# a_2415_122# a_2367_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X58 a_2175_n100# a_2127_n188# a_2079_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X59 a_2079_n100# a_2031_122# a_1983_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X60 a_2943_n100# a_2895_n188# a_2847_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X61 a_2655_n100# a_2607_122# a_2559_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X62 a_2367_n100# a_2319_n188# a_2271_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X63 a_2559_n100# a_2511_n188# a_2463_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X64 a_n5121_n100# a_n5169_n188# a_n5217_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X65 a_2847_n100# a_2799_122# a_2751_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X66 a_n5313_n100# a_n5361_n188# a_n5409_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X67 a_n5025_n100# a_n5073_122# a_n5121_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X68 a_n5601_n100# a_n5649_122# a_n5697_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X69 a_n5793_n100# a_n5841_122# a_n5889_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X70 a_n5505_n100# a_n5553_n188# a_n5601_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X71 a_n5217_n100# a_n5265_122# a_n5313_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X72 a_n5697_n100# a_n5745_n188# a_n5793_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X73 a_n5409_n100# a_n5457_122# a_n5505_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X74 a_5151_n100# a_5103_122# a_5055_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X75 a_5343_n100# a_5295_122# a_5247_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X76 a_5055_n100# a_5007_n188# a_4959_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X77 a_n5889_n100# a_n5937_n188# a_n5985_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X78 a_5631_n100# a_5583_n188# a_5535_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X79 a_5535_n100# a_5487_122# a_5439_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X80 a_5247_n100# a_5199_n188# a_5151_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X81 a_n8001_n100# a_n8049_n188# a_n8097_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X82 a_5439_n100# a_5391_n188# a_5343_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X83 a_1023_n100# a_975_n188# a_927_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X84 a_n8193_n100# a_n8241_n188# a_n8285_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.1e+11p ps=2.62e+06u w=1e+06u l=150000u
+X85 a_927_n100# a_879_122# a_831_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X86 a_n8097_n100# a_n8145_122# a_n8193_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X87 a_8031_n100# a_7983_122# a_7935_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X88 a_n1761_n100# a_n1809_122# a_n1857_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X89 a_n1953_n100# a_n2001_122# a_n2049_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X90 a_n1665_n100# a_n1713_n188# a_n1761_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X91 a_n1569_n100# a_n1617_122# a_n1665_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X92 a_1311_n100# a_1263_122# a_1215_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X93 a_n1857_n100# a_n1905_n188# a_n1953_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X94 a_1791_n100# a_1743_n188# a_1695_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X95 a_1503_n100# a_1455_122# a_1407_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X96 a_1215_n100# a_1167_n188# a_1119_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X97 a_1119_n100# a_1071_122# a_1023_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X98 a_1983_n100# a_1935_n188# a_1887_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X99 a_1695_n100# a_1647_122# a_1599_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X100 a_1407_n100# a_1359_n188# a_1311_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X101 a_n4161_n100# a_n4209_n188# a_n4257_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X102 a_1887_n100# a_1839_122# a_1791_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X103 a_1599_n100# a_1551_n188# a_1503_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X104 a_n4065_n100# a_n4113_122# a_n4161_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X105 a_n4641_n100# a_n4689_122# a_n4737_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X106 a_n4353_n100# a_n4401_n188# a_n4449_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X107 a_n4545_n100# a_n4593_n188# a_n4641_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X108 a_n4257_n100# a_n4305_122# a_n4353_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X109 a_n4833_n100# a_n4881_122# a_n4929_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X110 a_n4737_n100# a_n4785_n188# a_n4833_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X111 a_n4449_n100# a_n4497_122# a_n4545_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X112 a_4191_n100# a_4143_122# a_4095_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X113 a_4095_n100# a_4047_n188# a_3999_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X114 a_n33_n100# a_n81_122# a_n129_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X115 a_n4929_n100# a_n4977_n188# a_n5025_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X116 a_4671_n100# a_4623_n188# a_4575_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X117 a_4383_n100# a_4335_122# a_4287_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X118 a_4575_n100# a_4527_122# a_4479_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X119 a_4287_n100# a_4239_n188# a_4191_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X120 a_4863_n100# a_4815_n188# a_4767_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X121 a_n7041_n100# a_n7089_n188# a_n7137_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X122 a_4767_n100# a_4719_122# a_4671_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X123 a_4479_n100# a_4431_n188# a_4383_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X124 a_n7521_n100# a_n7569_122# a_n7617_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X125 a_n7233_n100# a_n7281_n188# a_n7329_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X126 a_4959_n100# a_4911_122# a_4863_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X127 a_351_n100# a_303_122# a_255_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X128 a_n7713_n100# a_n7761_122# a_n7809_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X129 a_n7425_n100# a_n7473_n188# a_n7521_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X130 a_n7137_n100# a_n7185_122# a_n7233_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X131 a_831_n100# a_783_n188# a_735_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X132 a_543_n100# a_495_122# a_447_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X133 a_255_n100# a_207_n188# a_159_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X134 a_n7329_n100# a_n7377_122# a_n7425_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X135 a_7071_n100# a_7023_122# a_6975_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X136 a_n7905_n100# a_n7953_122# a_n8001_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X137 a_n7617_n100# a_n7665_n188# a_n7713_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X138 a_735_n100# a_687_122# a_639_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X139 a_447_n100# a_399_n188# a_351_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X140 a_159_n100# a_111_122# a_63_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X141 a_n7809_n100# a_n7857_n188# a_n7905_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X142 a_7551_n100# a_7503_n188# a_7455_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X143 a_7263_n100# a_7215_122# a_7167_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X144 a_639_n100# a_591_n188# a_543_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X145 a_7743_n100# a_7695_n188# a_7647_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X146 a_7455_n100# a_7407_122# a_7359_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X147 a_7167_n100# a_7119_n188# a_7071_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X148 a_7359_n100# a_7311_n188# a_7263_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X149 a_7935_n100# a_7887_n188# a_7839_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X150 a_7647_n100# a_7599_122# a_7551_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X151 a_n1281_n100# a_n1329_n188# a_n1377_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X152 a_n993_n100# a_n1041_122# a_n1089_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X153 a_7839_n100# a_7791_122# a_7743_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X154 a_n1473_n100# a_n1521_n188# a_n1569_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X155 a_n1185_n100# a_n1233_122# a_n1281_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X156 a_n1377_n100# a_n1425_122# a_n1473_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X157 a_n1089_n100# a_n1137_n188# a_n1185_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X158 a_n321_n100# a_n369_n188# a_n417_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X159 a_n225_n100# a_n273_122# a_n321_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X160 a_n513_n100# a_n561_n188# a_n609_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X161 a_n801_n100# a_n849_122# a_n897_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X162 a_n129_n100# a_n177_n188# a_n225_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X163 a_n417_n100# a_n465_122# a_n513_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X164 a_n705_n100# a_n753_n188# a_n801_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
+X165 a_n609_n100# a_n657_122# a_n705_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X166 a_n897_n100# a_n945_n188# a_n993_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X167 a_n3969_n100# a_n4017_n188# a_n4065_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X168 a_3711_n100# a_3663_n188# a_3615_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X169 a_3423_n100# a_3375_122# a_3327_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X170 a_3615_n100# a_3567_122# a_3519_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X171 a_3327_n100# a_3279_n188# a_3231_n100# a_n8387_n274# sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_HFYJAZ a_15_109# a_n33_n325# a_n33_21# a_n73_n237#
++ a_n73_109# a_15_n237# a_n175_n411#
+X0 a_15_n237# a_n33_n325# a_n73_n237# a_n175_n411# sky130_fd_pr__nfet_01v8_lvt ad=1.856e+11p pd=1.86e+06u as=1.856e+11p ps=1.86e+06u w=640000u l=150000u
+X1 a_15_109# a_n33_21# a_n73_109# a_n175_n411# sky130_fd_pr__nfet_01v8_lvt ad=1.856e+11p pd=1.86e+06u as=1.856e+11p ps=1.86e+06u w=640000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__res_high_po_0p35_C28PVF a_n165_n962# a_n35_n832# a_n35_400#
+X0 a_n35_n832# a_n35_400# a_n165_n962# sky130_fd_pr__res_high_po_0p35 l=4e+06u
+.ends
+
+.subckt fb vout5p Iref vout5n vin0p vin0n vdd vss
+XXM23 vout5n vout5n m1_58226_n6740# vout5n m1_58226_n6740# a_53403_n7310# vout5n vout5n
++ vout5n vout5n m1_58226_n6740# m1_58226_n6740# vout5n m1_58226_n6740# m1_58226_n6740#
++ vout5n vout5n m1_58226_n6740# a_53403_n7310# vout5n vout5n vout5n a_53403_n7310#
++ vss m1_58226_n6740# m1_58226_n6740# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vout5n vout5n m1_58226_n6740# m1_58226_n6740# vout5n m1_58226_n6740# m1_58226_n6740#
++ a_53403_n7310# vout5n vout5n m1_58226_n6740# vout5n vout5n m1_58226_n6740# m1_58226_n6740#
++ vout5n m1_58226_n6740# vout5n a_53403_n7310# vout5n m1_58226_n6740# a_53403_n7310#
++ m1_58226_n6740# vout5n m1_58226_n6740# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# m1_58226_n6740# vout5n m1_58226_n6740# vout5n a_53403_n7310# m1_58226_n6740#
++ m1_58226_n6740# vout5n a_53403_n7310# vout5n vout5n m1_58226_n6740# vout5n vout5n
++ vout5n vout5n vout5n a_53403_n7310# vout5n a_53403_n7310# vout5n vout5n vout5n vout5n
++ vout5n vout5n a_53403_n7310# vout5n a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vout5n a_53403_n7310# vout5n a_53403_n7310# m1_58226_n6740# vout5n a_53403_n7310#
++ m1_58226_n6740# vout5n vout5n vout5n m1_58226_n6740# vout5n vout5n vout5n vout5n
++ m1_58226_n6740# vout5n a_53403_n7310# vout5n a_53403_n7310# vout5n a_53403_n7310#
++ vout5n vout5n vout5n vout5n a_53403_n7310# vout5n m1_58226_n6740# a_53403_n7310#
++ vout5n a_53403_n7310# a_53403_n7310# m1_58226_n6740# sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 m1_58226_n6740# vdd vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXM25 vss vss vss vss vss vss Iref Iref vss vss Iref vss Iref Iref vss vss vss Iref
++ Iref Iref Iref vss vss Iref vss vss vss Iref Iref Iref vss vss vss vss Iref Iref
++ Iref vss vss Iref Iref Iref Iref Iref a_53403_n7310# Iref a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# Iref a_53403_n7310# a_53403_n7310# Iref a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# Iref a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ Iref a_53403_n7310# Iref Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ Iref a_53403_n7310# a_53403_n7310# Iref Iref Iref Iref vss vss vss vss vss Iref
++ vss vss vss vss vss Iref Iref vss vss vss Iref vss vss vss vss vss vss vss vss Iref
++ vss Iref vss vss vss vss vss vss Iref Iref vss Iref Iref Iref Iref a_53403_n7310#
++ Iref a_53403_n7310# a_53403_n7310# Iref Iref Iref Iref Iref Iref a_53403_n7310#
++ a_53403_n7310# Iref a_53403_n7310# a_53403_n7310# Iref Iref Iref Iref a_53403_n7310#
++ a_53403_n7310# Iref a_53403_n7310# a_53403_n7310# Iref Iref a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ Iref a_53403_n7310# Iref a_53403_n7310# vss Iref vss vss Iref vss vss vss Iref Iref
++ Iref Iref vss vss vss vss vss Iref vss vss vss Iref vss vss vss Iref Iref vss vss
++ Iref Iref Iref Iref vss Iref Iref Iref Iref a_53403_n7310# Iref Iref Iref Iref Iref
++ Iref Iref a_53403_n7310# Iref Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ Iref Iref Iref Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ Iref Iref Iref Iref Iref Iref a_53403_n7310# a_53403_n7310# Iref Iref a_53403_n7310#
++ a_53403_n7310# Iref Iref a_53403_n7310# Iref a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# Iref Iref Iref Iref Iref Iref Iref Iref Iref vss vss vss Iref Iref
++ vss vss vss Iref Iref vss vss vss vss Iref Iref Iref vss Iref Iref vss Iref Iref
++ Iref vss Iref Iref Iref Iref Iref Iref Iref Iref a_53403_n7310# Iref Iref Iref Iref
++ Iref a_53403_n7310# Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# Iref Iref Iref Iref a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# a_53403_n7310# vss Iref Iref Iref Iref Iref Iref a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# Iref Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# Iref Iref a_53403_n7310# a_53403_n7310# a_53403_n7310# Iref vss a_53403_n7310#
++ Iref Iref Iref Iref Iref Iref Iref vss Iref Iref sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+Xsky130_fd_pr__nfet_01v8_lvt_HFYJAZ_0 Iref Iref Iref vss vss Iref vss sky130_fd_pr__nfet_01v8_lvt_HFYJAZ
+XXR19 vdd m1_48190_n7640# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+Xsky130_fd_pr__res_high_po_0p35_C28PVF_0 vss m1_48190_n7640# vin0p sky130_fd_pr__res_high_po_0p35_C28PVF
+Xsky130_fd_pr__res_high_po_0p35_C28PVF_1 vss m1_58226_n6740# vin0n sky130_fd_pr__res_high_po_0p35_C28PVF
+XXM20 vout5p vout5p m1_48190_n7640# vout5p m1_48190_n7640# a_53403_n7310# vout5p vout5p
++ vout5p vout5p m1_48190_n7640# m1_48190_n7640# vout5p m1_48190_n7640# m1_48190_n7640#
++ vout5p vout5p m1_48190_n7640# a_53403_n7310# vout5p vout5p vout5p a_53403_n7310#
++ vss m1_48190_n7640# m1_48190_n7640# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vout5p vout5p m1_48190_n7640# m1_48190_n7640# vout5p m1_48190_n7640# m1_48190_n7640#
++ a_53403_n7310# vout5p vout5p m1_48190_n7640# vout5p vout5p m1_48190_n7640# m1_48190_n7640#
++ vout5p m1_48190_n7640# vout5p a_53403_n7310# vout5p m1_48190_n7640# a_53403_n7310#
++ m1_48190_n7640# vout5p m1_48190_n7640# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# m1_48190_n7640# vout5p m1_48190_n7640# vout5p a_53403_n7310# m1_48190_n7640#
++ m1_48190_n7640# vout5p a_53403_n7310# vout5p vout5p m1_48190_n7640# vout5p vout5p
++ vout5p vout5p vout5p a_53403_n7310# vout5p a_53403_n7310# vout5p vout5p vout5p vout5p
++ vout5p vout5p a_53403_n7310# vout5p a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vout5p a_53403_n7310# vout5p a_53403_n7310# m1_48190_n7640# vout5p a_53403_n7310#
++ m1_48190_n7640# vout5p vout5p vout5p m1_48190_n7640# vout5p vout5p vout5p vout5p
++ m1_48190_n7640# vout5p a_53403_n7310# vout5p a_53403_n7310# vout5p a_53403_n7310#
++ vout5p vout5p vout5p vout5p a_53403_n7310# vout5p m1_48190_n7640# a_53403_n7310#
++ vout5p a_53403_n7310# a_53403_n7310# m1_48190_n7640# sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_BSMWRE a_n200_n397# a_200_109# a_n360_n483# a_n200_21#
++ a_200_n309# a_n258_109# a_n258_n309#
+X0 a_200_n309# a_n200_n397# a_n258_n309# a_n360_n483# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1 a_200_109# a_n200_21# a_n258_109# a_n360_n483# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_F8HAAN a_n258_1363# a_200_n727# a_n200_n397# a_200_n1145#
++ a_200_109# a_n200_1693# a_n258_n1563# a_n200_857# a_n258_n727# a_n258_527# a_n200_21#
++ a_200_n309# a_n360_n2155# a_200_n1981# a_200_1781# a_n200_n1651# a_200_945# a_n200_1275#
++ a_n200_n2069# a_n258_n1145# a_n200_439# a_n258_109# a_n258_n309# a_n258_1781# a_200_n1563#
++ a_n200_n1233# a_200_1363# a_200_527# a_n258_n1981# a_n200_n815# a_n258_945#
+X0 a_200_527# a_n200_439# a_n258_527# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X1 a_200_n309# a_n200_n397# a_n258_n309# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X2 a_200_n1981# a_n200_n2069# a_n258_n1981# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X3 a_200_n1145# a_n200_n1233# a_n258_n1145# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X4 a_200_1363# a_n200_1275# a_n258_1363# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X5 a_200_945# a_n200_857# a_n258_945# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X6 a_200_n727# a_n200_n815# a_n258_n727# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X7 a_200_109# a_n200_21# a_n258_109# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X8 a_200_n1563# a_n200_n1651# a_n258_n1563# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+X9 a_200_1781# a_n200_1693# a_n258_1781# a_n360_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_lvt_X3YSY6 w_n246_n319# a_n50_n197# a_50_n100# a_n108_n100#
+X0 a_50_n100# a_n50_n197# a_n108_n100# w_n246_n319# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+.ends
+
+.subckt sky130_fd_pr__res_high_po_0p35_ZMQPMJ a_n165_n962# a_n35_n832# a_n35_400#
+X0 a_n35_n832# a_n35_400# a_n165_n962# sky130_fd_pr__res_high_po_0p35 l=4e+06u
+.ends
+
+.subckt sky130_fd_pr__res_xhigh_po_5p73_Q3K92U a_n573_n1024# a_n703_n1154# a_n573_592#
+X0 a_n573_n1024# a_n573_592# a_n703_n1154# sky130_fd_pr__res_xhigh_po_5p73 l=5.92e+06u
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_L4YDVW c1_n2550_n10450# m3_n2650_n10550#
+X0 c1_n2550_n10450# m3_n2650_n10550# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n2550_n10450# m3_n2650_n10550# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n2550_n10450# m3_n2650_n10550# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n2550_n10450# m3_n2650_n10550# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_lvt_ER7KZU a_50_1426# a_50_554# a_50_n1190# a_n50_n1723#
++ a_n108_118# a_50_n2062# a_n50_21# a_n108_1426# w_n246_n2281# a_n50_n1287# a_n50_1329#
++ a_n108_n1626# a_n50_n2159# a_50_n754# a_n50_457# a_50_118# a_n108_n754# a_n108_990#
++ a_50_n318# a_n108_n1190# a_n108_n2062# a_n50_n851# a_50_1862# a_50_n1626# a_50_990#
++ a_n108_n318# a_n108_554# a_n108_1862# a_n50_n415# a_n50_1765# a_n50_893#
+X0 a_50_1862# a_n50_1765# a_n108_1862# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X1 a_50_n1626# a_n50_n1723# a_n108_n1626# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X2 a_50_n754# a_n50_n851# a_n108_n754# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X3 a_50_n1190# a_n50_n1287# a_n108_n1190# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X4 a_50_118# a_n50_21# a_n108_118# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X5 a_50_n2062# a_n50_n2159# a_n108_n2062# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X6 a_50_554# a_n50_457# a_n108_554# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X7 a_50_990# a_n50_893# a_n108_990# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X8 a_50_1426# a_n50_1329# a_n108_1426# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+X9 a_50_n318# a_n50_n415# a_n108_n318# w_n246_n2281# sky130_fd_pr__pfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=500000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_EA9ZG2 a_25_n100# a_n33_n188# a_n185_n274# a_n83_n100#
+X0 a_25_n100# a_n33_n188# a_n83_n100# a_n185_n274# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=250000u
+.ends
+
+.subckt cmfb2 vinp vinn vref vc vbcm vdd vss
+XXM56 vbcm vss vss vbcm vss m1_n7060_n6640# m1_n7060_n6640# sky130_fd_pr__nfet_01v8_lvt_BSMWRE
+XXM57 vc vss vbcm vss vss vbcm vc vbcm vc vc vbcm vss vss vss vss vbcm vss vbcm vbcm
++ vc vbcm vc vc vc vss vbcm vss vss vc vbcm vc sky130_fd_pr__nfet_01v8_lvt_F8HAAN
+XXM58 vdd m1_n7220_n6600# m1_n6520_n6580# vdd sky130_fd_pr__pfet_01v8_lvt_X3YSY6
+XXM59 vdd m1_n7220_n6600# vdd m1_n7220_n6600# sky130_fd_pr__pfet_01v8_lvt_X3YSY6
+XXR34 vss m1_n6520_n6580# m1_n4700_n5960# sky130_fd_pr__res_high_po_0p35_ZMQPMJ
+XXR35 vinn vss vcm sky130_fd_pr__res_xhigh_po_5p73_Q3K92U
+XXR37 vcm vss vinp sky130_fd_pr__res_xhigh_po_5p73_Q3K92U
+XXC4 m1_n4700_n5960# vc sky130_fd_pr__cap_mim_m3_1_L4YDVW
+XXM60 vc vc vc m1_n6520_n6580# vdd vc m1_n6520_n6580# vdd vdd m1_n6520_n6580# m1_n6520_n6580#
++ vdd m1_n6520_n6580# vc m1_n6520_n6580# vc vdd vdd vc vdd vdd m1_n6520_n6580# vc
++ vc vc vdd vdd vdd m1_n6520_n6580# m1_n6520_n6580# m1_n6520_n6580# sky130_fd_pr__pfet_01v8_lvt_ER7KZU
+XXM54 m1_n7060_n6640# vref vss m1_n7220_n6600# sky130_fd_pr__nfet_01v8_lvt_EA9ZG2
+XXM55 m1_n6520_n6580# vcm vss m1_n7060_n6640# sky130_fd_pr__nfet_01v8_lvt_EA9ZG2
+.ends
+
+.subckt sky130_fd_pr__res_xhigh_po_5p73_QP6N54 a_n573_150# a_n573_n582# a_n703_n712#
+X0 a_n573_n582# a_n573_150# a_n703_n712# sky130_fd_pr__res_xhigh_po_5p73 l=1.5e+06u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_6H2JYD a_n73_n100# a_n33_n188# a_15_n100# a_n175_n274#
+X0 a_15_n100# a_n33_n188# a_n73_n100# a_n175_n274# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt cons_cw voutp vc1 vc2 vinp vinn m1_50970_n6456# m1_47244_n7752# voutn vd22
++ vd21 vss
+Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 vd22 m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXM23 vinn vinn vd22 vinn vd22 a_53403_n7310# vinn vinn vinn vinn vd22 vd22 vinn vd22
++ vd22 vinn vinn vd22 a_53403_n7310# vinn vinn vinn a_53403_n7310# vss vd22 vd22 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vinn vinn vd22 vd22 vinn vd22 vd22 a_53403_n7310#
++ vinn vinn vd22 vinn vinn vd22 vd22 vinn vd22 vinn a_53403_n7310# vinn vd22 a_53403_n7310#
++ vd22 vinn vd22 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vd22
++ vinn vd22 vinn a_53403_n7310# vd22 vd22 vinn a_53403_n7310# vinn vinn vd22 vinn
++ vinn vinn vinn vinn a_53403_n7310# vinn a_53403_n7310# vinn vinn vinn vinn vinn
++ vinn a_53403_n7310# vinn a_53403_n7310# a_53403_n7310# a_53403_n7310# vinn a_53403_n7310#
++ vinn a_53403_n7310# vd22 vinn a_53403_n7310# vd22 vinn vinn vinn vd22 vinn vinn
++ vinn vinn vd22 vinn a_53403_n7310# vinn a_53403_n7310# vinn a_53403_n7310# vinn
++ vinn vinn vinn a_53403_n7310# vinn vd22 a_53403_n7310# vinn a_53403_n7310# a_53403_n7310#
++ vd22 sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+Xsky130_fd_pr__res_xhigh_po_5p73_QP6N54_0 voutn vd22 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
+XXM24 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# vc2
++ vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637#
++ m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 m1_49981_n5637#
++ m1_49981_n5637# vc2 vc2 vc2 vc2 vc2 vss vc2 vss vss vss vss vc2 vss vss vc2 vss
++ vss vss vc2 vss vss vss vc2 vss vc2 vc2 vc2 vss vss vss vss vc2 vc2 vss vss vss
++ vss vc2 vss vss vc2 vc2 vc2 vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# vc2 m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ vc2 vc2 m1_49981_n5637# vc2 vc2 vc2 vc2 vss vc2 vss vss vc2 vc2 vc2 vc2 vc2 vc2
++ vss vss vc2 vss vss vc2 vc2 vc2 vc2 vss vss vc2 vss vss vc2 vc2 vss vss vss vss
++ vc2 vc2 vss vss vss vc2 vss vc2 vss m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637#
++ vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ vc2 vc2 m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637# vc2 vc2
++ vc2 vc2 vss vc2 vc2 vc2 vc2 vc2 vc2 vc2 vss vc2 vc2 vc2 vss vss vss vc2 vc2 vc2
++ vc2 vc2 vss vss vss vss vc2 vc2 vc2 vc2 vc2 vc2 vss vss vc2 vc2 vss vss vc2 vc2
++ vss vc2 vss vss vss vss vc2 vc2 vc2 vc2 vc2 vc2 vc2 vc2 vc2 m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 m1_49981_n5637#
++ vc2 vc2 vss vc2 vc2 vc2 m1_49981_n5637# vc2 vc2 vc2 vc2 vc2 vc2 vc2 vc2 vss vc2
++ vc2 vc2 vc2 vc2 vss vc2 vc2 vss vss vss vss vss vss vc2 vc2 vc2 vc2 vss vss vss
++ vss vss m1_49981_n5637# vc2 vc2 vc2 vc2 vc2 vc2 vss vss vss vc2 vc2 vc2 vss vss
++ vss vss vc2 vc2 vss vss vss vc2 m1_49981_n5637# vss vc2 vc2 vc2 vc2 vc2 vc2 vc2
++ m1_49981_n5637# vc2 vc2 sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+XXM25 vss vss vss vss vss vss vc1 vc1 vss vss vc1 vss vc1 vc1 vss vss vss vc1 vc1
++ vc1 vc1 vss vss vc1 vss vss vss vc1 vc1 vc1 vss vss vss vss vc1 vc1 vc1 vss vss
++ vc1 vc1 vc1 vc1 vc1 a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310#
++ vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1
++ a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vss vss vss vss vss vc1 vss vss vss vss vss vc1 vc1 vss vss vss
++ vc1 vss vss vss vss vss vss vss vss vc1 vss vc1 vss vss vss vss vss vss vc1 vc1
++ vss vc1 vc1 vc1 vc1 a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1 vc1 vc1
++ vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1
++ vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1
++ vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# vc1 a_53403_n7310# vss vc1 vss
++ vss vc1 vss vss vss vc1 vc1 vc1 vc1 vss vss vss vss vss vc1 vss vss vss vc1 vss
++ vss vss vc1 vc1 vss vss vc1 vc1 vc1 vc1 vss vc1 vc1 vc1 vc1 a_53403_n7310# vc1 vc1
++ vc1 vc1 vc1 vc1 vc1 a_53403_n7310# vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310# a_53403_n7310#
++ vc1 vc1 a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vc1 vc1 vc1 vc1 vc1 vss vss vss vc1 vc1 vss vss vss vc1 vc1 vss
++ vss vss vss vc1 vc1 vc1 vss vc1 vc1 vss vc1 vc1 vc1 vss vc1 vc1 vc1 vc1 vc1 vc1
++ vc1 vc1 a_53403_n7310# vc1 vc1 vc1 vc1 vc1 a_53403_n7310# vc1 vc1 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1
++ vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vss vc1 vc1 vc1 vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1
++ vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vc1 vss a_53403_n7310# vc1 vc1 vc1 vc1 vc1 vc1 vc1
++ vss vc1 vc1 sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+XXR20 m1_47244_n7752# voutp vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXR21 voutp vd21 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
+Xsky130_fd_pr__nfet_01v8_lvt_6H2JYD_1 voutn m1_50970_n6456# vd22 vss sky130_fd_pr__nfet_01v8_lvt_6H2JYD
+Xsky130_fd_pr__nfet_01v8_lvt_6H2JYD_0 voutp m1_50970_n6456# vd21 vss sky130_fd_pr__nfet_01v8_lvt_6H2JYD
+XXR22 voutn m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXR19 m1_47244_n7752# vd21 vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXM20 vinp vinp vd21 vinp vd21 a_53403_n7310# vinp vinp vinp vinp vd21 vd21 vinp vd21
++ vd21 vinp vinp vd21 a_53403_n7310# vinp vinp vinp a_53403_n7310# vss vd21 vd21 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vinp vinp vd21 vd21 vinp vd21 vd21 a_53403_n7310#
++ vinp vinp vd21 vinp vinp vd21 vd21 vinp vd21 vinp a_53403_n7310# vinp vd21 a_53403_n7310#
++ vd21 vinp vd21 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vd21
++ vinp vd21 vinp a_53403_n7310# vd21 vd21 vinp a_53403_n7310# vinp vinp vd21 vinp
++ vinp vinp vinp vinp a_53403_n7310# vinp a_53403_n7310# vinp vinp vinp vinp vinp
++ vinp a_53403_n7310# vinp a_53403_n7310# a_53403_n7310# a_53403_n7310# vinp a_53403_n7310#
++ vinp a_53403_n7310# vd21 vinp a_53403_n7310# vd21 vinp vinp vinp vd21 vinp vinp
++ vinp vinp vd21 vinp a_53403_n7310# vinp a_53403_n7310# vinp a_53403_n7310# vinp
++ vinp vinp vinp a_53403_n7310# vinp vd21 a_53403_n7310# vinp a_53403_n7310# a_53403_n7310#
++ vd21 sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+XXM21 vd21 vd21 m1_49981_n5637# vd21 m1_49981_n5637# voutp vd21 vd21 vd21 vd21 m1_49981_n5637#
++ m1_49981_n5637# vd21 m1_49981_n5637# m1_49981_n5637# vd21 vd21 m1_49981_n5637# voutp
++ vd21 vd21 vd21 voutp vss m1_49981_n5637# m1_49981_n5637# voutp voutp voutp vd21
++ vd21 m1_49981_n5637# m1_49981_n5637# vd21 m1_49981_n5637# m1_49981_n5637# voutp
++ vd21 vd21 m1_49981_n5637# vd21 vd21 m1_49981_n5637# m1_49981_n5637# vd21 m1_49981_n5637#
++ vd21 voutp vd21 m1_49981_n5637# voutp m1_49981_n5637# vd21 m1_49981_n5637# voutp
++ voutp voutp voutp m1_49981_n5637# vd21 m1_49981_n5637# vd21 voutp m1_49981_n5637#
++ m1_49981_n5637# vd21 voutp vd21 vd21 m1_49981_n5637# vd21 vd21 vd21 vd21 vd21 voutp
++ vd21 voutp vd21 vd21 vd21 vd21 vd21 vd21 voutp vd21 voutp voutp voutp vd21 voutp
++ vd21 voutp m1_49981_n5637# vd21 voutp m1_49981_n5637# vd21 vd21 vd21 m1_49981_n5637#
++ vd21 vd21 vd21 vd21 m1_49981_n5637# vd21 voutp vd21 voutp vd21 voutp vd21 vd21 vd21
++ vd21 voutp vd21 m1_49981_n5637# voutp vd21 voutp voutp m1_49981_n5637# sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+XXM22 vd22 vd22 m1_49981_n5637# vd22 m1_49981_n5637# voutn vd22 vd22 vd22 vd22 m1_49981_n5637#
++ m1_49981_n5637# vd22 m1_49981_n5637# m1_49981_n5637# vd22 vd22 m1_49981_n5637# voutn
++ vd22 vd22 vd22 voutn vss m1_49981_n5637# m1_49981_n5637# voutn voutn voutn vd22
++ vd22 m1_49981_n5637# m1_49981_n5637# vd22 m1_49981_n5637# m1_49981_n5637# voutn
++ vd22 vd22 m1_49981_n5637# vd22 vd22 m1_49981_n5637# m1_49981_n5637# vd22 m1_49981_n5637#
++ vd22 voutn vd22 m1_49981_n5637# voutn m1_49981_n5637# vd22 m1_49981_n5637# voutn
++ voutn voutn voutn m1_49981_n5637# vd22 m1_49981_n5637# vd22 voutn m1_49981_n5637#
++ m1_49981_n5637# vd22 voutn vd22 vd22 m1_49981_n5637# vd22 vd22 vd22 vd22 vd22 voutn
++ vd22 voutn vd22 vd22 vd22 vd22 vd22 vd22 voutn vd22 voutn voutn voutn vd22 voutn
++ vd22 voutn m1_49981_n5637# vd22 voutn m1_49981_n5637# vd22 vd22 vd22 m1_49981_n5637#
++ vd22 vd22 vd22 vd22 m1_49981_n5637# vd22 voutn vd22 voutn vd22 voutn vd22 vd22 vd22
++ vd22 voutn vd22 m1_49981_n5637# voutn vd22 voutn voutn m1_49981_n5637# sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+.ends
+
+.subckt cmfb1 vinn vref vc vbcm vdd vinp vss
+XXM56 vbcm vss vss vbcm vss m1_n7060_n6640# m1_n7060_n6640# sky130_fd_pr__nfet_01v8_lvt_BSMWRE
+XXM57 vc vss vbcm vss vss vbcm vc vbcm vc vc vbcm vss vss vss vss vbcm vss vbcm vbcm
++ vc vbcm vc vc vc vss vbcm vss vss vc vbcm vc sky130_fd_pr__nfet_01v8_lvt_F8HAAN
+XXM58 vdd m1_n7220_n6600# m1_n6520_n6580# vdd sky130_fd_pr__pfet_01v8_lvt_X3YSY6
+XXM59 vdd m1_n7220_n6600# vdd m1_n7220_n6600# sky130_fd_pr__pfet_01v8_lvt_X3YSY6
+XXR34 vss m1_n6520_n6580# m1_n4700_n5960# sky130_fd_pr__res_high_po_0p35_ZMQPMJ
+XXR35 vinn vss vcm sky130_fd_pr__res_xhigh_po_5p73_Q3K92U
+XXR37 vcm vss vinp sky130_fd_pr__res_xhigh_po_5p73_Q3K92U
+XXC4 m1_n4700_n5960# vc sky130_fd_pr__cap_mim_m3_1_L4YDVW
+XXM60 vc vc vc m1_n6520_n6580# vdd vc m1_n6520_n6580# vdd vdd m1_n6520_n6580# m1_n6520_n6580#
++ vdd m1_n6520_n6580# vc m1_n6520_n6580# vc vdd vdd vc vdd vdd m1_n6520_n6580# vc
++ vc vc vdd vdd vdd m1_n6520_n6580# m1_n6520_n6580# m1_n6520_n6580# sky130_fd_pr__pfet_01v8_lvt_ER7KZU
+XXM54 m1_n7060_n6640# vref vss m1_n7220_n6600# sky130_fd_pr__nfet_01v8_lvt_EA9ZG2
+XXM55 m1_n6520_n6580# vcm vss m1_n7060_n6640# sky130_fd_pr__nfet_01v8_lvt_EA9ZG2
+.ends
+
+.subckt stage1 vout1p vout2p vout1n vout2n m1_110_1770# cmfb2_0/vdd vref cmfb2_0/vbcm
++ VSUBS
+Xcmfb2_0 vd22 vd21 vref vo21 cmfb2_0/vbcm cmfb2_0/vdd VSUBS cmfb2
+Xcons_cw_0 vout2p vo21 vo22 vout1p vout1n m1_110_1770# cmfb2_0/vdd vout2n vd22 vd21
++ VSUBS cons_cw
+Xcmfb1_0 vout2p vref vo22 cmfb2_0/vbcm cmfb2_0/vdd vout2n VSUBS cmfb1
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_72NHPP a_n33_n1651# a_n73_1363# a_15_1781# a_n33_n815#
++ a_n33_439# a_n33_n2069# a_n33_n397# a_15_109# a_n175_n2155# a_15_n1563# a_n73_n1145#
++ a_n73_n727# a_n73_527# a_15_1363# a_n33_1693# a_n33_n1233# a_n33_21# a_15_945# a_15_n1145#
++ a_n73_n309# a_15_n727# a_n73_109# a_n33_1275# a_n73_n1981# a_n73_1781# a_n33_857#
++ a_15_527# a_15_n1981# a_15_n309# a_n73_n1563# a_n73_945#
+X0 a_15_n1145# a_n33_n1233# a_n73_n1145# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X1 a_15_1363# a_n33_1275# a_n73_1363# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X2 a_15_n727# a_n33_n815# a_n73_n727# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X3 a_15_527# a_n33_439# a_n73_527# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X4 a_15_n1563# a_n33_n1651# a_n73_n1563# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X5 a_15_1781# a_n33_1693# a_n73_1781# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X6 a_15_945# a_n33_857# a_n73_945# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X7 a_15_n309# a_n33_n397# a_n73_n309# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X8 a_15_109# a_n33_21# a_n73_109# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X9 a_15_n1981# a_n33_n2069# a_n73_n1981# a_n175_n2155# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_8PSHEW a_n33_n216# a_n73_n128# a_15_n128# a_n175_n302#
+X0 a_15_n128# a_n33_n216# a_n73_n128# a_n175_n302# sky130_fd_pr__nfet_01v8_lvt ad=3.712e+11p pd=3.14e+06u as=3.712e+11p ps=3.14e+06u w=1.28e+06u l=150000u
+.ends
+
+.subckt cons1 voutp vc1 vc2 vinp vinn m1_47244_n7752# voutn vd22 vd21 vss
+Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 vd22 m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXM23 vinn vinn vd22 vinn vd22 a_53403_n7310# vinn vinn vinn vinn vd22 vd22 vinn vd22
++ vd22 vinn vinn vd22 a_53403_n7310# vinn vinn vinn a_53403_n7310# vss vd22 vd22 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vinn vinn vd22 vd22 vinn vd22 vd22 a_53403_n7310#
++ vinn vinn vd22 vinn vinn vd22 vd22 vinn vd22 vinn a_53403_n7310# vinn vd22 a_53403_n7310#
++ vd22 vinn vd22 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vd22
++ vinn vd22 vinn a_53403_n7310# vd22 vd22 vinn a_53403_n7310# vinn vinn vd22 vinn
++ vinn vinn vinn vinn a_53403_n7310# vinn a_53403_n7310# vinn vinn vinn vinn vinn
++ vinn a_53403_n7310# vinn a_53403_n7310# a_53403_n7310# a_53403_n7310# vinn a_53403_n7310#
++ vinn a_53403_n7310# vd22 vinn a_53403_n7310# vd22 vinn vinn vinn vd22 vinn vinn
++ vinn vinn vd22 vinn a_53403_n7310# vinn a_53403_n7310# vinn a_53403_n7310# vinn
++ vinn vinn vinn a_53403_n7310# vinn vd22 a_53403_n7310# vinn a_53403_n7310# a_53403_n7310#
++ vd22 sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+Xsky130_fd_pr__res_xhigh_po_5p73_QP6N54_0 voutn vd22 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
+XXM24 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# vc2
++ vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637#
++ m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 m1_49981_n5637#
++ m1_49981_n5637# vc2 vc2 vc2 vc2 vc2 vss vc2 vss vss vss vss vc2 vss vss vc2 vss
++ vss vss vc2 vss vss vss vc2 vss vc2 vc2 vc2 vss vss vss vss vc2 vc2 vss vss vss
++ vss vc2 vss vss vc2 vc2 vc2 vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# vc2 m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ vc2 vc2 m1_49981_n5637# vc2 vc2 vc2 vc2 vss vc2 vss vss vc2 vc2 vc2 vc2 vc2 vc2
++ vss vss vc2 vss vss vc2 vc2 vc2 vc2 vss vss vc2 vss vss vc2 vc2 vss vss vss vss
++ vc2 vc2 vss vss vss vc2 vss vc2 vss m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637#
++ vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637#
++ m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
++ vc2 vc2 m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637# vc2 vc2
++ vc2 vc2 vss vc2 vc2 vc2 vc2 vc2 vc2 vc2 vss vc2 vc2 vc2 vss vss vss vc2 vc2 vc2
++ vc2 vc2 vss vss vss vss vc2 vc2 vc2 vc2 vc2 vc2 vss vss vc2 vc2 vss vss vc2 vc2
++ vss vc2 vss vss vss vss vc2 vc2 vc2 vc2 vc2 vc2 vc2 vc2 vc2 m1_49981_n5637# m1_49981_n5637#
++ m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2
++ m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 m1_49981_n5637#
++ vc2 vc2 vss vc2 vc2 vc2 m1_49981_n5637# vc2 vc2 vc2 vc2 vc2 vc2 vc2 vc2 vss vc2
++ vc2 vc2 vc2 vc2 vss vc2 vc2 vss vss vss vss vss vss vc2 vc2 vc2 vc2 vss vss vss
++ vss vss m1_49981_n5637# vc2 vc2 vc2 vc2 vc2 vc2 vss vss vss vc2 vc2 vc2 vss vss
++ vss vss vc2 vc2 vss vss vss vc2 m1_49981_n5637# vss vc2 vc2 vc2 vc2 vc2 vc2 vc2
++ m1_49981_n5637# vc2 vc2 sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+XXM25 vss vss vss vss vss vss vc1 vc1 vss vss vc1 vss vc1 vc1 vss vss vss vc1 vc1
++ vc1 vc1 vss vss vc1 vss vss vss vc1 vc1 vc1 vss vss vss vss vc1 vc1 vc1 vss vss
++ vc1 vc1 vc1 vc1 vc1 a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310#
++ a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310#
++ vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1
++ a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vss vss vss vss vss vc1 vss vss vss vss vss vc1 vc1 vss vss vss
++ vc1 vss vss vss vss vss vss vss vss vc1 vss vc1 vss vss vss vss vss vss vc1 vc1
++ vss vc1 vc1 vc1 vc1 a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1 vc1 vc1
++ vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1
++ vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# vc1
++ vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vc1 a_53403_n7310# vc1 a_53403_n7310# vss vc1 vss
++ vss vc1 vss vss vss vc1 vc1 vc1 vc1 vss vss vss vss vss vc1 vss vss vss vc1 vss
++ vss vss vc1 vc1 vss vss vc1 vc1 vc1 vc1 vss vc1 vc1 vc1 vc1 a_53403_n7310# vc1 vc1
++ vc1 vc1 vc1 vc1 vc1 a_53403_n7310# vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310# a_53403_n7310#
++ vc1 vc1 a_53403_n7310# vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vc1 vc1 vc1 vc1 vc1 vc1 vc1 vc1 vc1 vss vss vss vc1 vc1 vss vss vss vc1 vc1 vss
++ vss vss vss vc1 vc1 vc1 vss vc1 vc1 vss vc1 vc1 vc1 vss vc1 vc1 vc1 vc1 vc1 vc1
++ vc1 vc1 a_53403_n7310# vc1 vc1 vc1 vc1 vc1 a_53403_n7310# vc1 vc1 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1
++ vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310#
++ vss vc1 vc1 vc1 vc1 vc1 vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1
++ vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vc1 vss a_53403_n7310# vc1 vc1 vc1 vc1 vc1 vc1 vc1
++ vss vc1 vc1 sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+XXR20 m1_47244_n7752# voutp vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXR21 voutp vd21 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
+XXR22 voutn m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXR19 m1_47244_n7752# vd21 vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
+XXM20 vinp vinp vd21 vinp vd21 a_53403_n7310# vinp vinp vinp vinp vd21 vd21 vinp vd21
++ vd21 vinp vinp vd21 a_53403_n7310# vinp vinp vinp a_53403_n7310# vss vd21 vd21 a_53403_n7310#
++ a_53403_n7310# a_53403_n7310# vinp vinp vd21 vd21 vinp vd21 vd21 a_53403_n7310#
++ vinp vinp vd21 vinp vinp vd21 vd21 vinp vd21 vinp a_53403_n7310# vinp vd21 a_53403_n7310#
++ vd21 vinp vd21 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vd21
++ vinp vd21 vinp a_53403_n7310# vd21 vd21 vinp a_53403_n7310# vinp vinp vd21 vinp
++ vinp vinp vinp vinp a_53403_n7310# vinp a_53403_n7310# vinp vinp vinp vinp vinp
++ vinp a_53403_n7310# vinp a_53403_n7310# a_53403_n7310# a_53403_n7310# vinp a_53403_n7310#
++ vinp a_53403_n7310# vd21 vinp a_53403_n7310# vd21 vinp vinp vinp vd21 vinp vinp
++ vinp vinp vd21 vinp a_53403_n7310# vinp a_53403_n7310# vinp a_53403_n7310# vinp
++ vinp vinp vinp a_53403_n7310# vinp vd21 a_53403_n7310# vinp a_53403_n7310# a_53403_n7310#
++ vd21 sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+XXM21 vd21 vd21 m1_49981_n5637# vd21 m1_49981_n5637# voutp vd21 vd21 vd21 vd21 m1_49981_n5637#
++ m1_49981_n5637# vd21 m1_49981_n5637# m1_49981_n5637# vd21 vd21 m1_49981_n5637# voutp
++ vd21 vd21 vd21 voutp vss m1_49981_n5637# m1_49981_n5637# voutp voutp voutp vd21
++ vd21 m1_49981_n5637# m1_49981_n5637# vd21 m1_49981_n5637# m1_49981_n5637# voutp
++ vd21 vd21 m1_49981_n5637# vd21 vd21 m1_49981_n5637# m1_49981_n5637# vd21 m1_49981_n5637#
++ vd21 voutp vd21 m1_49981_n5637# voutp m1_49981_n5637# vd21 m1_49981_n5637# voutp
++ voutp voutp voutp m1_49981_n5637# vd21 m1_49981_n5637# vd21 voutp m1_49981_n5637#
++ m1_49981_n5637# vd21 voutp vd21 vd21 m1_49981_n5637# vd21 vd21 vd21 vd21 vd21 voutp
++ vd21 voutp vd21 vd21 vd21 vd21 vd21 vd21 voutp vd21 voutp voutp voutp vd21 voutp
++ vd21 voutp m1_49981_n5637# vd21 voutp m1_49981_n5637# vd21 vd21 vd21 m1_49981_n5637#
++ vd21 vd21 vd21 vd21 m1_49981_n5637# vd21 voutp vd21 voutp vd21 voutp vd21 vd21 vd21
++ vd21 voutp vd21 m1_49981_n5637# voutp vd21 voutp voutp m1_49981_n5637# sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+XXM22 vd22 vd22 m1_49981_n5637# vd22 m1_49981_n5637# voutn vd22 vd22 vd22 vd22 m1_49981_n5637#
++ m1_49981_n5637# vd22 m1_49981_n5637# m1_49981_n5637# vd22 vd22 m1_49981_n5637# voutn
++ vd22 vd22 vd22 voutn vss m1_49981_n5637# m1_49981_n5637# voutn voutn voutn vd22
++ vd22 m1_49981_n5637# m1_49981_n5637# vd22 m1_49981_n5637# m1_49981_n5637# voutn
++ vd22 vd22 m1_49981_n5637# vd22 vd22 m1_49981_n5637# m1_49981_n5637# vd22 m1_49981_n5637#
++ vd22 voutn vd22 m1_49981_n5637# voutn m1_49981_n5637# vd22 m1_49981_n5637# voutn
++ voutn voutn voutn m1_49981_n5637# vd22 m1_49981_n5637# vd22 voutn m1_49981_n5637#
++ m1_49981_n5637# vd22 voutn vd22 vd22 m1_49981_n5637# vd22 vd22 vd22 vd22 vd22 voutn
++ vd22 voutn vd22 vd22 vd22 vd22 vd22 vd22 voutn vd22 voutn voutn voutn vd22 voutn
++ vd22 voutn m1_49981_n5637# vd22 voutn m1_49981_n5637# vd22 vd22 vd22 m1_49981_n5637#
++ vd22 vd22 vd22 vd22 m1_49981_n5637# vd22 voutn vd22 voutn vd22 voutn vd22 vd22 vd22
++ vd22 voutn vd22 m1_49981_n5637# voutn vd22 voutn voutn m1_49981_n5637# sky130_fd_pr__nfet_01v8_lvt_FKGFGD
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_L3LEKD a_n258_n100# a_n200_n188# a_n360_n274#
++ a_200_n100#
+X0 a_200_n100# a_n200_n188# a_n258_n100# a_n360_n274# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=2e+06u
+.ends
+
+.subckt stage0 vout1p vout2p vout1n vout2n vd22 vd21 cmfb2_0/vdd vref cmfb2_0/vbcm
++ VSUBS
+Xcmfb2_0 vd22 vd21 vref vo21 cmfb2_0/vbcm cmfb2_0/vdd VSUBS cmfb2
+Xcons1_0 vout2p vo21 vo22 vout1p vout1n cmfb2_0/vdd vout2n vd22 vd21 VSUBS cons1
+Xsky130_fd_pr__nfet_01v8_lvt_L3LEKD_0 VSUBS cmfb2_0/vbcm VSUBS cmfb2_0/vbcm sky130_fd_pr__nfet_01v8_lvt_L3LEKD
+Xcmfb1_0 vout2p vref vo22 cmfb2_0/vbcm cmfb2_0/vdd vout2n VSUBS cmfb1
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_lvt_XA5MKQ a_n33_n1651# a_n73_1363# a_15_1781# a_15_2617#
++ a_n33_2947# a_15_n5325# a_n73_n3235# a_15_n2399# a_n73_3035# a_15_3453# a_n33_3783#
++ a_n33_4619# a_n73_n4071# a_n33_n3323# a_n33_n815# a_n33_439# a_15_2199# a_15_5125#
++ a_n33_5455# a_n33_n2069# a_n33_n397# a_n33_n4995# a_15_109# a_15_n1563# a_n175_n5917#
++ a_n73_n1145# a_n73_n727# a_15_n3235# a_n73_527# a_15_1363# a_n33_1693# a_n33_2529#
++ a_15_n4071# a_n33_n1233# a_n73_n4907# a_15_3035# a_n33_3365# a_n73_3871# a_n73_4707#
++ a_n73_n5743# a_n33_5037# a_n73_5543# a_n33_n5831# a_n73_n4489# a_n33_21# a_n73_4289#
++ a_n33_n4577# a_15_945# a_15_n1145# a_n73_n309# a_15_n727# a_n73_109# a_n33_1275#
++ a_n33_4201# a_15_n4907# a_n73_n2817# a_n73_n1981# a_n73_1781# a_n73_2617# a_n73_n3653#
++ a_n33_n2905# a_15_n5743# a_n73_3453# a_15_3871# a_15_4707# a_n73_n5325# a_15_n4489#
++ a_n33_n3741# a_n73_n2399# a_n33_n5413# a_n33_n2487# a_n33_857# a_n73_2199# a_n73_5125#
++ a_15_5543# a_n33_n4159# a_15_4289# a_15_527# a_n33_2111# a_15_n2817# a_15_n1981#
++ a_15_n309# a_15_n3653# a_n73_n1563# a_n73_945#
+X0 a_15_n1145# a_n33_n1233# a_n73_n1145# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X1 a_15_5543# a_n33_5455# a_n73_5543# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X2 a_15_4707# a_n33_4619# a_n73_4707# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X3 a_15_3453# a_n33_3365# a_n73_3453# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X4 a_15_2617# a_n33_2529# a_n73_2617# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X5 a_15_n4489# a_n33_n4577# a_n73_n4489# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X6 a_15_1363# a_n33_1275# a_n73_1363# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X7 a_15_n2399# a_n33_n2487# a_n73_n2399# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X8 a_15_n727# a_n33_n815# a_n73_n727# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X9 a_15_n4907# a_n33_n4995# a_n73_n4907# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X10 a_15_n5743# a_n33_n5831# a_n73_n5743# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X11 a_15_527# a_n33_439# a_n73_527# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X12 a_15_n2817# a_n33_n2905# a_n73_n2817# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X13 a_15_n3653# a_n33_n3741# a_n73_n3653# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X14 a_15_n1563# a_n33_n1651# a_n73_n1563# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X15 a_15_5125# a_n33_5037# a_n73_5125# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X16 a_15_3871# a_n33_3783# a_n73_3871# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X17 a_15_3035# a_n33_2947# a_n73_3035# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X18 a_15_1781# a_n33_1693# a_n73_1781# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X19 a_15_4289# a_n33_4201# a_n73_4289# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X20 a_15_945# a_n33_857# a_n73_945# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X21 a_15_n309# a_n33_n397# a_n73_n309# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X22 a_15_n5325# a_n33_n5413# a_n73_n5325# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X23 a_15_2199# a_n33_2111# a_n73_2199# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X24 a_15_109# a_n33_21# a_n73_109# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X25 a_15_n1981# a_n33_n2069# a_n73_n1981# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X26 a_15_n3235# a_n33_n3323# a_n73_n3235# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+X27 a_15_n4071# a_n33_n4159# a_n73_n4071# a_n175_n5917# sky130_fd_pr__nfet_01v8_lvt ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt top vout5p fb_0/vdd fb_0/vout5n vc vin0p vin0n stage0_0/vout1p fb_0/Iref stage0_0/vout1n
++ vref VSUBS
+Xfb_0 vout5p fb_0/Iref fb_0/vout5n vin0p vin0n fb_0/vdd VSUBS fb
+Xstage1_0 stage1_0/vout1p stage1_1/vout1p stage1_0/vout1n stage1_1/vout1n vc fb_0/vdd
++ vref fb_0/Iref VSUBS stage1
+Xstage1_1 stage1_1/vout1p stage1_2/vout1p stage1_1/vout1n stage1_2/vout1n vc fb_0/vdd
++ vref fb_0/Iref VSUBS stage1
+Xstage1_2 stage1_2/vout1p stage1_3/vout1p stage1_2/vout1n stage1_3/vout1n vc fb_0/vdd
++ vref fb_0/Iref VSUBS stage1
+Xstage1_3 stage1_3/vout1p vout5p stage1_3/vout1n fb_0/vout5n vc fb_0/vdd vref fb_0/Iref
++ VSUBS stage1
+Xsky130_fd_pr__nfet_01v8_lvt_72NHPP_0 vin0p m1_5064_n59754# stage0_0/vd21 vin0p vin0p
++ vin0p vin0p stage0_0/vd21 VSUBS stage0_0/vd21 m1_5064_n59754# m1_5064_n59754# m1_5064_n59754#
++ stage0_0/vd21 vin0p vin0p vin0p stage0_0/vd21 stage0_0/vd21 m1_5064_n59754# stage0_0/vd21
++ m1_5064_n59754# vin0p m1_5064_n59754# m1_5064_n59754# vin0p stage0_0/vd21 stage0_0/vd21
++ stage0_0/vd21 m1_5064_n59754# m1_5064_n59754# sky130_fd_pr__nfet_01v8_lvt_72NHPP
+Xsky130_fd_pr__nfet_01v8_lvt_8PSHEW_0 fb_0/Iref VSUBS fb_0/Iref VSUBS sky130_fd_pr__nfet_01v8_lvt_8PSHEW
+Xsky130_fd_pr__nfet_01v8_lvt_72NHPP_1 vin0n m1_5064_n59754# stage0_0/vd22 vin0n vin0n
++ vin0n vin0n stage0_0/vd22 VSUBS stage0_0/vd22 m1_5064_n59754# m1_5064_n59754# m1_5064_n59754#
++ stage0_0/vd22 vin0n vin0n vin0n stage0_0/vd22 stage0_0/vd22 m1_5064_n59754# stage0_0/vd22
++ m1_5064_n59754# vin0n m1_5064_n59754# m1_5064_n59754# vin0n stage0_0/vd22 stage0_0/vd22
++ stage0_0/vd22 m1_5064_n59754# m1_5064_n59754# sky130_fd_pr__nfet_01v8_lvt_72NHPP
+Xstage0_0 stage0_0/vout1p stage1_0/vout1p stage0_0/vout1n stage1_0/vout1n stage0_0/vd22
++ stage0_0/vd21 fb_0/vdd vref fb_0/Iref VSUBS stage0
+Xsky130_fd_pr__nfet_01v8_lvt_XA5MKQ_0 fb_0/Iref VSUBS m1_5064_n59754# m1_5064_n59754#
++ fb_0/Iref m1_5064_n59754# VSUBS m1_5064_n59754# VSUBS m1_5064_n59754# fb_0/Iref
++ fb_0/Iref VSUBS fb_0/Iref fb_0/Iref fb_0/Iref m1_5064_n59754# m1_5064_n59754# fb_0/Iref
++ fb_0/Iref fb_0/Iref fb_0/Iref m1_5064_n59754# m1_5064_n59754# VSUBS VSUBS VSUBS
++ m1_5064_n59754# VSUBS m1_5064_n59754# fb_0/Iref fb_0/Iref m1_5064_n59754# fb_0/Iref
++ VSUBS m1_5064_n59754# fb_0/Iref VSUBS VSUBS VSUBS fb_0/Iref VSUBS fb_0/Iref VSUBS
++ fb_0/Iref VSUBS fb_0/Iref m1_5064_n59754# m1_5064_n59754# VSUBS m1_5064_n59754#
++ VSUBS fb_0/Iref fb_0/Iref m1_5064_n59754# VSUBS VSUBS VSUBS VSUBS VSUBS fb_0/Iref
++ m1_5064_n59754# VSUBS m1_5064_n59754# m1_5064_n59754# VSUBS m1_5064_n59754# fb_0/Iref
++ VSUBS fb_0/Iref fb_0/Iref fb_0/Iref VSUBS VSUBS m1_5064_n59754# fb_0/Iref m1_5064_n59754#
++ m1_5064_n59754# fb_0/Iref m1_5064_n59754# m1_5064_n59754# m1_5064_n59754# m1_5064_n59754#
++ VSUBS VSUBS sky130_fd_pr__nfet_01v8_lvt_XA5MKQ
+.ends
+
+.subckt VGA_routing top_1/vc top_0/stage0_0/vout1p top_1/fb_0/vout5n top_1/stage0_0/vout1n
++ top_1/vout5p top_0/stage0_0/vout1n top_0/fb_0/Iref top_1/fb_0/Iref top_1/vin0n top_1/stage0_0/vout1p
++ top_1/fb_0/vdd top_1/vin0p top_1/vref VSUBS
+Xtop_0 top_1/vout5p top_1/fb_0/vdd top_1/fb_0/vout5n top_1/vc top_1/vin0p top_1/vin0n
++ top_0/stage0_0/vout1p top_0/fb_0/Iref top_0/stage0_0/vout1n top_1/vref VSUBS top
+Xtop_1 top_1/vout5p top_1/fb_0/vdd top_1/fb_0/vout5n top_1/vc top_1/vin0p top_1/vin0n
++ top_1/stage0_0/vout1p top_1/fb_0/Iref top_1/stage0_0/vout1n top_1/vref VSUBS top
+.ends
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[1]
++ io_analog[2] io_analog[3] io_analog[6] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
++ io_analog[5] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
++ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
++ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
++ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
++ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
++ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
++ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
++ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
++ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
++ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
++ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
++ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
++ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
++ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
++ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
++ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
++ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
++ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
++ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
++ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
++ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
++ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
++ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
++ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
++ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
++ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
++ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
++ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
++ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
++ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
++ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
++ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
++ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
++ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
++ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
++ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
++ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
++ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
++ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
++ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
++ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
++ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
++ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
++ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
++ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
++ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
++ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
++ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
++ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
++ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
++ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
++ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
++ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
++ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
++ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
++ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
++ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
++ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
++ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
++ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
++ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
++ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
++ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
++ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
++ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
++ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
++ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
++ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
++ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
++ vccd1 vccd2 vdda1 vdda2 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
 + wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
 + wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
-+ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[1] wbs_dat_i[2] wbs_dat_i[3] wbs_dat_i[4]
-+ wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10]
-+ wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16]
-+ wbs_dat_o[1] wbs_dat_o[2] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7]
-+ wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i
-+ wbs_we_i wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_adr_i[18] wbs_dat_i[20] wbs_dat_i[21]
-+ wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26] wbs_dat_i[27]
-+ wbs_dat_i[28] wbs_dat_i[29] wbs_adr_i[19] wbs_dat_i[30] wbs_dat_i[31] la_oenb[0]
-+ wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25]
-+ wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] la_oenb[1] wbs_adr_i[30]
-+ wbs_adr_i[31] la_oenb[2] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] la_oenb[3] wbs_dat_o[20]
-+ wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25] wbs_dat_o[26]
-+ wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] la_oenb[4] wbs_dat_o[30] wbs_dat_o[31]
-+ la_oenb[5] la_data_in[0] la_data_in[1] la_data_in[2] la_data_in[3] la_data_in[4]
-+ la_data_in[5] la_data_out[0] la_data_out[1] la_data_out[2] la_data_out[3] la_data_out[4]
-+ la_data_out[5] la_data_in[23] la_data_in[24] la_data_in[25] la_oenb[6] la_oenb[7]
-+ la_oenb[8] la_oenb[9] la_data_in[26] la_data_in[11] la_data_in[12] la_data_in[13]
-+ la_data_in[14] la_data_in[6] la_data_in[7] la_data_in[8] la_data_in[9] la_data_in[15]
-+ la_data_out[10] la_data_out[11] la_data_out[12] la_data_out[13] la_data_out[14]
-+ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
-+ la_data_in[16] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
-+ la_data_out[25] la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[10] la_data_out[6]
-+ la_data_out[7] la_data_out[8] la_data_out[9] la_data_in[20] la_oenb[10] la_oenb[11]
-+ la_oenb[12] la_oenb[13] la_oenb[14] la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18]
-+ la_oenb[19] la_data_in[21] la_oenb[20] la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24]
-+ la_oenb[25] la_data_in[22] la_data_in[39] la_oenb[45] la_data_in[40] la_data_out[26]
-+ la_data_out[27] la_data_out[28] la_data_out[29] la_data_in[41] la_data_out[30] la_data_out[31]
-+ la_data_out[32] la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36]
-+ la_data_out[37] la_data_out[38] la_data_out[39] la_data_in[42] la_data_out[40] la_data_out[41]
-+ la_data_out[42] la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46]
-+ la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46] la_oenb[46] la_oenb[38]
-+ la_oenb[39] la_oenb[37] la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_data_in[27]
-+ la_data_in[28] la_data_in[29] la_oenb[44] la_data_in[30] la_data_in[31] la_data_in[32]
-+ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_oenb[26]
-+ la_oenb[27] la_oenb[28] la_oenb[29] la_data_in[38] la_oenb[30] la_oenb[31] la_oenb[32]
-+ la_oenb[33] la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[47] la_oenb[48] la_oenb[49]
-+ la_oenb[50] la_oenb[51] la_oenb[52] la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56]
-+ la_oenb[57] la_oenb[58] la_oenb[59] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63]
-+ la_oenb[64] la_oenb[65] la_oenb[66] la_data_in[47] la_data_in[48] la_data_in[49]
-+ la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54] la_data_in[55]
-+ la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[60] la_data_in[61]
-+ la_data_in[62] la_data_in[63] la_data_out[47] la_data_out[48] la_data_out[49] la_data_in[64]
-+ la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
-+ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
-+ la_data_in[65] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
-+ la_data_out[65] la_data_out[66] la_data_out[67] la_data_in[66] la_data_in[67] la_data_in[72]
-+ la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78]
-+ la_data_in[79] la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84]
-+ la_data_in[85] la_data_in[86] la_data_in[87] la_data_in[69] la_oenb[67] la_oenb[68]
-+ la_oenb[69] la_oenb[70] la_oenb[71] la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75]
-+ la_oenb[76] la_oenb[77] la_oenb[78] la_oenb[79] la_oenb[80] la_oenb[81] la_oenb[82]
-+ la_oenb[83] la_oenb[84] la_oenb[85] la_oenb[86] la_oenb[87] la_data_out[68] la_data_out[69]
-+ la_data_in[70] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
-+ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
-+ la_data_in[71] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
-+ la_data_out[85] la_data_out[86] la_data_out[87] la_data_in[68] la_oenb[88] la_oenb[89]
-+ la_oenb[90] la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96]
-+ la_oenb[97] la_oenb[98] la_oenb[99] la_data_in[101] la_data_in[102] la_data_in[103]
-+ la_data_in[104] la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108]
-+ la_data_in[100] la_data_in[90] la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94]
-+ la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98] la_data_in[99] la_data_out[100]
-+ la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104] la_data_out[105]
-+ la_data_out[93] la_data_out[106] la_data_out[94] la_data_out[107] la_data_out[95]
-+ la_data_out[96] la_data_out[108] la_data_out[97] la_data_out[98] la_data_out[99]
-+ la_data_out[92] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
-+ la_oenb[105] la_oenb[106] la_oenb[107] la_data_in[88] la_data_in[89] la_data_out[88]
-+ la_data_out[89] la_data_out[90] la_data_out[91] la_data_in[119] la_data_out[120]
-+ la_data_out[121] la_data_out[122] la_data_in[116] la_data_in[117] la_data_out[123]
-+ la_data_in[112] la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127]
-+ la_data_in[118] la_oenb[109] la_data_in[120] la_oenb[110] la_data_in[121] la_oenb[111]
-+ la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117] la_oenb[118]
-+ la_oenb[119] la_data_in[122] la_data_in[123] la_oenb[120] la_oenb[121] la_oenb[122]
-+ la_oenb[123] la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_data_in[124]
-+ la_data_in[125] la_data_in[126] la_data_in[127] la_data_out[110] user_clock2 user_irq[0]
-+ la_data_in[113] user_irq[1] la_data_in[114] user_irq[2] la_data_out[111] la_data_out[112]
-+ la_data_out[109] la_data_in[109] la_data_out[113] la_data_in[110] la_data_out[114]
-+ la_oenb[108] la_data_out[115] la_data_out[116] la_data_in[111] la_data_out[117]
-+ la_data_in[115] la_data_out[118] la_data_out[119] gpio_analog[2] gpio_analog[3]
-+ gpio_analog[4] gpio_analog[5] gpio_analog[6] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4]
-+ gpio_noesd[5] gpio_noesd[6] io_analog[0] io_analog[1] io_analog[2] io_analog[3]
-+ io_clamp_high[0] io_clamp_low[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[9]
-+ io_in_3v3[10] io_in_3v3[11] io_in_3v3[12] io_in_3v3[13] io_in_3v3[9] io_oeb[10]
-+ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[9] io_out[10] io_out[11] io_out[12] io_out[13]
-+ io_out[9] vccd1 vdda1 vssa1 io_in[16] io_in[17] gpio_analog[9] gpio_noesd[10] io_analog[7]
-+ io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_analog[8] io_analog[9]
-+ gpio_noesd[7] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] gpio_noesd[8] io_clamp_high[1]
-+ io_clamp_high[2] gpio_noesd[9] io_clamp_low[1] io_out[14] io_out[15] io_out[16]
-+ io_out[17] io_clamp_low[2] gpio_analog[10] io_analog[10] vccd2 gpio_analog[7] gpio_analog[8]
-+ io_in[14] io_in[15] vssa2 io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] gpio_analog[16]
-+ gpio_analog[17] gpio_analog[11] gpio_analog[12] gpio_noesd[11] io_in[18] io_in[19]
-+ io_in[20] io_in[21] io_oeb[18] io_oeb[19] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23]
-+ io_oeb[24] io_oeb[25] io_oeb[26] io_in[22] io_in[23] io_in[24] io_in[25] io_in[26]
-+ gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] io_out[18] io_out[19]
-+ io_out[20] io_out[21] io_out[22] io_out[23] io_out[24] io_out[25] io_out[26] gpio_noesd[16]
-+ gpio_noesd[17] gpio_analog[13] gpio_analog[14] gpio_analog[15] io_in_3v3[18] io_in_3v3[19]
-+ vdda2 io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23] vssd2 io_in_3v3[6]
-+ io_in_3v3[7] io_in_3v3[8] io_in[1] io_oeb[0] gpio_noesd[0] io_in[2] io_in[3] io_in[4]
-+ io_in[5] io_out[1] io_in[6] io_in_3v3[1] io_in[7] io_in[8] gpio_analog[0] io_oeb[1]
-+ io_in_3v3[0] io_out[2] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8]
-+ gpio_analog[1] gpio_noesd[1] io_in[0] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4] io_oeb[2]
-+ io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] vssd1 io_in_3v3[5] io_out[0]
-Xsloci_top3_0 io_analog[10] io_in[14] io_in_3v3[15] io_in[16] io_in[17] io_in[18]
-+ io_analog[9] io_analog[8] sloci_top3_0/REF io_analog[6] io_analog[7] vssd2 io_analog[6]
-+ vccd2 io_analog[6] io_analog[6] gpio_analog[12] vssa2 sloci_top3
++ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
++ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
++ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
++ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
++ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
++ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
++ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
++ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
++ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
++ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
++ wbs_stb_i wbs_we_i io_analog[10]
+XVCO_0 REF CTRL5 io_in[18] io_analog[10] io_in[17] vdda2 io_analog[8] io_in[16] io_analog[9]
++ io_in_3v3[15] vssa2 VCO
+XVCO_1 REF2 CTRL5 io_in[18] io_analog[10] io_in[17] vdda2 txinb io_in[16] txina io_in_3v3[15]
++ vssa2 VCO
+XBGR_lvs_0 REF2 REF io_analog[7] gpio_analog[7] io_analog[6] BGR_lvs_0/Iout3 BGR_lvs_0/Iout4
++ BGR_lvs_0/Iout5 BGR_lvs_0/Iout6 vccd2 vssa2 BGR_lvs
+XVGA_routing_0 io_in[13] txina io_analog[2] io_analog[5] io_analog[3] txinb BGR_lvs_0/Iout4
++ BGR_lvs_0/Iout3 io_analog[1] io_analog[4] vccd1 io_analog[0] io_analog[6] vssa2
++ VGA_routing
 .ends
 
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index 804251e..5678d6d 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -211,6 +211,7 @@
 lab=io_analog[2]}
 N 4910 160 4970 160 {
 lab=io_analog[3]}
+N 4980 -360 5120 -360 {}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -301,7 +302,7 @@
 }
 C {devices/lab_pin.sym} 4490 220 0 0 {name=l51 sig_type=std_logic lab=txinb
 }
-C {lab_wire.sym} 4980 -360 0 1 {name=l53 sig_type=std_logic lab=io_analog[7]}
+C {lab_wire.sym} 5120 -360 0 1 {name=l53 sig_type=std_logic lab=io_analog[7]}
 C {noconn.sym} 4920 -330 2 0 {name=l54}
 C {noconn.sym} 4920 -320 2 0 {name=l55}
 C {topLevel.sym} 4800 200 0 0 {name=x5}
diff --git a/xschem/user_analog_project_wrapper.spice b/xschem/user_analog_project_wrapper.spice
index a8f11f0..4e25ebb 100644
--- a/xschem/user_analog_project_wrapper.spice
+++ b/xschem/user_analog_project_wrapper.spice
@@ -1,102 +1,105 @@
-** sch_path: /foss/designs/sloci_caravel/xschem/user_analog_project_wrapper.sch
+** sch_path: /home/zexious/project/sloci_caravel/xschem/user_analog_project_wrapper.sch
 .subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
-+ wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3] wbs_sel_i[2] wbs_sel_i[1] wbs_sel_i[0] wbs_dat_i[31]
-+ wbs_dat_i[30] wbs_dat_i[29] wbs_dat_i[28] wbs_dat_i[27] wbs_dat_i[26] wbs_dat_i[25] wbs_dat_i[24] wbs_dat_i[23]
-+ wbs_dat_i[22] wbs_dat_i[21] wbs_dat_i[20] wbs_dat_i[19] wbs_dat_i[18] wbs_dat_i[17] wbs_dat_i[16] wbs_dat_i[15]
-+ wbs_dat_i[14] wbs_dat_i[13] wbs_dat_i[12] wbs_dat_i[11] wbs_dat_i[10] wbs_dat_i[9] wbs_dat_i[8] wbs_dat_i[7]
-+ wbs_dat_i[6] wbs_dat_i[5] wbs_dat_i[4] wbs_dat_i[3] wbs_dat_i[2] wbs_dat_i[1] wbs_dat_i[0] wbs_adr_i[31]
-+ wbs_adr_i[30] wbs_adr_i[29] wbs_adr_i[28] wbs_adr_i[27] wbs_adr_i[26] wbs_adr_i[25] wbs_adr_i[24] wbs_adr_i[23]
-+ wbs_adr_i[22] wbs_adr_i[21] wbs_adr_i[20] wbs_adr_i[19] wbs_adr_i[18] wbs_adr_i[17] wbs_adr_i[16] wbs_adr_i[15]
-+ wbs_adr_i[14] wbs_adr_i[13] wbs_adr_i[12] wbs_adr_i[11] wbs_adr_i[10] wbs_adr_i[9] wbs_adr_i[8] wbs_adr_i[7]
-+ wbs_adr_i[6] wbs_adr_i[5] wbs_adr_i[4] wbs_adr_i[3] wbs_adr_i[2] wbs_adr_i[1] wbs_adr_i[0] wbs_ack_o
-+ wbs_dat_o[31] wbs_dat_o[30] wbs_dat_o[29] wbs_dat_o[28] wbs_dat_o[27] wbs_dat_o[26] wbs_dat_o[25] wbs_dat_o[24]
-+ wbs_dat_o[23] wbs_dat_o[22] wbs_dat_o[21] wbs_dat_o[20] wbs_dat_o[19] wbs_dat_o[18] wbs_dat_o[17] wbs_dat_o[16]
-+ wbs_dat_o[15] wbs_dat_o[14] wbs_dat_o[13] wbs_dat_o[12] wbs_dat_o[11] wbs_dat_o[10] wbs_dat_o[9] wbs_dat_o[8]
-+ wbs_dat_o[7] wbs_dat_o[6] wbs_dat_o[5] wbs_dat_o[4] wbs_dat_o[3] wbs_dat_o[2] wbs_dat_o[1] wbs_dat_o[0]
-+ la_data_in[127] la_data_in[126] la_data_in[125] la_data_in[124] la_data_in[123] la_data_in[122] la_data_in[121]
-+ la_data_in[120] la_data_in[119] la_data_in[118] la_data_in[117] la_data_in[116] la_data_in[115] la_data_in[114]
-+ la_data_in[113] la_data_in[112] la_data_in[111] la_data_in[110] la_data_in[109] la_data_in[108] la_data_in[107]
-+ la_data_in[106] la_data_in[105] la_data_in[104] la_data_in[103] la_data_in[102] la_data_in[101] la_data_in[100]
-+ la_data_in[99] la_data_in[98] la_data_in[97] la_data_in[96] la_data_in[95] la_data_in[94] la_data_in[93]
-+ la_data_in[92] la_data_in[91] la_data_in[90] la_data_in[89] la_data_in[88] la_data_in[87] la_data_in[86]
-+ la_data_in[85] la_data_in[84] la_data_in[83] la_data_in[82] la_data_in[81] la_data_in[80] la_data_in[79]
-+ la_data_in[78] la_data_in[77] la_data_in[76] la_data_in[75] la_data_in[74] la_data_in[73] la_data_in[72]
-+ la_data_in[71] la_data_in[70] la_data_in[69] la_data_in[68] la_data_in[67] la_data_in[66] la_data_in[65]
-+ la_data_in[64] la_data_in[63] la_data_in[62] la_data_in[61] la_data_in[60] la_data_in[59] la_data_in[58]
-+ la_data_in[57] la_data_in[56] la_data_in[55] la_data_in[54] la_data_in[53] la_data_in[52] la_data_in[51]
-+ la_data_in[50] la_data_in[49] la_data_in[48] la_data_in[47] la_data_in[46] la_data_in[45] la_data_in[44]
-+ la_data_in[43] la_data_in[42] la_data_in[41] la_data_in[40] la_data_in[39] la_data_in[38] la_data_in[37]
-+ la_data_in[36] la_data_in[35] la_data_in[34] la_data_in[33] la_data_in[32] la_data_in[31] la_data_in[30]
-+ la_data_in[29] la_data_in[28] la_data_in[27] la_data_in[26] la_data_in[25] la_data_in[24] la_data_in[23]
-+ la_data_in[22] la_data_in[21] la_data_in[20] la_data_in[19] la_data_in[18] la_data_in[17] la_data_in[16]
-+ la_data_in[15] la_data_in[14] la_data_in[13] la_data_in[12] la_data_in[11] la_data_in[10] la_data_in[9]
-+ la_data_in[8] la_data_in[7] la_data_in[6] la_data_in[5] la_data_in[4] la_data_in[3] la_data_in[2] la_data_in[1]
-+ la_data_in[0] la_data_out[127] la_data_out[126] la_data_out[125] la_data_out[124] la_data_out[123]
-+ la_data_out[122] la_data_out[121] la_data_out[120] la_data_out[119] la_data_out[118] la_data_out[117]
-+ la_data_out[116] la_data_out[115] la_data_out[114] la_data_out[113] la_data_out[112] la_data_out[111]
-+ la_data_out[110] la_data_out[109] la_data_out[108] la_data_out[107] la_data_out[106] la_data_out[105]
-+ la_data_out[104] la_data_out[103] la_data_out[102] la_data_out[101] la_data_out[100] la_data_out[99] la_data_out[98]
-+ la_data_out[97] la_data_out[96] la_data_out[95] la_data_out[94] la_data_out[93] la_data_out[92] la_data_out[91]
-+ la_data_out[90] la_data_out[89] la_data_out[88] la_data_out[87] la_data_out[86] la_data_out[85] la_data_out[84]
-+ la_data_out[83] la_data_out[82] la_data_out[81] la_data_out[80] la_data_out[79] la_data_out[78] la_data_out[77]
-+ la_data_out[76] la_data_out[75] la_data_out[74] la_data_out[73] la_data_out[72] la_data_out[71] la_data_out[70]
-+ la_data_out[69] la_data_out[68] la_data_out[67] la_data_out[66] la_data_out[65] la_data_out[64] la_data_out[63]
-+ la_data_out[62] la_data_out[61] la_data_out[60] la_data_out[59] la_data_out[58] la_data_out[57] la_data_out[56]
-+ la_data_out[55] la_data_out[54] la_data_out[53] la_data_out[52] la_data_out[51] la_data_out[50] la_data_out[49]
-+ la_data_out[48] la_data_out[47] la_data_out[46] la_data_out[45] la_data_out[44] la_data_out[43] la_data_out[42]
-+ la_data_out[41] la_data_out[40] la_data_out[39] la_data_out[38] la_data_out[37] la_data_out[36] la_data_out[35]
-+ la_data_out[34] la_data_out[33] la_data_out[32] la_data_out[31] la_data_out[30] la_data_out[29] la_data_out[28]
-+ la_data_out[27] la_data_out[26] la_data_out[25] la_data_out[24] la_data_out[23] la_data_out[22] la_data_out[21]
-+ la_data_out[20] la_data_out[19] la_data_out[18] la_data_out[17] la_data_out[16] la_data_out[15] la_data_out[14]
-+ la_data_out[13] la_data_out[12] la_data_out[11] la_data_out[10] la_data_out[9] la_data_out[8] la_data_out[7]
-+ la_data_out[6] la_data_out[5] la_data_out[4] la_data_out[3] la_data_out[2] la_data_out[1] la_data_out[0] io_in[26]
-+ io_in[25] io_in[24] io_in[23] io_in[22] io_in[21] io_in[20] io_in[19] io_in[18] io_in[17] io_in[16] io_in[15]
-+ io_in[14] io_in[13] io_in[12] io_in[11] io_in[10] io_in[9] io_in[8] io_in[7] io_in[6] io_in[5] io_in[4]
-+ io_in[3] io_in[2] io_in[1] io_in[0] io_in_3v3[26] io_in_3v3[25] io_in_3v3[24] io_in_3v3[23] io_in_3v3[22]
-+ io_in_3v3[21] io_in_3v3[20] io_in_3v3[19] io_in_3v3[18] io_in_3v3[17] io_in_3v3[16] io_in_3v3[15] io_in_3v3[14]
-+ io_in_3v3[13] io_in_3v3[12] io_in_3v3[11] io_in_3v3[10] io_in_3v3[9] io_in_3v3[8] io_in_3v3[7] io_in_3v3[6]
-+ io_in_3v3[5] io_in_3v3[4] io_in_3v3[3] io_in_3v3[2] io_in_3v3[1] io_in_3v3[0] user_clock2 io_out[26] io_out[25]
-+ io_out[24] io_out[23] io_out[22] io_out[21] io_out[20] io_out[19] io_out[18] io_out[17] io_out[16] io_out[15]
-+ io_out[14] io_out[13] io_out[12] io_out[11] io_out[10] io_out[9] io_out[8] io_out[7] io_out[6] io_out[5]
-+ io_out[4] io_out[3] io_out[2] io_out[1] io_out[0] io_oeb[26] io_oeb[25] io_oeb[24] io_oeb[23] io_oeb[22]
-+ io_oeb[21] io_oeb[20] io_oeb[19] io_oeb[18] io_oeb[17] io_oeb[16] io_oeb[15] io_oeb[14] io_oeb[13] io_oeb[12]
-+ io_oeb[11] io_oeb[10] io_oeb[9] io_oeb[8] io_oeb[7] io_oeb[6] io_oeb[5] io_oeb[4] io_oeb[3] io_oeb[2]
-+ io_oeb[1] io_oeb[0] gpio_analog[17] gpio_analog[16] gpio_analog[15] gpio_analog[14] gpio_analog[13]
-+ gpio_analog[12] gpio_analog[11] gpio_analog[10] gpio_analog[9] gpio_analog[8] gpio_analog[7] gpio_analog[6]
-+ gpio_analog[5] gpio_analog[4] gpio_analog[3] gpio_analog[2] gpio_analog[1] gpio_analog[0] gpio_noesd[17]
-+ gpio_noesd[16] gpio_noesd[15] gpio_noesd[14] gpio_noesd[13] gpio_noesd[12] gpio_noesd[11] gpio_noesd[10]
-+ gpio_noesd[9] gpio_noesd[8] gpio_noesd[7] gpio_noesd[6] gpio_noesd[5] gpio_noesd[4] gpio_noesd[3] gpio_noesd[2]
-+ gpio_noesd[1] gpio_noesd[0] io_analog[10] io_analog[9] io_analog[8] io_analog[7] io_analog[6] io_analog[5]
-+ io_analog[4] io_analog[3] io_analog[2] io_analog[1] io_analog[0] io_clamp_high[2] io_clamp_high[1]
-+ io_clamp_high[0] io_clamp_low[2] io_clamp_low[1] io_clamp_low[0] user_irq[2] user_irq[1] user_irq[0] la_oenb[127]
-+ la_oenb[126] la_oenb[125] la_oenb[124] la_oenb[123] la_oenb[122] la_oenb[121] la_oenb[120] la_oenb[119]
-+ la_oenb[118] la_oenb[117] la_oenb[116] la_oenb[115] la_oenb[114] la_oenb[113] la_oenb[112] la_oenb[111]
-+ la_oenb[110] la_oenb[109] la_oenb[108] la_oenb[107] la_oenb[106] la_oenb[105] la_oenb[104] la_oenb[103]
-+ la_oenb[102] la_oenb[101] la_oenb[100] la_oenb[99] la_oenb[98] la_oenb[97] la_oenb[96] la_oenb[95] la_oenb[94]
-+ la_oenb[93] la_oenb[92] la_oenb[91] la_oenb[90] la_oenb[89] la_oenb[88] la_oenb[87] la_oenb[86] la_oenb[85]
-+ la_oenb[84] la_oenb[83] la_oenb[82] la_oenb[81] la_oenb[80] la_oenb[79] la_oenb[78] la_oenb[77] la_oenb[76]
-+ la_oenb[75] la_oenb[74] la_oenb[73] la_oenb[72] la_oenb[71] la_oenb[70] la_oenb[69] la_oenb[68] la_oenb[67]
-+ la_oenb[66] la_oenb[65] la_oenb[64] la_oenb[63] la_oenb[62] la_oenb[61] la_oenb[60] la_oenb[59] la_oenb[58]
-+ la_oenb[57] la_oenb[56] la_oenb[55] la_oenb[54] la_oenb[53] la_oenb[52] la_oenb[51] la_oenb[50] la_oenb[49]
-+ la_oenb[48] la_oenb[47] la_oenb[46] la_oenb[45] la_oenb[44] la_oenb[43] la_oenb[42] la_oenb[41] la_oenb[40]
-+ la_oenb[39] la_oenb[38] la_oenb[37] la_oenb[36] la_oenb[35] la_oenb[34] la_oenb[33] la_oenb[32] la_oenb[31]
-+ la_oenb[30] la_oenb[29] la_oenb[28] la_oenb[27] la_oenb[26] la_oenb[25] la_oenb[24] la_oenb[23] la_oenb[22]
-+ la_oenb[21] la_oenb[20] la_oenb[19] la_oenb[18] la_oenb[17] la_oenb[16] la_oenb[15] la_oenb[14] la_oenb[13]
-+ la_oenb[12] la_oenb[11] la_oenb[10] la_oenb[9] la_oenb[8] la_oenb[7] la_oenb[6] la_oenb[5] la_oenb[4]
-+ la_oenb[3] la_oenb[2] la_oenb[1] la_oenb[0]
++ wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i<3> wbs_sel_i<2> wbs_sel_i<1> wbs_sel_i<0> wbs_dat_i<31>
++ wbs_dat_i<30> wbs_dat_i<29> wbs_dat_i<28> wbs_dat_i<27> wbs_dat_i<26> wbs_dat_i<25> wbs_dat_i<24> wbs_dat_i<23>
++ wbs_dat_i<22> wbs_dat_i<21> wbs_dat_i<20> wbs_dat_i<19> wbs_dat_i<18> wbs_dat_i<17> wbs_dat_i<16> wbs_dat_i<15>
++ wbs_dat_i<14> wbs_dat_i<13> wbs_dat_i<12> wbs_dat_i<11> wbs_dat_i<10> wbs_dat_i<9> wbs_dat_i<8> wbs_dat_i<7>
++ wbs_dat_i<6> wbs_dat_i<5> wbs_dat_i<4> wbs_dat_i<3> wbs_dat_i<2> wbs_dat_i<1> wbs_dat_i<0> wbs_adr_i<31>
++ wbs_adr_i<30> wbs_adr_i<29> wbs_adr_i<28> wbs_adr_i<27> wbs_adr_i<26> wbs_adr_i<25> wbs_adr_i<24> wbs_adr_i<23>
++ wbs_adr_i<22> wbs_adr_i<21> wbs_adr_i<20> wbs_adr_i<19> wbs_adr_i<18> wbs_adr_i<17> wbs_adr_i<16> wbs_adr_i<15>
++ wbs_adr_i<14> wbs_adr_i<13> wbs_adr_i<12> wbs_adr_i<11> wbs_adr_i<10> wbs_adr_i<9> wbs_adr_i<8> wbs_adr_i<7>
++ wbs_adr_i<6> wbs_adr_i<5> wbs_adr_i<4> wbs_adr_i<3> wbs_adr_i<2> wbs_adr_i<1> wbs_adr_i<0> wbs_ack_o
++ wbs_dat_o<31> wbs_dat_o<30> wbs_dat_o<29> wbs_dat_o<28> wbs_dat_o<27> wbs_dat_o<26> wbs_dat_o<25> wbs_dat_o<24>
++ wbs_dat_o<23> wbs_dat_o<22> wbs_dat_o<21> wbs_dat_o<20> wbs_dat_o<19> wbs_dat_o<18> wbs_dat_o<17> wbs_dat_o<16>
++ wbs_dat_o<15> wbs_dat_o<14> wbs_dat_o<13> wbs_dat_o<12> wbs_dat_o<11> wbs_dat_o<10> wbs_dat_o<9> wbs_dat_o<8>
++ wbs_dat_o<7> wbs_dat_o<6> wbs_dat_o<5> wbs_dat_o<4> wbs_dat_o<3> wbs_dat_o<2> wbs_dat_o<1> wbs_dat_o<0>
++ la_data_in<127> la_data_in<126> la_data_in<125> la_data_in<124> la_data_in<123> la_data_in<122> la_data_in<121>
++ la_data_in<120> la_data_in<119> la_data_in<118> la_data_in<117> la_data_in<116> la_data_in<115> la_data_in<114>
++ la_data_in<113> la_data_in<112> la_data_in<111> la_data_in<110> la_data_in<109> la_data_in<108> la_data_in<107>
++ la_data_in<106> la_data_in<105> la_data_in<104> la_data_in<103> la_data_in<102> la_data_in<101> la_data_in<100>
++ la_data_in<99> la_data_in<98> la_data_in<97> la_data_in<96> la_data_in<95> la_data_in<94> la_data_in<93>
++ la_data_in<92> la_data_in<91> la_data_in<90> la_data_in<89> la_data_in<88> la_data_in<87> la_data_in<86>
++ la_data_in<85> la_data_in<84> la_data_in<83> la_data_in<82> la_data_in<81> la_data_in<80> la_data_in<79>
++ la_data_in<78> la_data_in<77> la_data_in<76> la_data_in<75> la_data_in<74> la_data_in<73> la_data_in<72>
++ la_data_in<71> la_data_in<70> la_data_in<69> la_data_in<68> la_data_in<67> la_data_in<66> la_data_in<65>
++ la_data_in<64> la_data_in<63> la_data_in<62> la_data_in<61> la_data_in<60> la_data_in<59> la_data_in<58>
++ la_data_in<57> la_data_in<56> la_data_in<55> la_data_in<54> la_data_in<53> la_data_in<52> la_data_in<51>
++ la_data_in<50> la_data_in<49> la_data_in<48> la_data_in<47> la_data_in<46> la_data_in<45> la_data_in<44>
++ la_data_in<43> la_data_in<42> la_data_in<41> la_data_in<40> la_data_in<39> la_data_in<38> la_data_in<37>
++ la_data_in<36> la_data_in<35> la_data_in<34> la_data_in<33> la_data_in<32> la_data_in<31> la_data_in<30>
++ la_data_in<29> la_data_in<28> la_data_in<27> la_data_in<26> la_data_in<25> la_data_in<24> la_data_in<23>
++ la_data_in<22> la_data_in<21> la_data_in<20> la_data_in<19> la_data_in<18> la_data_in<17> la_data_in<16>
++ la_data_in<15> la_data_in<14> la_data_in<13> la_data_in<12> la_data_in<11> la_data_in<10> la_data_in<9>
++ la_data_in<8> la_data_in<7> la_data_in<6> la_data_in<5> la_data_in<4> la_data_in<3> la_data_in<2> la_data_in<1>
++ la_data_in<0> la_data_out<127> la_data_out<126> la_data_out<125> la_data_out<124> la_data_out<123>
++ la_data_out<122> la_data_out<121> la_data_out<120> la_data_out<119> la_data_out<118> la_data_out<117>
++ la_data_out<116> la_data_out<115> la_data_out<114> la_data_out<113> la_data_out<112> la_data_out<111>
++ la_data_out<110> la_data_out<109> la_data_out<108> la_data_out<107> la_data_out<106> la_data_out<105>
++ la_data_out<104> la_data_out<103> la_data_out<102> la_data_out<101> la_data_out<100> la_data_out<99> la_data_out<98>
++ la_data_out<97> la_data_out<96> la_data_out<95> la_data_out<94> la_data_out<93> la_data_out<92> la_data_out<91>
++ la_data_out<90> la_data_out<89> la_data_out<88> la_data_out<87> la_data_out<86> la_data_out<85> la_data_out<84>
++ la_data_out<83> la_data_out<82> la_data_out<81> la_data_out<80> la_data_out<79> la_data_out<78> la_data_out<77>
++ la_data_out<76> la_data_out<75> la_data_out<74> la_data_out<73> la_data_out<72> la_data_out<71> la_data_out<70>
++ la_data_out<69> la_data_out<68> la_data_out<67> la_data_out<66> la_data_out<65> la_data_out<64> la_data_out<63>
++ la_data_out<62> la_data_out<61> la_data_out<60> la_data_out<59> la_data_out<58> la_data_out<57> la_data_out<56>
++ la_data_out<55> la_data_out<54> la_data_out<53> la_data_out<52> la_data_out<51> la_data_out<50> la_data_out<49>
++ la_data_out<48> la_data_out<47> la_data_out<46> la_data_out<45> la_data_out<44> la_data_out<43> la_data_out<42>
++ la_data_out<41> la_data_out<40> la_data_out<39> la_data_out<38> la_data_out<37> la_data_out<36> la_data_out<35>
++ la_data_out<34> la_data_out<33> la_data_out<32> la_data_out<31> la_data_out<30> la_data_out<29> la_data_out<28>
++ la_data_out<27> la_data_out<26> la_data_out<25> la_data_out<24> la_data_out<23> la_data_out<22> la_data_out<21>
++ la_data_out<20> la_data_out<19> la_data_out<18> la_data_out<17> la_data_out<16> la_data_out<15> la_data_out<14>
++ la_data_out<13> la_data_out<12> la_data_out<11> la_data_out<10> la_data_out<9> la_data_out<8> la_data_out<7>
++ la_data_out<6> la_data_out<5> la_data_out<4> la_data_out<3> la_data_out<2> la_data_out<1> la_data_out<0> io_in<26>
++ io_in<25> io_in<24> io_in<23> io_in<22> io_in<21> io_in<20> io_in<19> io_in<18> io_in<17> io_in<16> io_in<15>
++ io_in<14> io_in<13> io_in<12> io_in<11> io_in<10> io_in<9> io_in<8> io_in<7> io_in<6> io_in<5> io_in<4>
++ io_in<3> io_in<2> io_in<1> io_in<0> io_in_3v3<26> io_in_3v3<25> io_in_3v3<24> io_in_3v3<23> io_in_3v3<22>
++ io_in_3v3<21> io_in_3v3<20> io_in_3v3<19> io_in_3v3<18> io_in_3v3<17> io_in_3v3<16> io_in_3v3<15> io_in_3v3<14>
++ io_in_3v3<13> io_in_3v3<12> io_in_3v3<11> io_in_3v3<10> io_in_3v3<9> io_in_3v3<8> io_in_3v3<7> io_in_3v3<6>
++ io_in_3v3<5> io_in_3v3<4> io_in_3v3<3> io_in_3v3<2> io_in_3v3<1> io_in_3v3<0> user_clock2 io_out<26> io_out<25>
++ io_out<24> io_out<23> io_out<22> io_out<21> io_out<20> io_out<19> io_out<18> io_out<17> io_out<16> io_out<15>
++ io_out<14> io_out<13> io_out<12> io_out<11> io_out<10> io_out<9> io_out<8> io_out<7> io_out<6> io_out<5>
++ io_out<4> io_out<3> io_out<2> io_out<1> io_out<0> io_oeb<26> io_oeb<25> io_oeb<24> io_oeb<23> io_oeb<22>
++ io_oeb<21> io_oeb<20> io_oeb<19> io_oeb<18> io_oeb<17> io_oeb<16> io_oeb<15> io_oeb<14> io_oeb<13> io_oeb<12>
++ io_oeb<11> io_oeb<10> io_oeb<9> io_oeb<8> io_oeb<7> io_oeb<6> io_oeb<5> io_oeb<4> io_oeb<3> io_oeb<2>
++ io_oeb<1> io_oeb<0> gpio_analog<17> gpio_analog<16> gpio_analog<15> gpio_analog<14> gpio_analog<13>
++ gpio_analog<12> gpio_analog<11> gpio_analog<10> gpio_analog<9> gpio_analog<8> gpio_analog<7> gpio_analog<6>
++ gpio_analog<5> gpio_analog<4> gpio_analog<3> gpio_analog<2> gpio_analog<1> gpio_analog<0> gpio_noesd<17>
++ gpio_noesd<16> gpio_noesd<15> gpio_noesd<14> gpio_noesd<13> gpio_noesd<12> gpio_noesd<11> gpio_noesd<10>
++ gpio_noesd<9> gpio_noesd<8> gpio_noesd<7> gpio_noesd<6> gpio_noesd<5> gpio_noesd<4> gpio_noesd<3> gpio_noesd<2>
++ gpio_noesd<1> gpio_noesd<0> io_analog<10> io_analog<9> io_analog<8> io_analog<7> io_analog<6> io_analog<5>
++ io_analog<4> io_analog<3> io_analog<2> io_analog<1> io_analog<0> io_clamp_high<2> io_clamp_high<1>
++ io_clamp_high<0> io_clamp_low<2> io_clamp_low<1> io_clamp_low<0> user_irq<2> user_irq<1> user_irq<0> la_oenb<127>
++ la_oenb<126> la_oenb<125> la_oenb<124> la_oenb<123> la_oenb<122> la_oenb<121> la_oenb<120> la_oenb<119>
++ la_oenb<118> la_oenb<117> la_oenb<116> la_oenb<115> la_oenb<114> la_oenb<113> la_oenb<112> la_oenb<111>
++ la_oenb<110> la_oenb<109> la_oenb<108> la_oenb<107> la_oenb<106> la_oenb<105> la_oenb<104> la_oenb<103>
++ la_oenb<102> la_oenb<101> la_oenb<100> la_oenb<99> la_oenb<98> la_oenb<97> la_oenb<96> la_oenb<95> la_oenb<94>
++ la_oenb<93> la_oenb<92> la_oenb<91> la_oenb<90> la_oenb<89> la_oenb<88> la_oenb<87> la_oenb<86> la_oenb<85>
++ la_oenb<84> la_oenb<83> la_oenb<82> la_oenb<81> la_oenb<80> la_oenb<79> la_oenb<78> la_oenb<77> la_oenb<76>
++ la_oenb<75> la_oenb<74> la_oenb<73> la_oenb<72> la_oenb<71> la_oenb<70> la_oenb<69> la_oenb<68> la_oenb<67>
++ la_oenb<66> la_oenb<65> la_oenb<64> la_oenb<63> la_oenb<62> la_oenb<61> la_oenb<60> la_oenb<59> la_oenb<58>
++ la_oenb<57> la_oenb<56> la_oenb<55> la_oenb<54> la_oenb<53> la_oenb<52> la_oenb<51> la_oenb<50> la_oenb<49>
++ la_oenb<48> la_oenb<47> la_oenb<46> la_oenb<45> la_oenb<44> la_oenb<43> la_oenb<42> la_oenb<41> la_oenb<40>
++ la_oenb<39> la_oenb<38> la_oenb<37> la_oenb<36> la_oenb<35> la_oenb<34> la_oenb<33> la_oenb<32> la_oenb<31>
++ la_oenb<30> la_oenb<29> la_oenb<28> la_oenb<27> la_oenb<26> la_oenb<25> la_oenb<24> la_oenb<23> la_oenb<22>
++ la_oenb<21> la_oenb<20> la_oenb<19> la_oenb<18> la_oenb<17> la_oenb<16> la_oenb<15> la_oenb<14> la_oenb<13>
++ la_oenb<12> la_oenb<11> la_oenb<10> la_oenb<9> la_oenb<8> la_oenb<7> la_oenb<6> la_oenb<5> la_oenb<4>
++ la_oenb<3> la_oenb<2> la_oenb<1> la_oenb<0>
 *.PININFO vdda1:B vdda2:B vssa1:B vssa2:B vccd1:B vccd2:B vssd1:B vssd2:B wb_clk_i:I wb_rst_i:I
 *+ wbs_stb_i:I wbs_cyc_i:I wbs_we_i:I wbs_sel_i[3:0]:I wbs_dat_i[31:0]:I wbs_adr_i[31:0]:I wbs_ack_o:O
 *+ wbs_dat_o[31:0]:O la_data_in[127:0]:I la_data_out[127:0]:O io_in[26:0]:I io_in_3v3[26:0]:I user_clock2:I
 *+ io_out[26:0]:O io_oeb[26:0]:O gpio_analog[17:0]:B gpio_noesd[17:0]:B io_analog[10:0]:B io_clamp_high[2:0]:B
 *+ io_clamp_low[2:0]:B user_irq[2:0]:O la_oenb[127:0]:I
-X1 REF io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_analog[10] VCCD net1 io_analog[9]
-+ io_analog[8] VCO
+X1 REF io_in<15> io_in<16> io_in<17> io_in<18> io_in<19> io_analog<10> vdda2 net2 io_analog<9>
++ io_analog<8> VCO
+X2 gpio_analog<7> vccd2 vssa2 io_analog<6> REF2 REF io_analog<7> REF3 REF4 net11 net12 BGR_lvs
+X3 REF2 io_in<15> io_in<16> io_in<17> io_in<18> io_in<19> io_analog<10> vdda2 vssa2 txina txinb VCO
+X4 txina txinb net9 net10 Tx_line
 .ends
 
 * expanding   symbol:  VCO.sym # of pins=11
-** sym_path: /foss/designs/sloci_caravel/xschem/VCO.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/VCO.sch
-.subckt VCO  REF CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 VCTRL VDD GND OUT0 OUT180
+** sym_path: /home/zexious/project/sloci_caravel/xschem/VCO.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/VCO.sch
+.subckt VCO REF CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 VCTRL VDD GND OUT0 OUT180
 *.PININFO GND:I CTRL1:I CTRL2:I CTRL3:I CTRL4:I CTRL5:I VCTRL:I REF:I VDD:I OUT0:O OUT180:O
 X1 VBIASN1 GND GND VDD net1 net2 net3 net4 net5 net6 net7 net8 core_osc
 X3 GND GND VDD net1 net2 net3 net4 net5 net6 net7 net8 net13 net12 net9 net10 net11 net14 VBIASN1
@@ -117,10 +120,569 @@
 .ends
 
 
+* expanding   symbol:  BGR_lvs.sym # of pins=11
+** sym_path: /home/zexious/project/sloci_caravel/xschem/BGR_lvs.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/BGR_lvs.sch
+.subckt BGR_lvs porst VDD VSS Vbg Iout0 Iout1 Iout2 Iout3 Iout4 Iout5 Iout6
+*.PININFO Iout0:O VDD:B VSS:B Iout1:O Iout2:O porst:I Vbg:O Iout3:O Iout4:O Iout5:O Iout6:O
+XQ2 VSS VSS Va sky130_fd_pr__pnp_05v5_W3p40L3p40 m=1
+XQ1 VSS VSS vbneg sky130_fd_pr__pnp_05v5_W3p40L3p40 m=34 m=1
+XMota_bias_n Vota_bias1 Vota_bias1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=4 W=5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XMota_bias_p Vota_bias1 vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XMfeedback_mir Va vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=28 m=28
+XMfeedback_mir1 Vb vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=28 m=28
+XMfeedback_mir2 Vbg vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=28 m=28
+XQ3 VSS VSS vbe3 sky130_fd_pr__pnp_05v5_W3p40L3p40 m=1
+XM11 vd4 vcurrent_gate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=5 m=5
+XM8 voutb2 vcurrent_gate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=5 m=5
+XM4 voutb1 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM5 voutb2 voutb2 voutb1 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XMinv_n net1 porst VSS VSS sky130_fd_pr__nfet_01v8 L=0.5 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XMinv_p net1 porst VDD VDD sky130_fd_pr__pfet_01v8 L=0.5 W=4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XMinv_n1 net2 net1 VSS VSS sky130_fd_pr__nfet_01v8 L=0.5 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XMinv_p1 net2 net1 VDD VDD sky130_fd_pr__pfet_01v8 L=0.5 W=4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM15 net3 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM16 Iout0 voutb2 net3 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM17 net4 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM18 Iout1 voutb2 net4 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XC_porst VSS porst_buff sky130_fd_pr__cap_mim_m3_1 W=23 L=5.8 MF=1 m=1
+XM19 net5 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM20 Iout2 voutb2 net5 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM21 net6 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM22 Iout3 voutb2 net6 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM23 net7 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM24 Iout4 voutb2 net7 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM25 net8 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM26 Iout5 voutb2 net8 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM27 net9 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM28 Iout6 voutb2 net9 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XRref_xhigh net11 VSS VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh1 net12 net11 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh2 net13 net12 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh3 net14 net13 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh4 vd4 net14 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XR4 vbe3 Vbg VSS sky130_fd_pr__res_high_po_1p41 L=42.39 mult=1 m=1
+XR3 vbneg Vb VSS sky130_fd_pr__res_high_po_1p41 L=8.1 mult=1 m=1
+XR_porst porst_buff net2 VSS sky130_fd_pr__res_high_po_1p41 L=16 mult=1 m=1
+XRref_high1 vd4 net10 VSS sky130_fd_pr__res_high_po_1p41 L=47.5 mult=1 m=1
+XRref_high2 net10 VSS VSS sky130_fd_pr__res_high_po_1p41 L=104 mult=1 m=1
+XM1 VSS VSS VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=512 m=512
+XM2 VSS VSS VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=512 m=512
+XM3 VDD VDD VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=80 m=80
+XMpdn vgate porst_buff VSS VSS sky130_fd_pr__nfet_01v8 L=0.5 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XQ4 VSS VSS VSS sky130_fd_pr__pnp_05v5_W3p40L3p40 m=14 m=1
+x1 VDD Va Vb vgate Vota_bias1 VSS opamp_realcomp3_usefinger
+x2 VDD Vbg vd4 vcurrent_gate Vota_bias1 VSS opamp_realcomp3_usefinger
+.ends
+
+
+* expanding   symbol:  Tx_line.sym # of pins=4
+** sym_path: /home/zexious/project/sloci_caravel/xschem/Tx_line.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/Tx_line.sch
+.subckt Tx_line INA INB OUTA OUTB
+*.PININFO INA:I OUTA:O INB:I OUTB:O
+.ends
+
+
+* expanding   symbol:  topLevel.sym # of pins=11
+** sym_path: /home/zexious/project/sloci_caravel/xschem/topLevel.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/topLevel.sch
+.subckt topLevel
+*.PININFO vss:I Iref:I vref:I vc:I vinp:I vinn:I vin0p:I vin0n:I vout5p:O vout5n:O vdd:I
+XR1 vd11 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR2 vout1p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR3 vd11 vout1p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR6 vout1n vd12 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM1 vd11 vinp vs11 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 vout1p vd11 vs12 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM3 vout1n vd12 vs12 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM4 vd12 vinn vs11 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM5 vs12 vo2 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM6 vs11 vo vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR9 vd21 vout2p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR12 vout2n vd22 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM7 vd21 vout1p vs21 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM8 vout2p vd21 vs22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM10 vout2n vd22 vs22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM11 vd22 vout1n vs21 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM12 vs22 vo22 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM13 vs21 vo21 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR15 vd41 vout4p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR18 vout4n vd42 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM14 vd41 vout3p vs41 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM15 vout4p vd41 vs42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM16 vout4n vd42 vs42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM17 vd42 vout3n vs41 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM18 vs42 vo42 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM19 vs41 vo41 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR27 vd31 vout3p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR30 vout3n vd32 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM26 vd31 vout2p vs31 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM27 vout3p vd31 vs32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM28 vout3n vd32 vs32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM29 vd32 vout2n vs31 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM30 vs32 vo32 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM31 vs31 vo31 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR21 vd51 vout5p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR24 vout5n vd52 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM20 vd51 vout4p vs51 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM21 vout5p vd51 vs52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM22 vout5n vd52 vs52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM23 vd52 vout4n vs51 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM24 vs52 vo52 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM25 vs51 vo51 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR36 vfbn vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR40 vfbp vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XM34 vfbn vout5p vst vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM37 vfbp vout5n vst vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM39 vst Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM41 vd11 vin0p vsoc vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM42 vd12 vin0n vsoc vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM43 vsoc Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=28 m=28
+XM32 vd21 vc vout2p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM33 vout2n vc vd22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM35 vd51 vc vout5p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM36 vout5n vc vd52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM38 vd41 vc vout4p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM40 vout4n vc vd42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM44 vd31 vc vout3p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM45 vout3n vc vd32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM9 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM46 net2 vref net1 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM47 net3 vcm net1 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM48 net1 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM49 vo Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM50 net3 net2 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM51 net2 net2 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM52 vo net3 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XC3 net7 vo sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XM54 net5 vref net4 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM55 net6 vcm2 net4 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM56 net4 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM57 vo2 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM58 net6 net5 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM59 net5 net5 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM60 vo2 net6 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR35 vd11 vcm vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR37 vcm vd12 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR38 vout1p vcm2 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR39 vcm2 vout1n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM62 net10 vref net9 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM63 net11 vcm21 net9 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM64 net9 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM65 vo21 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM66 net11 net10 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM67 net10 net10 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM68 vo21 net11 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM70 net13 vref net12 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM71 net14 vcm22 net12 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM72 net12 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM73 vo22 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM74 net14 net13 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM75 net13 net13 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM76 vo22 net14 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR43 vd21 vcm21 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR44 vcm21 vd22 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR45 vout2p vcm22 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR46 vcm22 vout2n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM78 net18 vref net17 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM79 net19 vcm31 net17 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM80 net17 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM81 vo31 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM82 net19 net18 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM83 net18 net18 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM84 vo31 net19 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM86 net21 vref net20 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM87 net22 vcm32 net20 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM88 net20 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM89 vo32 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM90 net22 net21 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM91 net21 net21 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM92 vo32 net22 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR49 vd31 vcm31 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR50 vcm31 vd32 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR51 vout3p vcm32 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR52 vcm32 vout3n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM94 net26 vref net25 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM95 net27 vcm41 net25 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM96 net25 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM97 vo41 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM98 net27 net26 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM99 net26 net26 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM100 vo41 net27 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM102 net29 vref net28 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM103 net30 vcm42 net28 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM104 net28 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM105 vo42 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM106 net30 net29 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM107 net29 net29 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM108 vo42 net30 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XR55 vd41 vcm41 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR56 vcm41 vd42 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR57 vout4p vcm42 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR58 vcm42 vout4n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM110 net34 vref net33 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM111 net35 vcm51 net33 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM112 net33 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM113 vo51 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM114 net35 net34 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM115 net34 net34 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM116 vo51 net35 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM118 net37 vref net36 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM119 net38 vcm52 net36 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM120 net36 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM121 vo52 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM122 net38 net37 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM123 net37 net37 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM124 vo52 net38 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XR61 vd51 vcm51 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR62 vcm51 vd52 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR63 vout5p vcm52 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR64 vcm52 vout5n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR33 net7 net3 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR34 net8 net6 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR41 net15 net11 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR42 net16 net14 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR47 net23 net19 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR48 net24 net22 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR53 net31 net27 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR54 net32 net30 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR59 net39 net35 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR60 net40 net38 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XM53 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1.28 nf=2 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR31 vin0p vfbn vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR32 vin0n vfbp vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XM61 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=0.64 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2
+XC4 net8 vo2 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC5 net15 vo21 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC6 net16 vo22 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC13 net23 vo31 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC7 net24 vo32 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC8 net31 vo41 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC9 net32 vo42 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC10 net39 vo51 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC11 net40 vo52 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XR4 vout1n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR5 vd12 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR7 vd21 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR8 vout2p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR10 vout2n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR11 vd22 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR13 vd52 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR14 vout5n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR16 vout5p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR17 vd51 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR19 vd42 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR20 vout4n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR22 vout4p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR23 vd41 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR25 vd32 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR26 vout3n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR28 vout3p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR29 vd31 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+.ends
+
+
 * expanding   symbol:  core_osc.sym # of pins=12
-** sym_path: /foss/designs/sloci_caravel/xschem/core_osc.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/core_osc.sch
-.subckt core_osc  BIAS GND SUB VDD S1A S1B S2A S2B S3A S3B S4A S4B
+** sym_path: /home/zexious/project/sloci_caravel/xschem/core_osc.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/core_osc.sch
+.subckt core_osc BIAS GND SUB VDD S1A S1B S2A S2B S3A S3B S4A S4B
 *.PININFO VDD:I BIAS:I SUB:I GND:I S1A:O S1B:O S2A:O S2B:O S3A:O S3B:O S4A:O S4B:O
 X1 S4B S4A BIAS VDD GND SUB S1A S1B core_osc_amp
 X2 S1A S1B BIAS VDD GND SUB S2A S2B core_osc_amp
@@ -130,9 +692,9 @@
 
 
 * expanding   symbol:  buffer_amp_vop.sym # of pins=18
-** sym_path: /foss/designs/sloci_caravel/xschem/buffer_amp_vop.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/buffer_amp_vop.sch
-.subckt buffer_amp_vop  GND SUB VDD I1A I1B I2A I2B I3A I3B I4A I4B AMP VOP OUT0 OUT90 OUT180 OUT270
+** sym_path: /home/zexious/project/sloci_caravel/xschem/buffer_amp_vop.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/buffer_amp_vop.sch
+.subckt buffer_amp_vop GND SUB VDD I1A I1B I2A I2B I3A I3B I4A I4B AMP VOP OUT0 OUT90 OUT180 OUT270
 + BIAS
 *.PININFO BIAS:I GND:I SUB:I I1A:I I1B:I I2A:I I2B:I I3A:I I3B:I I4A:I I4B:I VDD:I AMP:O VOP:O
 *+ OUT0:O OUT180:O OUT90:O OUT270:O
@@ -146,9 +708,9 @@
 
 
 * expanding   symbol:  bias_calc.sym # of pins=9
-** sym_path: /foss/designs/sloci_caravel/xschem/bias_calc.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/bias_calc.sch
-.subckt bias_calc  BIASOUT VDD PSUB AMP VOP VCTRL GND SUB BIAS2V
+** sym_path: /home/zexious/project/sloci_caravel/xschem/bias_calc.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/bias_calc.sch
+.subckt bias_calc BIASOUT VDD PSUB AMP VOP VCTRL GND SUB BIAS2V
 *.PININFO AMP:I VOP:I VCTRL:I BIAS2V:I VDD:I PSUB:I SUB:I GND:I BIASOUT:O
 XM29 net1 BIAS2V VDD PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=15 nf=15 ad='int((nf+1)/2) * W/nf * 0.29'
 + as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
@@ -189,15 +751,15 @@
 
 
 * expanding   symbol:  cap_bank.sym # of pins=7
-** sym_path: /foss/designs/sloci_caravel/xschem/cap_bank.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/cap_bank.sch
-.subckt cap_bank  IN GND ctrll1 ctrll2 ctrll3 ctrll4 ctrll5
+** sym_path: /home/zexious/project/sloci_caravel/xschem/cap_bank.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/cap_bank.sch
+.subckt cap_bank IN GND ctrll1 ctrll2 ctrll3 ctrll4 ctrll5
 *.PININFO IN:I GND:I ctrll1:I ctrll2:I ctrll3:I ctrll4:I ctrll5:I
-XC6 IN net1 sky130_fd_pr__cap_mim_m3_2 W=2 L=2 m=1
-XC1 IN net5 sky130_fd_pr__cap_mim_m3_2 W=2 L=2 m=1
-XC2 IN net4 sky130_fd_pr__cap_mim_m3_2 W=3 L=2 m=1
-XC3 IN net3 sky130_fd_pr__cap_mim_m3_2 W=3 L=4 m=1
-XC4 IN net2 sky130_fd_pr__cap_mim_m3_2 W=6 L=4 m=1
+XC6 IN net1 sky130_fd_pr__cap_mim_m3_2 W=2 L=2 MF=1 m=1
+XC1 IN net5 sky130_fd_pr__cap_mim_m3_2 W=2 L=2 MF=1 m=1
+XC2 IN net4 sky130_fd_pr__cap_mim_m3_2 W=3 L=2 MF=1 m=1
+XC3 IN net3 sky130_fd_pr__cap_mim_m3_2 W=3 L=4 MF=1 m=1
+XC4 IN net2 sky130_fd_pr__cap_mim_m3_2 W=6 L=4 MF=1 m=1
 XM1 net1 ctrll1 GND GND sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
 + as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
 + nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
@@ -217,9 +779,9 @@
 
 
 * expanding   symbol:  output_buffer.sym # of pins=8
-** sym_path: /foss/designs/sloci_caravel/xschem/output_buffer.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/output_buffer.sch
-.subckt output_buffer  OUTA VDD INA BIAS GND SUB INB OUTB
+** sym_path: /home/zexious/project/sloci_caravel/xschem/output_buffer.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/output_buffer.sch
+.subckt output_buffer OUTA VDD INA BIAS GND SUB INB OUTB
 *.PININFO SUB:I GND:I INA:I BIAS:I VDD:I OUTA:O OUTB:O INB:I
 XM42 net2 INA net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
@@ -252,10 +814,41 @@
 .ends
 
 
+* expanding   symbol:  opamp_realcomp3_usefinger.sym # of pins=6
+** sym_path: /home/zexious/project/sloci_caravel/xschem/opamp_realcomp3_usefinger.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/opamp_realcomp3_usefinger.sch
+.subckt opamp_realcomp3_usefinger vdd in_n in_p out bias_0p7 vss
+*.PININFO vdd:B vss:B in_n:I in_p:I out:O bias_0p7:I
+XM_diff_n ppair_gate in_n diffpair_source vss sky130_fd_pr__nfet_01v8_lvt L=1 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_diff_n1 first_stage_out in_p diffpair_source vss sky130_fd_pr__nfet_01v8_lvt L=1 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_tail diffpair_source bias_0p7 vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=10 nf=5 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2
+XM_actload out bias_0p7 vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=15 nf=5 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_ppair_p first_stage_out ppair_gate vdd vdd sky130_fd_pr__pfet_01v8_lvt L=1 W=8 nf=4 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_ppair_p1 ppair_gate ppair_gate vdd vdd sky130_fd_pr__pfet_01v8_lvt L=1 W=8 nf=4 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_cs out first_stage_out vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=84 nf=14 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XC1 first_stage_out net1 sky130_fd_pr__cap_mim_m3_1 W=16 L=21.4 MF=1 m=1
+XR1 net1 out vss sky130_fd_pr__res_high_po_2p85 L=12.1 mult=1 m=1
+.ends
+
+
 * expanding   symbol:  core_osc_amp.sym # of pins=8
-** sym_path: /foss/designs/sloci_caravel/xschem/core_osc_amp.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/core_osc_amp.sch
-.subckt core_osc_amp  INA INB BIAS VDD GND SUB OUTA OUTB
+** sym_path: /home/zexious/project/sloci_caravel/xschem/core_osc_amp.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/core_osc_amp.sch
+.subckt core_osc_amp INA INB BIAS VDD GND SUB OUTA OUTB
 *.PININFO INA:I INB:I BIAS:I GND:I VDD:I SUB:I OUTA:O OUTB:O
 XM2 OUTA INA net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
@@ -275,9 +868,9 @@
 
 
 * expanding   symbol:  buffer_amp.sym # of pins=8
-** sym_path: /foss/designs/sloci_caravel/xschem/buffer_amp.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/buffer_amp.sch
-.subckt buffer_amp  INA INB BIAS VDD GND SUB OUTA OUTB
+** sym_path: /home/zexious/project/sloci_caravel/xschem/buffer_amp.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/buffer_amp.sch
+.subckt buffer_amp INA INB BIAS VDD GND SUB OUTA OUTB
 *.PININFO INA:I INB:I BIAS:I GND:I VDD:I SUB:I OUTA:O OUTB:O
 XM1 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
 + as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
@@ -297,9 +890,9 @@
 
 
 * expanding   symbol:  amp_dec.sym # of pins=8
-** sym_path: /foss/designs/sloci_caravel/xschem/amp_dec.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/amp_dec.sch
-.subckt amp_dec  IN1 IN2 IN3 IN4 AMP VDD GND SUB
+** sym_path: /home/zexious/project/sloci_caravel/xschem/amp_dec.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/amp_dec.sch
+.subckt amp_dec IN1 IN2 IN3 IN4 AMP VDD GND SUB
 *.PININFO VDD:I SUB:I GND:I IN1:I IN2:I IN3:I IN4:I AMP:O
 XM25 VDD IN1 AMP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
@@ -319,9 +912,9 @@
 
 
 * expanding   symbol:  vop_dec.sym # of pins=5
-** sym_path: /foss/designs/sloci_caravel/xschem/vop_dec.sym
-** sch_path: /foss/designs/sloci_caravel/xschem/vop_dec.sch
-.subckt vop_dec  IN VDD GND SUB VOP
+** sym_path: /home/zexious/project/sloci_caravel/xschem/vop_dec.sym
+** sch_path: /home/zexious/project/sloci_caravel/xschem/vop_dec.sch
+.subckt vop_dec IN VDD GND SUB VOP
 *.PININFO IN:I VDD:I GND:I SUB:I VOP:O
 XM41 VDD IN VOP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=12 nf=12 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'