latest precheck with feedback
diff --git a/mag/feedback.txt b/mag/feedback.txt
new file mode 100644
index 0000000..d2a45ae
--- /dev/null
+++ b/mag/feedback.txt
@@ -0,0 +1,84 @@
+box 152935 407337 152937 407339
+feedback add "Label \"GND\" attached to more than one unconnected node: m1_16085_n110#" pale
+box 153430 420517 153432 420519
+feedback add "Label \"GND\" attached to more than one unconnected node: m1_9945_n110#" pale
+box 152890 413507 152892 413509
+feedback add "Label \"BIAS\" attached to more than one unconnected node: m1_15855_n1840#" pale
+box 153470 417437 153472 417439
+feedback add "Label \"BIAS\" attached to more than one unconnected node: m1_9900_n190#" pale
+box 153455 419172 153457 419174
+feedback add "Label \"VDD\" attached to more than one unconnected node: m1_10205_n1080#" pale
+box 153050 411922 153052 411924
+feedback add "Label \"VDD\" attached to more than one unconnected node: m1_17435_n1675#" pale
+box 139515 427887 139517 427889
+feedback add "Label \"BIAS\" attached to more than one unconnected node: m1_10230_13380#" pale
+box 148225 427877 148227 427879
+feedback add "Label \"BIAS\" attached to more than one unconnected node: m1_10240_22090#" pale
+box 151605 403537 151607 403539
+feedback add "Label \"GND\" attached to more than one unconnected node: m2_54780_20430#" pale
+box 139635 427857 139637 427859
+feedback add "Label \"GND\" attached to more than one unconnected node: m2_43710_37930#" pale
+box 245690 566515 245692 566517
+feedback add "Label \"out\" attached to more than one unconnected node: m2_301_2157#" pale
+box 248398 565265 248400 565267
+feedback add "Label \"out\" attached to more than one unconnected node: m1_3338_907#" pale
+box 329293 702299 329295 702301
+feedback add "Label \"io_analog[4]\" attached to more than one unconnected node: m5_329294_702300#" pale
+box 318993 702299 318995 702301
+feedback add "Label \"io_analog[4]\" attached to more than one unconnected node: m5_318994_702300#" pale
+box 329293 702299 329295 702301
+feedback add "Label \"io_analog[4]\" attached to more than one unconnected node: m4_329294_702300#" pale
+box 318993 702299 318995 702301
+feedback add "Label \"io_analog[4]\" attached to more than one unconnected node: m4_318994_702300#" pale
+box 329293 702299 329295 702301
+feedback add "Label \"io_analog[4]\" attached to more than one unconnected node: m3_329294_702300#" pale
+box 318993 702299 318995 702301
+feedback add "Label \"io_analog[4]\" attached to more than one unconnected node: m3_318994_702300#" pale
+box 227593 702299 227595 702301
+feedback add "Label \"io_analog[5]\" attached to more than one unconnected node: m5_227594_702300#" pale
+box 217293 702299 217295 702301
+feedback add "Label \"io_analog[5]\" attached to more than one unconnected node: m5_217294_702300#" pale
+box 227593 702299 227595 702301
+feedback add "Label \"io_analog[5]\" attached to more than one unconnected node: m4_227594_702300#" pale
+box 217293 702299 217295 702301
+feedback add "Label \"io_analog[5]\" attached to more than one unconnected node: m4_217294_702300#" pale
+box 227593 702299 227595 702301
+feedback add "Label \"io_analog[5]\" attached to more than one unconnected node: m3_227594_702300#" pale
+box 217293 702299 217295 702301
+feedback add "Label \"io_analog[5]\" attached to more than one unconnected node: m3_217294_702300#" pale
+box 175893 702299 175895 702301
+feedback add "Label \"io_analog[6]\" attached to more than one unconnected node: m5_175894_702300#" pale
+box 165593 702299 165595 702301
+feedback add "Label \"io_analog[6]\" attached to more than one unconnected node: m5_165594_702300#" pale
+box 175893 702299 175895 702301
+feedback add "Label \"io_analog[6]\" attached to more than one unconnected node: m3_175894_702300#" pale
+box 165593 702299 165595 702301
+feedback add "Label \"io_analog[6]\" attached to more than one unconnected node: m3_165594_702300#" pale
+box 165593 702299 165595 702301
+feedback add "Label \"io_analog[6]\" attached to more than one unconnected node: m2_238000_580200#" pale
+box 175893 702299 175895 702301
+feedback add "Label \"io_analog[6]\" attached to more than one unconnected node: m2_238000_580200#" pale
+box 582339 136829 582341 136831
+feedback add "Label \"vssa1\" attached to more than one unconnected node: m3_582340_136830#" pale
+box 582339 146829 582341 146831
+feedback add "Label \"vssa1\" attached to more than one unconnected node: m3_582340_146830#" pale
+box 520593 702339 520595 702341
+feedback add "Label \"vssa1\" attached to more than one unconnected node: m3_520594_702340#" pale
+box 510593 702339 510595 702341
+feedback add "Label \"vssa1\" attached to more than one unconnected node: m3_510594_702340#" pale
+box -1 162887 1 162889
+feedback add "Label \"vssd2\" attached to more than one unconnected node: m3_n800_162888#" pale
+box -1 172887 1 172889
+feedback add "Label \"vssd2\" attached to more than one unconnected node: m3_n800_172888#" pale
+box 582339 181429 582341 181431
+feedback add "Label \"vssd1\" attached to more than one unconnected node: m3_582340_181430#" pale
+box 582339 191429 582341 191431
+feedback add "Label \"vssd1\" attached to more than one unconnected node: m3_582340_191430#" pale
+box 582339 225229 582341 225231
+feedback add "Label \"vdda1\" attached to more than one unconnected node: m3_582340_225230#" pale
+box 582339 235229 582341 235231
+feedback add "Label \"vdda1\" attached to more than one unconnected node: m3_582340_235230#" pale
+box 582339 540561 582341 540563
+feedback add "Label \"vdda1\" attached to more than one unconnected node: m3_582340_540562#" pale
+box 582339 550561 582341 550563
+feedback add "Label \"vdda1\" attached to more than one unconnected node: m3_582340_550562#" pale
diff --git a/mag/lvs.tcl b/mag/lvs.tcl
index 5575f08..e4187e2 100644
--- a/mag/lvs.tcl
+++ b/mag/lvs.tcl
@@ -4,3 +4,4 @@
 set spicefilename [lindex [split $filename .] 0]
 #ext2spice -d -o "./lvs/$spicefilename.spice"
 ext2spice -o "./lvs/$spicefilename.spice"
+feedback save feedback.txt
diff --git a/mag/lvs/user_analog_project_wrapper.spice b/mag/lvs/user_analog_project_wrapper.spice
index ea4b8d8..8cc131a 100644
--- a/mag/lvs/user_analog_project_wrapper.spice
+++ b/mag/lvs/user_analog_project_wrapper.spice
@@ -1814,7 +1814,6 @@
 
 .subckt cons_cw voutp vc1 vc2 vinp vinn m1_50970_n6456# m1_47244_n7752# voutn vd22
 + vd21 vss
-Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 vd22 m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXM23 vinn vinn vd22 vinn vd22 a_53403_n7310# vinn vinn vinn vinn vd22 vd22 vinn vd22
 + vd22 vinn vinn vd22 a_53403_n7310# vinn vinn vinn a_53403_n7310# vss vd22 vd22 a_53403_n7310#
 + a_53403_n7310# a_53403_n7310# vinn vinn vd22 vd22 vinn vd22 vd22 a_53403_n7310#
@@ -1827,7 +1826,7 @@
 + vinn vinn vd22 vinn a_53403_n7310# vinn a_53403_n7310# vinn a_53403_n7310# vinn
 + vinn vinn vinn a_53403_n7310# vinn vd22 a_53403_n7310# vinn a_53403_n7310# a_53403_n7310#
 + vd22 sky130_fd_pr__nfet_01v8_lvt_FKGFGD
-Xsky130_fd_pr__res_xhigh_po_5p73_QP6N54_0 voutn vd22 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
+Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 vd22 m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXM24 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
 + m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# vc2
 + vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637#
@@ -1887,10 +1886,11 @@
 + vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310#
 + a_53403_n7310# a_53403_n7310# vc1 vss a_53403_n7310# vc1 vc1 vc1 vc1 vc1 vc1 vc1
 + vss vc1 vc1 sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+Xsky130_fd_pr__res_xhigh_po_5p73_QP6N54_0 voutn vd22 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
 XXR20 m1_47244_n7752# voutp vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXR21 voutp vd21 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
-Xsky130_fd_pr__nfet_01v8_lvt_6H2JYD_1 voutn m1_50970_n6456# vd22 vss sky130_fd_pr__nfet_01v8_lvt_6H2JYD
 Xsky130_fd_pr__nfet_01v8_lvt_6H2JYD_0 voutp m1_50970_n6456# vd21 vss sky130_fd_pr__nfet_01v8_lvt_6H2JYD
+Xsky130_fd_pr__nfet_01v8_lvt_6H2JYD_1 voutn m1_50970_n6456# vd22 vss sky130_fd_pr__nfet_01v8_lvt_6H2JYD
 XXR22 voutn m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXR19 m1_47244_n7752# vd21 vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXM20 vinp vinp vd21 vinp vd21 a_53403_n7310# vinp vinp vinp vinp vd21 vd21 vinp vd21
@@ -1978,7 +1978,6 @@
 .ends
 
 .subckt cons1 voutp vc1 vc2 vinp vinn m1_47244_n7752# voutn vd22 vd21 vss
-Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 vd22 m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXM23 vinn vinn vd22 vinn vd22 a_53403_n7310# vinn vinn vinn vinn vd22 vd22 vinn vd22
 + vd22 vinn vinn vd22 a_53403_n7310# vinn vinn vinn a_53403_n7310# vss vd22 vd22 a_53403_n7310#
 + a_53403_n7310# a_53403_n7310# vinn vinn vd22 vd22 vinn vd22 vd22 a_53403_n7310#
@@ -1991,7 +1990,7 @@
 + vinn vinn vd22 vinn a_53403_n7310# vinn a_53403_n7310# vinn a_53403_n7310# vinn
 + vinn vinn vinn a_53403_n7310# vinn vd22 a_53403_n7310# vinn a_53403_n7310# a_53403_n7310#
 + vd22 sky130_fd_pr__nfet_01v8_lvt_FKGFGD
-Xsky130_fd_pr__res_xhigh_po_5p73_QP6N54_0 voutn vd22 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
+Xsky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0 vd22 m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXM24 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# m1_49981_n5637#
 + m1_49981_n5637# vc2 vc2 m1_49981_n5637# m1_49981_n5637# vc2 m1_49981_n5637# vc2
 + vc2 m1_49981_n5637# m1_49981_n5637# m1_49981_n5637# vc2 vc2 vc2 vc2 m1_49981_n5637#
@@ -2051,6 +2050,7 @@
 + vc1 a_53403_n7310# a_53403_n7310# a_53403_n7310# a_53403_n7310# vc1 vc1 a_53403_n7310#
 + a_53403_n7310# a_53403_n7310# vc1 vss a_53403_n7310# vc1 vc1 vc1 vc1 vc1 vc1 vc1
 + vss vc1 vc1 sky130_fd_pr__nfet_01v8_lvt_G3ZQK6
+Xsky130_fd_pr__res_xhigh_po_5p73_QP6N54_0 voutn vd22 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
 XXR20 m1_47244_n7752# voutp vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
 XXR21 voutp vd21 vss sky130_fd_pr__res_xhigh_po_5p73_QP6N54
 XXR22 voutn m1_47244_n7752# vss sky130_fd_pr__res_xhigh_po_5p73_4C7XCD
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/gds.info b/xml_results/01_DEC_2022___22_11_28/logs/gds.info
new file mode 100644
index 0000000..f5cfe5c
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: aa08a937835448043c773cfd4163716688e4c20b
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.log b/xml_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.log
new file mode 100644
index 0000000..60fd75f
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.log
@@ -0,0 +1,1005 @@
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:40: warning: previous definition of FEOL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:54: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:41: warning: previous definition of BEOL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: previous definition of OFFGRID was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:68: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:43: warning: previous definition of SEAL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:74: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:124
+    Polygons (raw): 1324 (flat)  125 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 3300 (flat)  246 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 191 (flat)  38 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:133
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:136
+    Polygons (raw): 1327 (flat)  119 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 18599 (flat)  1177 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:139
+    Polygons (raw): 1555 (flat)  155 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 2765 (flat)  250 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 80 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:142
+    Polygons (raw): 105 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 11590 (flat)  815 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 151687 (flat)  11558 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 38334 (flat)  2553 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 110570 (flat)  10496 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 45390 (flat)  6650 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:150
+    Polygons (raw): 891221 (flat)  869747 (hierarchical)
+    Elapsed: 0.340s  Memory: 615.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 16551 (flat)  4276 (hierarchical)
+    Elapsed: 0.010s  Memory: 615.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 767691 (flat)  760268 (hierarchical)
+    Elapsed: 0.300s  Memory: 647.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 1681 (flat)  808 (hierarchical)
+    Elapsed: 0.010s  Memory: 647.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 1157201 (flat)  854952 (hierarchical)
+    Elapsed: 0.330s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 958 (flat)  245 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 59598 (flat)  59308 (hierarchical)
+    Elapsed: 0.040s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 282 (flat)  96 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 87 (flat)  7 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 80 (flat)  5 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 50 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:204
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:205
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:206
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:207
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:208
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:209
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:210
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:211
+    Polygons (raw): 188 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:212
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:213
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:214
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:215
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"interacting" in: sky130A_mr.drc:397
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1217.00M
+"not" in: sky130A_mr.drc:397
+    Polygons (raw): 38334 (flat)  2553 (hierarchical)
+    Elapsed: 0.010s  Memory: 1217.00M
+"width" in: sky130A_mr.drc:398
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:398
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"edges" in: sky130A_mr.drc:400
+    Edges: 124232 (flat)  16349 (hierarchical)
+    Elapsed: 0.290s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:400
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.170s  Memory: 1210.00M
+"output" in: sky130A_mr.drc:400
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1210.00M
+"not" in: sky130A_mr.drc:401
+    Polygons (raw): 151687 (flat)  11558 (hierarchical)
+    Elapsed: 0.010s  Memory: 1210.00M
+"enclosing" in: sky130A_mr.drc:402
+    Edge pairs: 271942 (flat)  106940 (hierarchical)
+    Elapsed: 2.070s  Memory: 1210.00M
+"second_edges" in: sky130A_mr.drc:402
+    Edges: 271942 (flat)  106940 (hierarchical)
+    Elapsed: 0.010s  Memory: 1211.00M
+"width" in: sky130A_mr.drc:403
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.490s  Memory: 1249.00M
+"polygons" in: sky130A_mr.drc:404
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"interacting" in: sky130A_mr.drc:404
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:405
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"with_area" in: sky130A_mr.drc:406
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:406
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:411
+    Polygons (raw): 110570 (flat)  10496 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"not" in: sky130A_mr.drc:418
+    Polygons (raw): 110570 (flat)  10496 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"non_rectangles" in: sky130A_mr.drc:419
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:419
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"drc" in: sky130A_mr.drc:421
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.090s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:421
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"drc" in: sky130A_mr.drc:422
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1257.00M
+"output" in: sky130A_mr.drc:422
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1249.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1249.00M
+"not" in: sky130A_mr.drc:429
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.120s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:429
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1249.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:434
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.110s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:434
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"sized" in: sky130A_mr.drc:435
+    Polygons (raw): 116 (flat)  71 (hierarchical)
+    Elapsed: 0.070s  Memory: 1249.00M
+"sized" in: sky130A_mr.drc:435
+    Polygons (raw): 116 (flat)  71 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"snap" in: sky130A_mr.drc:435
+    Polygons (raw): 116 (flat)  71 (hierarchical)
+    Elapsed: 0.020s  Memory: 1249.00M
+"&" in: sky130A_mr.drc:435
+    Polygons (raw): 116 (flat)  71 (hierarchical)
+    Elapsed: 0.020s  Memory: 1249.00M
+"edges" in: sky130A_mr.drc:436
+    Edges: 226196 (flat)  46439 (hierarchical)
+    Elapsed: 0.400s  Memory: 1249.00M
+"-" in: sky130A_mr.drc:436
+    Edges: 225181 (flat)  45974 (hierarchical)
+    Elapsed: 0.040s  Memory: 1249.00M
+"edges" in: sky130A_mr.drc:437
+    Edges: 471 (flat)  291 (hierarchical)
+    Elapsed: 0.020s  Memory: 1249.00M
+"merged" in: sky130A_mr.drc:437
+    Polygons (raw): 11396 (flat)  3565 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"outside_part" in: sky130A_mr.drc:437
+    Edges: 577 (flat)  351 (hierarchical)
+    Elapsed: 0.050s  Memory: 1249.00M
+"space" in: sky130A_mr.drc:439
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.480s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:439
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"separation" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1249.00M
+"space" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1257.00M
+"+" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"input" in: sky130A_mr.drc:445
+    Polygons (raw): 45390 (flat)  10560 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"enclosing" in: sky130A_mr.drc:447
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.060s  Memory: 1265.00M
+"output" in: sky130A_mr.drc:447
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"not" in: sky130A_mr.drc:448
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:448
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"input" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"enclosing" in: sky130A_mr.drc:451
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:451
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1249.00M
+"not" in: sky130A_mr.drc:453
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:453
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"with_area" in: sky130A_mr.drc:455
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:455
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"holes" in: sky130A_mr.drc:457
+    Polygons (raw): 205 (flat)  91 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"with_area" in: sky130A_mr.drc:457
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:457
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"enclosing" in: sky130A_mr.drc:464
+    Edge pairs: 140066 (flat)  37888 (hierarchical)
+    Elapsed: 1.420s  Memory: 1249.00M
+"second_edges" in: sky130A_mr.drc:464
+    Edges: 140066 (flat)  37888 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"width" in: sky130A_mr.drc:465
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1249.00M
+"polygons" in: sky130A_mr.drc:466
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+"interacting" in: sky130A_mr.drc:466
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 1249.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1249.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:481
+    Polygons (raw): 891221 (flat)  873480 (hierarchical)
+    Elapsed: 0.020s  Memory: 1262.00M
+"non_rectangles" in: sky130A_mr.drc:483
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 4.670s  Memory: 1481.00M
+"output" in: sky130A_mr.drc:483
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1481.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.500s  Memory: 1481.00M
+"output" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1481.00M
+"drc" in: sky130A_mr.drc:486
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 2.120s  Memory: 1481.00M
+"output" in: sky130A_mr.drc:486
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1481.00M
+"space" in: sky130A_mr.drc:488
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 6.830s  Memory: 1521.00M
+"output" in: sky130A_mr.drc:488
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1521.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 226196 (flat)  46439 (hierarchical)
+    Elapsed: 0.390s  Memory: 1521.00M
+"drc" in: sky130A_mr.drc:496
+    Edges: 3564884 (flat)  3493920 (hierarchical)
+    Elapsed: 11.710s  Memory: 1713.00M
+"enclosing" in: sky130A_mr.drc:496
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.220s  Memory: 1521.00M
+"output" in: sky130A_mr.drc:496
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1521.00M
+"squares" in: sky130A_mr.drc:497
+    Polygons (raw): 891221 (flat)  873480 (hierarchical)
+    Elapsed: 0.320s  Memory: 1521.00M
+"drc" in: sky130A_mr.drc:497
+    Edges: 3564884 (flat)  3493920 (hierarchical)
+    Elapsed: 11.280s  Memory: 1574.00M
+"not" in: sky130A_mr.drc:497
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 6.780s  Memory: 2150.00M
+"output" in: sky130A_mr.drc:497
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 2150.00M
+"edges" in: sky130A_mr.drc:499
+    Edges: 226196 (flat)  46439 (hierarchical)
+    Elapsed: 0.410s  Memory: 1574.00M
+"drc" in: sky130A_mr.drc:499
+    Edges: 3564884 (flat)  3493920 (hierarchical)
+    Elapsed: 11.690s  Memory: 1627.00M
+"enclosing" in: sky130A_mr.drc:499
+    Edge pairs: 5180 (flat)  1238 (hierarchical)
+    Elapsed: 1.250s  Memory: 1627.00M
+"second_edges" in: sky130A_mr.drc:499
+    Edges: 5180 (flat)  1238 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"width" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1627.00M
+"polygons" in: sky130A_mr.drc:501
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"interacting" in: sky130A_mr.drc:501
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:502
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:509
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:509
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"sized" in: sky130A_mr.drc:511
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.040s  Memory: 1627.00M
+"sized" in: sky130A_mr.drc:511
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"snap" in: sky130A_mr.drc:511
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"&" in: sky130A_mr.drc:511
+    Polygons (raw): 19 (flat)  19 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"edges" in: sky130A_mr.drc:512
+    Edges: 63014 (flat)  19519 (hierarchical)
+    Elapsed: 0.130s  Memory: 1627.00M
+"-" in: sky130A_mr.drc:512
+    Edges: 62900 (flat)  19417 (hierarchical)
+    Elapsed: 0.030s  Memory: 1627.00M
+"edges" in: sky130A_mr.drc:513
+    Edges: 107 (flat)  107 (hierarchical)
+    Elapsed: 0.020s  Memory: 1627.00M
+"merged" in: sky130A_mr.drc:513
+    Polygons (raw): 2273 (flat)  1574 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"outside_part" in: sky130A_mr.drc:513
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.020s  Memory: 1635.00M
+"not" in: sky130A_mr.drc:514
+    Polygons (raw): 891221 (flat)  873480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"space" in: sky130A_mr.drc:516
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.150s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:516
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"separation" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1635.00M
+"space" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1635.00M
+"+" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"with_area" in: sky130A_mr.drc:520
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:520
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"holes" in: sky130A_mr.drc:521
+    Polygons (raw): 724 (flat)  189 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"with_area" in: sky130A_mr.drc:521
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:521
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"enclosing" in: sky130A_mr.drc:526
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 7.030s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:526
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"not" in: sky130A_mr.drc:527
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 2.170s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:527
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"enclosing" in: sky130A_mr.drc:528
+    Edge pairs: 15515 (flat)  4825 (hierarchical)
+    Elapsed: 7.020s  Memory: 1627.00M
+"second_edges" in: sky130A_mr.drc:528
+    Edges: 15515 (flat)  4825 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"width" in: sky130A_mr.drc:529
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1627.00M
+"polygons" in: sky130A_mr.drc:530
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"interacting" in: sky130A_mr.drc:530
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:546
+    Polygons (raw): 767691 (flat)  762310 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"non_rectangles" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 4.080s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1627.00M
+"width" in: sky130A_mr.drc:548
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.440s  Memory: 1627.00M
+"output" in: sky130A_mr.drc:548
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1627.00M
+"edges" in: sky130A_mr.drc:549
+    Edges: 3070764 (flat)  3049240 (hierarchical)
+    Elapsed: 4.870s  Memory: 1755.00M
+"without_length" in: sky130A_mr.drc:549
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 9.620s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:549
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 2707.00M
+"space" in: sky130A_mr.drc:550
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 5.810s  Memory: 2723.00M
+"output" in: sky130A_mr.drc:550
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"enclosing" in: sky130A_mr.drc:558
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 5.420s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:558
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"not" in: sky130A_mr.drc:559
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 1.850s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:559
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"enclosing" in: sky130A_mr.drc:561
+    Edge pairs: 946 (flat)  584 (hierarchical)
+    Elapsed: 5.640s  Memory: 2707.00M
+"second_edges" in: sky130A_mr.drc:561
+    Edges: 946 (flat)  584 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"width" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 2715.00M
+"polygons" in: sky130A_mr.drc:563
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"interacting" in: sky130A_mr.drc:563
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 2715.00M
+"output" in: sky130A_mr.drc:564
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:570
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:570
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 2707.00M
+"sized" in: sky130A_mr.drc:572
+    Polygons (raw): 95 (flat)  76 (hierarchical)
+    Elapsed: 0.020s  Memory: 2707.00M
+"sized" in: sky130A_mr.drc:572
+    Polygons (raw): 95 (flat)  76 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"snap" in: sky130A_mr.drc:572
+    Polygons (raw): 94 (flat)  75 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"&" in: sky130A_mr.drc:572
+    Polygons (raw): 113 (flat)  94 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"edges" in: sky130A_mr.drc:573
+    Edges: 5834 (flat)  3555 (hierarchical)
+    Elapsed: 0.050s  Memory: 2707.00M
+"-" in: sky130A_mr.drc:573
+    Edges: 4955 (flat)  2925 (hierarchical)
+    Elapsed: 0.020s  Memory: 2707.00M
+"edges" in: sky130A_mr.drc:574
+    Edges: 618 (flat)  542 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"merged" in: sky130A_mr.drc:574
+    Polygons (raw): 551 (flat)  375 (hierarchical)
+    Elapsed: 0.000s  Memory: 2707.00M
+"outside_part" in: sky130A_mr.drc:574
+    Edges: 643 (flat)  566 (hierarchical)
+    Elapsed: 0.020s  Memory: 2707.00M
+"space" in: sky130A_mr.drc:576
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 2715.00M
+"output" in: sky130A_mr.drc:576
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"separation" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 2707.00M
+"space" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2715.00M
+"+" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"enclosing" in: sky130A_mr.drc:583
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 5.790s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:583
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"not" in: sky130A_mr.drc:584
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 1.730s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:584
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:598
+    Polygons (raw): 1157201 (flat)  859600 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"non_rectangles" in: sky130A_mr.drc:599
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 4.460s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:599
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 2707.00M
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.490s  Memory: 2707.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 2707.00M
+"edges" in: sky130A_mr.drc:601
+    Edges: 4628804 (flat)  3438400 (hierarchical)
+    Elapsed: 5.300s  Memory: 2771.00M
+"without_length" in: sky130A_mr.drc:601
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 10.650s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:601
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"space" in: sky130A_mr.drc:603
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 6.520s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:603
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"enclosing" in: sky130A_mr.drc:604
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 8.320s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:604
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"not" in: sky130A_mr.drc:605
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 2.100s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:605
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"enclosing" in: sky130A_mr.drc:607
+    Edge pairs: 1 (flat)  1 (hierarchical)
+    Elapsed: 8.150s  Memory: 3164.00M
+"second_edges" in: sky130A_mr.drc:607
+    Edges: 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"width" in: sky130A_mr.drc:608
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 3164.00M
+"polygons" in: sky130A_mr.drc:609
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"interacting" in: sky130A_mr.drc:609
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:610
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:616
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:616
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"sized" in: sky130A_mr.drc:618
+    Polygons (raw): 182 (flat)  73 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"sized" in: sky130A_mr.drc:618
+    Polygons (raw): 182 (flat)  73 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"snap" in: sky130A_mr.drc:618
+    Polygons (raw): 182 (flat)  73 (hierarchical)
+    Elapsed: 0.020s  Memory: 3164.00M
+"&" in: sky130A_mr.drc:618
+    Polygons (raw): 189 (flat)  80 (hierarchical)
+    Elapsed: 0.030s  Memory: 3164.00M
+"edges" in: sky130A_mr.drc:619
+    Edges: 3279 (flat)  1097 (hierarchical)
+    Elapsed: 0.020s  Memory: 3164.00M
+"-" in: sky130A_mr.drc:619
+    Edges: 2155 (flat)  617 (hierarchical)
+    Elapsed: 0.020s  Memory: 3164.00M
+"edges" in: sky130A_mr.drc:620
+    Edges: 914 (flat)  454 (hierarchical)
+    Elapsed: 0.020s  Memory: 3164.00M
+"merged" in: sky130A_mr.drc:620
+    Polygons (raw): 222 (flat)  112 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"outside_part" in: sky130A_mr.drc:620
+    Edges: 1108 (flat)  491 (hierarchical)
+    Elapsed: 0.030s  Memory: 3164.00M
+"space" in: sky130A_mr.drc:622
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:622
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"with_area" in: sky130A_mr.drc:624
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:624
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"separation" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"space" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 3164.00M
+"+" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"enclosing" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 6.330s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"not" in: sky130A_mr.drc:632
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 2.010s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:632
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:645
+    Polygons (raw): 59598 (flat)  59394 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"non_rectangles" in: sky130A_mr.drc:646
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.320s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:646
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"width" in: sky130A_mr.drc:647
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.330s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:647
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"drc" in: sky130A_mr.drc:648
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.160s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:648
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.150s  Memory: 3164.00M
+"polygons" in: sky130A_mr.drc:650
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:650
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"enclosing" in: sky130A_mr.drc:658
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.320s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:658
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"not" in: sky130A_mr.drc:659
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:659
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:664
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:664
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"space" in: sky130A_mr.drc:666
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3172.00M
+"output" in: sky130A_mr.drc:666
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3172.00M
+"enclosing" in: sky130A_mr.drc:668
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.320s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:668
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"not" in: sky130A_mr.drc:669
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.120s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:669
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 3164.00M
+"with_area" in: sky130A_mr.drc:673
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+"output" in: sky130A_mr.drc:673
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:678
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 3180.00M
+"output" in: sky130A_mr.drc:678
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 3164.00M
+END: 76/20 (pad)
+Writing report database: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 189.500s  Memory: 2869.00M
+ 
+Cell exclusion list:
+   rule    | cell
+   nwell.6 | sky130_fd_io__gpiov2_amux, sky130_fd_io__simple_pad_and_busses, sram
+   nsd.1   | sram
+   nsd.2   | sram
+   psd.1   | sram
+   psd.2   | sram
+ 
+release 2022.6.30_01.07
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.total b/xml_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.log b/xml_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.log
new file mode 100644
index 0000000..e2c5dc8
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.log
@@ -0,0 +1,817 @@
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:40: warning: previous definition of FEOL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:41: warning: previous definition of BEOL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: previous definition of OFFGRID was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:68: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:43: warning: previous definition of SEAL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:74: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:124
+    Polygons (raw): 1324 (flat)  125 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 3300 (flat)  246 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 191 (flat)  38 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:133
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:136
+    Polygons (raw): 1327 (flat)  119 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 18599 (flat)  1177 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:139
+    Polygons (raw): 1555 (flat)  155 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 2765 (flat)  250 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 80 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:142
+    Polygons (raw): 105 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 11590 (flat)  815 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 151687 (flat)  11558 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 38334 (flat)  2553 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 110570 (flat)  10496 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 45390 (flat)  6650 (hierarchical)
+    Elapsed: 0.020s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:150
+    Polygons (raw): 891221 (flat)  869747 (hierarchical)
+    Elapsed: 0.320s  Memory: 615.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 16551 (flat)  4276 (hierarchical)
+    Elapsed: 0.020s  Memory: 615.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 767691 (flat)  760268 (hierarchical)
+    Elapsed: 0.290s  Memory: 647.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 1681 (flat)  808 (hierarchical)
+    Elapsed: 0.010s  Memory: 647.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 1157201 (flat)  854952 (hierarchical)
+    Elapsed: 0.310s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 958 (flat)  245 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 59598 (flat)  59308 (hierarchical)
+    Elapsed: 0.030s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 282 (flat)  96 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 87 (flat)  7 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 80 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 50 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:204
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:205
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:206
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:207
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:208
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:209
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:210
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:211
+    Polygons (raw): 188 (flat)  21 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:212
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:213
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:214
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:215
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:241
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:241
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:245
+    Polygons (raw): 1555 (flat)  155 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"input" in: sky130A_mr.drc:246
+    Polygons (raw): 2765 (flat)  250 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"input" in: sky130A_mr.drc:247
+    Polygons (raw): 191 (flat)  38 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"input" in: sky130A_mr.drc:252
+    Polygons (raw): 191 (flat)  38 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:256
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:256
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"space" in: sky130A_mr.drc:257
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1225.00M
+"output" in: sky130A_mr.drc:257
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"and" in: sky130A_mr.drc:258
+    Polygons (raw): 191 (flat)  38 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"merge" in: sky130A_mr.drc:258
+    Polygons (raw): 104 (flat)  12 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"holes" in: sky130A_mr.drc:259
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"enclosing" in: sky130A_mr.drc:259
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:259
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:264
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:264
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:265
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:265
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:270
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:270
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"separation" in: sky130A_mr.drc:271
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1217.00M
+"output" in: sky130A_mr.drc:271
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"and" in: sky130A_mr.drc:272
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:272
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:277
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:277
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:278
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:278
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:284
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:284
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:289
+    Polygons (raw): 1984 (flat)  183 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"rectangles" in: sky130A_mr.drc:290
+    Polygons (raw): 1310 (flat)  130 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"polygons" in: sky130A_mr.drc:290
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"edges" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"outside_part" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"outside" in: sky130A_mr.drc:291
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1217.00M
+"edges" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:292
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"outside" in: sky130A_mr.drc:293
+    Polygons (raw): 1310 (flat)  130 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"width" in: sky130A_mr.drc:293
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:293
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:297
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"width" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"polygons" in: sky130A_mr.drc:297
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"edges" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"outside_part" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"outside" in: sky130A_mr.drc:298
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"edges" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:299
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:300
+    Polygons (raw): 3300 (flat)  246 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"width" in: sky130A_mr.drc:300
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:300
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:307
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:307
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:313
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:313
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:314
+    Polygons (raw): 18599 (flat)  1177 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:319
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:319
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:325
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:325
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:331
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:331
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:332
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:332
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:337
+    Polygons (raw): 989 (flat)  106 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"width" in: sky130A_mr.drc:337
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:337
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 1555 (flat)  155 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:338
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1217.00M
+"output" in: sky130A_mr.drc:338
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1209.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:343
+    Polygons (raw): 547 (flat)  84 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"width" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:344
+    Polygons (raw): 2765 (flat)  250 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"space" in: sky130A_mr.drc:344
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:344
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:355
+    Polygons (raw): 14447 (flat)  2028 (hierarchical)
+    Elapsed: 0.030s  Memory: 1209.00M
+"and" in: sky130A_mr.drc:356
+    Polygons (raw): 130957 (flat)  10088 (hierarchical)
+    Elapsed: 0.090s  Memory: 1217.00M
+"interacting" in: sky130A_mr.drc:356
+    Polygons (raw): 129805 (flat)  10440 (hierarchical)
+    Elapsed: 0.120s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:357
+    Polygons (raw): 129805 (flat)  10440 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"non_rectangles" in: sky130A_mr.drc:358
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"output" in: sky130A_mr.drc:358
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"or" in: sky130A_mr.drc:359
+    Polygons (raw): 183 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1209.00M
+"not" in: sky130A_mr.drc:359
+    Polygons (raw): 149311 (flat)  11342 (hierarchical)
+    Elapsed: 0.030s  Memory: 1209.00M
+"edges" in: sky130A_mr.drc:359
+    Edges: 597244 (flat)  45368 (hierarchical)
+    Elapsed: 0.060s  Memory: 1209.00M
+"without_length" in: sky130A_mr.drc:359
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:359
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"separation" in: sky130A_mr.drc:360
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:360
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"and" in: sky130A_mr.drc:361
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:361
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1228.00M
+"interacting" in: sky130A_mr.drc:362
+    Polygons (raw): 20730 (flat)  1470 (hierarchical)
+    Elapsed: 0.040s  Memory: 1228.00M
+"interacting" in: sky130A_mr.drc:362
+    Polygons (raw): 129805 (flat)  10440 (hierarchical)
+    Elapsed: 0.250s  Memory: 1228.00M
+"and" in: sky130A_mr.drc:362
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1244.00M
+"output" in: sky130A_mr.drc:362
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"width" in: sky130A_mr.drc:367
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:367
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"space" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1252.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"interacting" in: sky130A_mr.drc:369
+    Polygons (raw): 25 (flat)  5 (hierarchical)
+    Elapsed: 0.020s  Memory: 1244.00M
+"isolated" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1236.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"interacting" in: sky130A_mr.drc:370
+    Polygons (raw): 25 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"isolated" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1236.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"and" in: sky130A_mr.drc:371
+    Polygons (raw): 87 (flat)  7 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"enclosing" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"enclosing" in: sky130A_mr.drc:373
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:373
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1228.00M
+"separation" in: sky130A_mr.drc:374
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:374
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"not_interacting" in: sky130A_mr.drc:375
+    Polygons (raw): 526 (flat)  321 (hierarchical)
+    Elapsed: 0.020s  Memory: 1228.00M
+"separation" in: sky130A_mr.drc:375
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:375
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1228.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"width" in: sky130A_mr.drc:380
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:380
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"space" in: sky130A_mr.drc:381
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1236.00M
+"output" in: sky130A_mr.drc:381
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"interacting" in: sky130A_mr.drc:382
+    Polygons (raw): 80 (flat)  5 (hierarchical)
+    Elapsed: 0.020s  Memory: 1236.00M
+"isolated" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1236.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"interacting" in: sky130A_mr.drc:383
+    Polygons (raw): 80 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"isolated" in: sky130A_mr.drc:383
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:383
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"and" in: sky130A_mr.drc:384
+    Polygons (raw): 80 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"enclosing" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"enclosing" in: sky130A_mr.drc:385
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1252.00M
+"output" in: sky130A_mr.drc:385
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:386
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"separation" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"not_interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 142 (flat)  74 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"separation" in: sky130A_mr.drc:388
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:388
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:688
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"width" in: sky130A_mr.drc:689
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:689
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"space" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:695
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"width" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"space" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1228.00M
+END: 125/20 (hvntm)
+Writing report database: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 4.880s  Memory: 1180.00M
+ 
+Cell exclusion list:
+   rule    | cell
+   nwell.6 | sky130_fd_io__gpiov2_amux, sky130_fd_io__simple_pad_and_busses, sram
+   nsd.1   | sram
+   nsd.2   | sram
+   psd.1   | sram
+   psd.2   | sram
+ 
+release 2022.6.30_01.07
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.total b/xml_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.log b/xml_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..cd215e2
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 38484 (flat)  2556 (hierarchical)
+    Elapsed: 0.010s  Memory: 595.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 110570 (flat)  10496 (hierarchical)
+    Elapsed: 0.010s  Memory: 595.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 45392 (flat)  6651 (hierarchical)
+    Elapsed: 0.010s  Memory: 595.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 891221 (flat)  869747 (hierarchical)
+    Elapsed: 0.330s  Memory: 611.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 17052 (flat)  4774 (hierarchical)
+    Elapsed: 0.010s  Memory: 611.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 767691 (flat)  760268 (hierarchical)
+    Elapsed: 0.300s  Memory: 644.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 1873 (flat)  1000 (hierarchical)
+    Elapsed: 0.010s  Memory: 644.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 1157201 (flat)  854952 (hierarchical)
+    Elapsed: 0.320s  Memory: 661.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 970 (flat)  255 (hierarchical)
+    Elapsed: 0.010s  Memory: 661.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 661.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 59598 (flat)  59308 (hierarchical)
+    Elapsed: 0.030s  Memory: 661.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 288 (flat)  102 (hierarchical)
+    Elapsed: 0.000s  Memory: 661.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 753 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.020s  Memory: 661.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 38484 (flat)  2556 (hierarchical)
+    Elapsed: 0.000s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.050s  Memory: 661.00M
+li1_ca_density is 0.9982514958748766
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 45392 (flat)  6651 (hierarchical)
+    Elapsed: 0.010s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.080s  Memory: 661.00M
+m1_ca_density is 0.9367977271257859
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 17052 (flat)  4774 (hierarchical)
+    Elapsed: 0.010s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.030s  Memory: 661.00M
+m2_ca_density is 0.980980848549567
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 1873 (flat)  1000 (hierarchical)
+    Elapsed: 0.000s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.020s  Memory: 661.00M
+m3_ca_density is 0.9631000013734483
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 970 (flat)  255 (hierarchical)
+    Elapsed: 0.000s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 661.00M
+m4_ca_density is 0.9059463596004657
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 288 (flat)  102 (hierarchical)
+    Elapsed: 0.010s  Memory: 661.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 661.00M
+m5_ca_density is 0.9372455412464089
+Writing report database: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 1.910s  Memory: 613.00M
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.total b/xml_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.log b/xml_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..34d4915
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.log
@@ -0,0 +1,765 @@
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:40: warning: previous definition of FEOL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:41: warning: previous definition of BEOL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:60: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: previous definition of OFFGRID was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:68: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:43: warning: previous definition of SEAL was here
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:74: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:124
+    Polygons (raw): 1324 (flat)  125 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 3300 (flat)  246 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 191 (flat)  38 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:133
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:136
+    Polygons (raw): 1327 (flat)  119 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 18599 (flat)  1177 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:139
+    Polygons (raw): 1555 (flat)  155 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 2765 (flat)  250 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 80 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:142
+    Polygons (raw): 105 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 11590 (flat)  815 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 151687 (flat)  11558 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 38334 (flat)  2553 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 110570 (flat)  10496 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 45390 (flat)  6650 (hierarchical)
+    Elapsed: 0.010s  Memory: 598.00M
+"polygons" in: sky130A_mr.drc:150
+    Polygons (raw): 891221 (flat)  869747 (hierarchical)
+    Elapsed: 0.320s  Memory: 615.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 16551 (flat)  4276 (hierarchical)
+    Elapsed: 0.010s  Memory: 615.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 767691 (flat)  760268 (hierarchical)
+    Elapsed: 0.310s  Memory: 647.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 1681 (flat)  808 (hierarchical)
+    Elapsed: 0.010s  Memory: 647.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 1157201 (flat)  854952 (hierarchical)
+    Elapsed: 0.320s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 958 (flat)  245 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 59598 (flat)  59308 (hierarchical)
+    Elapsed: 0.030s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 282 (flat)  96 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 87 (flat)  7 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 80 (flat)  5 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 50 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:204
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:205
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:206
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:207
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:208
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:209
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:210
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:211
+    Polygons (raw): 188 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:212
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:213
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:214
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"polygons" in: sky130A_mr.drc:215
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"and" in: sky130A_mr.drc:724
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"not" in: sky130A_mr.drc:724
+    Polygons (raw): 1324 (flat)  125 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"and" in: sky130A_mr.drc:725
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"and" in: sky130A_mr.drc:725
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"and" in: sky130A_mr.drc:726
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"not" in: sky130A_mr.drc:726
+    Polygons (raw): 3300 (flat)  246 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"and" in: sky130A_mr.drc:727
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"and" in: sky130A_mr.drc:727
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 665.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 665.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 665.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 665.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 665.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 665.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 665.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 4.720s  Memory: 905.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 905.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.540s  Memory: 905.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 905.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 905.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 905.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 905.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 905.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 4.110s  Memory: 914.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 914.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.470s  Memory: 914.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 914.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 914.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 914.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 914.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 914.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 4.600s  Memory: 943.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.530s  Memory: 943.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.320s  Memory: 943.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 943.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:767
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:767
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:768
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:768
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:769
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:769
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:770
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:770
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:771
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:771
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:772
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:772
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:773
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:773
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:774
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:774
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:775
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:775
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:776
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:776
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:777
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:777
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:778
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:778
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:779
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:779
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:780
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:780
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"with_angle" in: sky130A_mr.drc:781
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+"output" in: sky130A_mr.drc:781
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"ongrid" in: sky130A_mr.drc:782
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 943.00M
+"output" in: sky130A_mr.drc:782
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 943.00M
+Writing report database: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 18.860s  Memory: 895.00M
+ 
+Cell exclusion list:
+   rule    | cell
+   nwell.6 | sky130_fd_io__gpiov2_amux, sky130_fd_io__simple_pad_and_busses, sram
+   nsd.1   | sram
+   nsd.2   | sram
+   psd.1   | sram
+   psd.2   | sram
+ 
+release 2022.6.30_01.07
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.total b/xml_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/xml_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..03f242d
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:4
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/EMP    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/EMP     64/5/dat
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/dat
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/dat
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/dat
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/dat
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/dat
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/dat
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/dat    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 1268680 kB
+VmHWM:	  375560 kB
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/xml_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.log b/xml_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..0a16bdc
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.log
@@ -0,0 +1,6 @@
+writing to /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper_no_zero_areas.gds
+0 zero-area shapes
+0 zero-length paths,  0 zero-length paths deleted.
+0 total zero-area objects,  0 total objects deleted.
+VmPeak:	  980860 kB
+VmHWM:	  641692 kB
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.total b/xml_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/magic_drc_check.log b/xml_results/01_DEC_2022___22_11_28/logs/magic_drc_check.log
new file mode 100644
index 0000000..38129e0
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/magic_drc_check.log
@@ -0,0 +1,139 @@
+
+Magic 8.3 revision 315 - Compiled on Tue Oct 11 20:27:25 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    ubm 
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/home/zexious/mpw_precheck/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_analog_project_wrapper
+Reading "sky130_fd_pr__nfet_01v8_lvt_M9466H".
+Reading "TX_line".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_4C7XCD".
+Reading "sky130_fd_pr__res_high_po_0p35_C28PVF".
+Reading "sky130_fd_pr__nfet_01v8_lvt_HFYJAZ".
+Reading "sky130_fd_pr__nfet_01v8_lvt_G3ZQK6".
+Reading "sky130_fd_pr__nfet_01v8_lvt_FKGFGD".
+Reading "fb".
+Reading "sky130_fd_pr__nfet_01v8_lvt_8PSHEW".
+Reading "sky130_fd_pr__nfet_01v8_lvt_72NHPP".
+Reading "sky130_fd_pr__nfet_01v8_lvt_XA5MKQ".
+Reading "sky130_fd_pr__nfet_01v8_lvt_6H2JYD".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_QP6N54".
+Reading "cons_cw".
+Reading "sky130_fd_pr__pfet_01v8_lvt_X3YSY6".
+Reading "sky130_fd_pr__nfet_01v8_lvt_BSMWRE".
+Reading "sky130_fd_pr__nfet_01v8_lvt_EA9ZG2".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_Q3K92U".
+Reading "sky130_fd_pr__res_high_po_0p35_ZMQPMJ".
+Reading "sky130_fd_pr__pfet_01v8_lvt_ER7KZU".
+Reading "sky130_fd_pr__nfet_01v8_lvt_F8HAAN".
+Reading "sky130_fd_pr__cap_mim_m3_1_L4YDVW".
+Reading "cmfb2".
+Reading "cmfb1".
+Reading "stage1".
+Reading "sky130_fd_pr__nfet_01v8_lvt_L3LEKD".
+Reading "cons1".
+Reading "stage0".
+Reading "top".
+Reading "VGA_routing".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3M934".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3Z634".
+Reading "sky130_fd_pr__nfet_01v8_lvt_9DHFGX".
+Reading "sky130_fd_pr__res_high_po_2p85_P79JE3".
+Reading "sky130_fd_pr__res_high_po_2p85_MM89SS".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4".
+Reading "bias_calc".
+CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
+Reading "sky130_fd_pr__cap_mim_m3_2_FJFAMD".
+Reading "sky130_fd_pr__nfet_01v8_lvt_648S5X".
+Reading "sky130_fd_pr__nfet_01v8_lvt_DJ7QE5".
+Reading "sky130_fd_pr__nfet_01v8_lvt_BX7S53".
+Reading "sky130_fd_pr__nfet_01v8_lvt_B6HS5D".
+Reading "sky130_fd_pr__cap_mim_m3_2_WCTBV5".
+Reading "sky130_fd_pr__cap_mim_m3_2_WCTZRP".
+Reading "sky130_fd_pr__cap_mim_m3_2_3ZFDVT".
+Reading "sky130_fd_pr__cap_mim_m3_2_VCH7EQ".
+Reading "cap_bank".
+Reading "sky130_fd_pr__nfet_01v8_lvt_YTLFGX".
+Reading "sky130_fd_pr__nfet_01v8_lvt_HNLS5R".
+Reading "core_osc_amp".
+Reading "core_osc".
+Reading "sky130_fd_pr__res_high_po_5p73_W59YBA".
+Reading "sky130_fd_pr__nfet_01v8_lvt_LELFGX".
+Reading "output_buffer".
+Reading "sky130_fd_pr__pfet_01v8_lvt_75KH85".
+Reading "sky130_fd_pr__res_high_po_2p85_MXEQGY".
+Reading "sky130_fd_pr__nfet_01v8_lvt_6BNFGK".
+Reading "sky130_fd_pr__cap_mim_m3_1_4RCNTW".
+Reading "vop_dec".
+Reading "amp_dec".
+Reading "sky130_fd_pr__res_high_po_5p73_YZEQ6M".
+Reading "buffer_amp".
+Reading "buffer_amp_vop".
+Reading "VCO".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_UZMRKM".
+Reading "XM_Rref".
+Reading "sky130_fd_pr__rf_pnp_05v5_W3p40L3p40".
+Reading "XM_bjt".
+Reading "sky130_fd_pr__pfet_01v8_lvt_Q24T46".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MUVY4U".
+Reading "XM_current_gate".
+Reading "XM_current_gate_with_dummy".
+Reading "sky130_fd_pr__res_high_po_2p85_7J2RPB".
+Reading "sky130_fd_pr__cap_mim_m3_1_EN3Q86".
+Reading "sky130_fd_pr__nfet_01v8_lvt_7MFZYU".
+Reading "XM_tail".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MBDTEX".
+Reading "sky130_fd_pr__pfet_01v8_lvt_B64SAM".
+Reading "XM_ppair".
+Reading "sky130_fd_pr__nfet_01v8_lvt_E96B6C".
+Reading "sky130_fd_pr__nfet_01v8_lvt_A5VCMN".
+Reading "XM_diffpair".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D74VRS".
+Reading "XM_cs".
+Reading "sky130_fd_pr__nfet_01v8_lvt_USQY94".
+Reading "XM_actload2".
+Reading "opamp_realcomp3_usefinger".
+Reading "sky130_fd_pr__res_high_po_1p41_GWJZ59".
+Reading "sky130_fd_pr__res_high_po_1p41_HX7ZEK".
+Reading "XM_bjt_out".
+Reading "sky130_fd_pr__pfet_01v8_lvt_9UM225".
+Reading "XM_feedbackmir2".
+Reading "sky130_fd_pr__pfet_01v8_lvt_8URDWJ".
+Reading "XM_feedbackmir".
+Reading "sky130_fd_pr__nfet_01v8_lvt_QA4PPD".
+Reading "XM_otabias_nmos".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MUAP4U".
+Reading "XM_otabias_pmos".
+Reading "sky130_fd_pr__res_high_po_1p41_2TBR6S".
+Reading "sky130_fd_pr__pfet_01v8_TSNZVH".
+Reading "sky130_fd_pr__nfet_01v8_Y5UG24".
+Reading "sky130_fd_pr__cap_mim_m3_1_Y9W37A".
+Reading "XM_pdn".
+Reading "sky130_fd_pr__res_high_po_1p41_6ZUZ5C".
+Reading "sky130_fd_pr__res_high_po_1p41_S8KB58".
+Reading "sky130_fd_pr__nfet_01v8_lvt_64S6GM".
+Reading "sky130_fd_pr__nfet_01v8_lvt_64DJ5N".
+Reading "XM_output_mirr".
+Reading "XM_output_mirr_combined".
+Reading "XM_output_mirr_combined_with_dummy".
+Reading "BGR_lvs".
+Reading "user_analog_project_wrapper".
+[INFO]: Loading user_analog_project_wrapper
+
+DRC style is now "drc(full)"
+Loading DRC CIF style.
+[INFO]: COUNT: 2
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/magic_drc_check.total b/xml_results/01_DEC_2022___22_11_28/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/pdks.info b/xml_results/01_DEC_2022___22_11_28/logs/pdks.info
new file mode 100644
index 0000000..54de063
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs 120b0bd69c745825a0b8b76f364043a1cd08bb6a
+SKY130A PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/precheck.log b/xml_results/01_DEC_2022___22_11_28/logs/precheck.log
new file mode 100644
index 0000000..a040547
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/precheck.log
@@ -0,0 +1,75 @@
+2022-12-01 22:11:28 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/zexious/project/sloci_caravel
+2022-12-01 22:11:28 - [INFO] - {{Project Type Info}} analog
+2022-12-01 22:11:29 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: aa08a937835448043c773cfd4163716688e4c20b
+2022-12-01 22:11:29 - [INFO] - {{Tools Info}} KLayout: v0.27.10 | Magic: v8.3.315
+2022-12-01 22:11:29 - [INFO] - {{PDKs Info}} SKY130A: f70d8ca46961ff92719d8870a18a076370b85f6c | Open PDKs: 120b0bd69c745825a0b8b76f364043a1cd08bb6a
+2022-12-01 22:11:29 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs'
+2022-12-01 22:11:29 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, GPIO-Defines, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-12-01 22:11:29 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 14: License
+2022-12-01 22:11:29 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/zexious/project/sloci_caravel.
+2022-12-01 22:11:29 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-12-01 22:11:30 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/zexious/project/sloci_caravel.
+2022-12-01 22:11:30 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-12-01 22:11:30 - [ERROR] - SPDX COMPLIANCE FILE UNICODE DECODE EXCEPTION in (/home/zexious/project/sloci_caravel/.swp): 'utf-8' codec can't decode byte 0xb7 in position 4: invalid start byte
+2022-12-01 22:11:30 - [ERROR] - SPDX COMPLIANCE SYMLINK FILE NOT FOUND in /home/zexious/project/sloci_caravel/openlane/Makefile
+2022-12-01 22:11:30 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 217 non-compliant file(s) with the SPDX Standard.
+2022-12-01 22:11:30 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/zexious/project/sloci_caravel/sourceme_precheck', '/home/zexious/project/sloci_caravel/test_push', '/home/zexious/project/sloci_caravel/.gitattributes', '/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_7MFZYU.ext', '/home/zexious/project/sloci_caravel/mag/sky130A_setup.tcl', '/home/zexious/project/sloci_caravel/mag/XM_output_mirr_combined.ext', '/home/zexious/project/sloci_caravel/mag/sky130A-GDS.tech', '/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_75KH85.ext', '/home/zexious/project/sloci_caravel/mag/netgen.sh', '/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_1_Y9W37A.ext', '/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_6ZUZ5C.ext', '/home/zexious/project/sloci_caravel/mag/stage0.ext', '/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_2TBR6S.ext', '/home/zexious/project/sloci_caravel/mag/cmfb2.ext', '/home/zexious/project/sloci_caravel/mag/XM_otabias_nmos.ext']
+2022-12-01 22:11:30 - [INFO] - For the full SPDX compliance report check: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/spdx_compliance_report.log
+2022-12-01 22:11:30 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 14: Makefile
+2022-12-01 22:11:30 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-12-01 22:11:30 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 14: Default
+2022-12-01 22:11:30 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-12-01 22:11:31 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-12-01 22:11:31 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 14: Documentation
+2022-12-01 22:11:31 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-12-01 22:11:31 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 14: Consistency
+2022-12-01 22:11:32 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-12-01 22:11:32 - [WARNING] - PORTS CHECK FAILED: user_analog_project_wrapper ports do not match the golden wrapper ports. Mismatching ports are : ['vssa1']
+2022-12-01 22:11:32 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (5 instances). 
+2022-12-01 22:11:32 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-12-01 22:11:32 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-12-01 22:11:32 - [WARNING] - {{NETLIST CONSISTENCY CHECK FAILED}} user_analog_project_wrapper netlist failed 1 consistency check(s): ['PORTS'].
+2022-12-01 22:11:32 - [WARNING] - {{CONSISTENCY CHECK FAILED}} The user netlist and the top netlist are not valid.
+2022-12-01 22:11:32 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 14: GPIO-Defines
+2022-12-01 22:11:32 - [INFO] - GPIO-DEFINES: Checking verilog/rtl/user_defines.v, parsing files: ['/home/zexious/mpw_precheck/checks/gpio_defines_check/verilog_assets/gpio_modes_base.v', '/home/zexious/project/sloci_caravel/verilog/rtl/user_defines.v', '/home/zexious/mpw_precheck/checks/gpio_defines_check/verilog_assets/gpio_modes_observe.v']
+2022-12-01 22:11:33 - [INFO] - GPIO-DEFINES report path: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/gpio_defines.report
+2022-12-01 22:11:33 - [INFO] - {{GPIO-DEFINES CHECK PASSED}} The user verilog/rtl/user_defines.v is valid.
+2022-12-01 22:11:33 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 14: XOR
+2022-12-01 22:11:50 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 0, for more details view /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper.xor.gds
+2022-12-01 22:11:50 - [INFO] - {{XOR CHECK PASSED}} The GDS file has no XOR violations.
+2022-12-01 22:11:50 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 14: Magic DRC
+2022-12-01 22:12:11 - [ERROR] - Violation Message 'Spacing of metal4 features attached to and within 0.40um of large metal4 < 0.4um (met4.5a)' found 2 times.
+2022-12-01 22:12:11 - [ERROR] - 2 DRC violations
+2022-12-01 22:12:11 - [WARNING] - {{MAGIC DRC CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-12-01 22:12:11 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 14: Klayout FEOL
+2022-12-01 22:12:11 - [INFO] - in CUSTOM klayout_gds_drc_check
+2022-12-01 22:12:11 - [INFO] - run: klayout -b -r /home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc -rd input=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds -rd topcell=user_analog_project_wrapper -rd report=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_feol_check.xml -rd feol=true >& /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/klayout_feol_check.log
+2022-12-01 22:12:16 - [INFO] - No DRC Violations found
+2022-12-01 22:12:16 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-12-01 22:12:16 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 14: Klayout BEOL
+2022-12-01 22:12:16 - [INFO] - in CUSTOM klayout_gds_drc_check
+2022-12-01 22:12:16 - [INFO] - run: klayout -b -r /home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc -rd input=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds -rd topcell=user_analog_project_wrapper -rd report=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_beol_check.xml -rd beol=true >& /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/klayout_beol_check.log
+2022-12-01 22:15:21 - [INFO] - No DRC Violations found
+2022-12-01 22:15:21 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-12-01 22:15:21 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 14: Klayout Offgrid
+2022-12-01 22:15:21 - [INFO] - in CUSTOM klayout_gds_drc_check
+2022-12-01 22:15:21 - [INFO] - run: klayout -b -r /home/zexious/mpw_precheck/checks/tech-files/sky130A_mr.drc -rd input=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds -rd topcell=user_analog_project_wrapper -rd report=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_offgrid_check.xml -rd offgrid=true >& /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/klayout_offgrid_check.log
+2022-12-01 22:15:41 - [INFO] - No DRC Violations found
+2022-12-01 22:15:41 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-12-01 22:15:41 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 14: Klayout Metal Minimum Clear Area Density
+2022-12-01 22:15:41 - [INFO] - in CUSTOM klayout_gds_drc_check
+2022-12-01 22:15:41 - [INFO] - run: klayout -b -r /home/zexious/mpw_precheck/checks/drc_checks/klayout/met_min_ca_density.lydrc -rd input=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds -rd topcell=user_analog_project_wrapper -rd report=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_met_min_ca_density_check.xml >& /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/klayout_met_min_ca_density_check.log
+2022-12-01 22:15:43 - [INFO] - No DRC Violations found
+2022-12-01 22:15:43 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-12-01 22:15:43 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 14: Klayout Pin Label Purposes Overlapping Drawing
+2022-12-01 22:15:43 - [INFO] - in CUSTOM klayout_gds_drc_check
+2022-12-01 22:15:43 - [INFO] - run: klayout -b -r /home/zexious/mpw_precheck/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc -rd input=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds -rd topcell=user_analog_project_wrapper -rd report=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml -rd top_cell_name=user_analog_project_wrapper >& /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
+2022-12-01 22:15:47 - [INFO] - No DRC Violations found
+2022-12-01 22:15:47 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-12-01 22:15:47 - [INFO] - {{STEP UPDATE}} Executing Check 14 of 14: Klayout ZeroArea
+2022-12-01 22:15:47 - [INFO] - in CUSTOM klayout_gds_drc_check
+2022-12-01 22:15:47 - [INFO] - run: klayout -b -r /home/zexious/mpw_precheck/checks/drc_checks/klayout/zeroarea.rb.drc -rd input=/home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds -rd topcell=user_analog_project_wrapper -rd report=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/reports/klayout_zeroarea_check.xml -rd cleaned_output=/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper_no_zero_areas.gds >& /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs/klayout_zeroarea_check.log
+2022-12-01 22:15:52 - [INFO] - No DRC Violations found
+2022-12-01 22:15:52 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-12-01 22:15:52 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/logs'
+2022-12-01 22:15:52 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['Consistency'] !!!
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/spdx_compliance_report.log b/xml_results/01_DEC_2022___22_11_28/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..4b2860a
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/spdx_compliance_report.log
@@ -0,0 +1,217 @@
+/home/zexious/project/sloci_caravel/sourceme_precheck
+/home/zexious/project/sloci_caravel/test_push
+/home/zexious/project/sloci_caravel/.gitattributes
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_7MFZYU.ext
+/home/zexious/project/sloci_caravel/mag/sky130A_setup.tcl
+/home/zexious/project/sloci_caravel/mag/XM_output_mirr_combined.ext
+/home/zexious/project/sloci_caravel/mag/sky130A-GDS.tech
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_75KH85.ext
+/home/zexious/project/sloci_caravel/mag/netgen.sh
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_1_Y9W37A.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_6ZUZ5C.ext
+/home/zexious/project/sloci_caravel/mag/stage0.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_2TBR6S.ext
+/home/zexious/project/sloci_caravel/mag/cmfb2.ext
+/home/zexious/project/sloci_caravel/mag/XM_otabias_nmos.ext
+/home/zexious/project/sloci_caravel/mag/stage1.ext
+/home/zexious/project/sloci_caravel/mag/TX_line.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_XA5MKQ.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_S8KB58.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_2p85_MM89SS.ext
+/home/zexious/project/sloci_caravel/mag/XM_current_gate.ext
+/home/zexious/project/sloci_caravel/mag/cap_bank.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_2_FJFAMD.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_6H2JYD.ext
+/home/zexious/project/sloci_caravel/mag/cons_cw.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_A5VCMN.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_1_4RCNTW.ext
+/home/zexious/project/sloci_caravel/mag/XM_feedbackmir2.ext
+/home/zexious/project/sloci_caravel/mag/XM_ppair.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_0p35_ZMQPMJ.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_X3YSY6.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_YTLFGX.ext
+/home/zexious/project/sloci_caravel/mag/core_osc_amp.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_USQY94.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_2p85_P79JE3.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_B64SAM.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_EA9ZG2.ext
+/home/zexious/project/sloci_caravel/mag/vop_dec.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_Q24T46.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_72NHPP.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_BSMWRE.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_64S6GM.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_TSNZVH.ext
+/home/zexious/project/sloci_caravel/mag/XM_current_gate_with_dummy.ext
+/home/zexious/project/sloci_caravel/mag/VGA_routing.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_HFYJAZ.ext
+/home/zexious/project/sloci_caravel/mag/cmfb1.ext
+/home/zexious/project/sloci_caravel/mag/XM_cs.ext
+/home/zexious/project/sloci_caravel/mag/sky130A.tcl
+/home/zexious/project/sloci_caravel/mag/opamp_realcomp3_usefinger.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_64DJ5N.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_D3M934.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_8PSHEW.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_2_WCTZRP.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_xhigh_po_5p73_Q3K92U.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_9UM225.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_2p85_7J2RPB.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_0p35_C28PVF.ext
+/home/zexious/project/sloci_caravel/mag/sky130A-BindKeys
+/home/zexious/project/sloci_caravel/mag/sky130A.magicrc
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_DJ7QE5.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_2_WCTBV5.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_L3LEKD.ext
+/home/zexious/project/sloci_caravel/mag/lvs.tcl
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_G3ZQK6.ext
+/home/zexious/project/sloci_caravel/mag/XM_tail.ext
+/home/zexious/project/sloci_caravel/mag/amp_dec.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_LELFGX.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_2_3ZFDVT.ext
+/home/zexious/project/sloci_caravel/mag/XM_pdn.ext
+/home/zexious/project/sloci_caravel/mag/buffer_amp.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_1_EN3Q86.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_F8HAAN.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_ER7KZU.ext
+/home/zexious/project/sloci_caravel/mag/BGR_lvs.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_5p73_YZEQ6M.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_QA4PPD.ext
+/home/zexious/project/sloci_caravel/mag/XM_diffpair.ext
+/home/zexious/project/sloci_caravel/mag/XM_feedbackmir.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_D3Z634.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_E96B6C.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_MUVY4U.ext
+/home/zexious/project/sloci_caravel/mag/XM_bjt.ext
+/home/zexious/project/sloci_caravel/mag/XM_output_mirr.ext
+/home/zexious/project/sloci_caravel/mag/cons1.ext
+/home/zexious/project/sloci_caravel/mag/XM_actload2.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_xhigh_po_5p73_4C7XCD.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_648S5X.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_2p85_MXEQGY.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_BX7S53.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_1_L4YDVW.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_HNLS5R.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_B6HS5D.ext
+/home/zexious/project/sloci_caravel/mag/XM_Rref.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_Y5UG24.ext
+/home/zexious/project/sloci_caravel/mag/sky130A.tech
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__cap_mim_m3_2_VCH7EQ.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_GWJZ59.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_M9466H.ext
+/home/zexious/project/sloci_caravel/mag/compare_out
+/home/zexious/project/sloci_caravel/mag/VCO.ext
+/home/zexious/project/sloci_caravel/mag/buffer_amp_vop.ext
+/home/zexious/project/sloci_caravel/mag/user_analog_project_wrapper.ext
+/home/zexious/project/sloci_caravel/mag/top.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_xhigh_po_5p73_QP6N54.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_9DHFGX.ext
+/home/zexious/project/sloci_caravel/mag/core_osc.ext
+/home/zexious/project/sloci_caravel/mag/fb.ext
+/home/zexious/project/sloci_caravel/mag/bias_calc.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_MUAP4U.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_8URDWJ.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_5p73_W59YBA.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_6BNFGK.ext
+/home/zexious/project/sloci_caravel/mag/XM_bjt_out.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__res_high_po_1p41_HX7ZEK.ext
+/home/zexious/project/sloci_caravel/mag/XM_otabias_pmos.ext
+/home/zexious/project/sloci_caravel/mag/output_buffer.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__nfet_01v8_lvt_FKGFGD.ext
+/home/zexious/project/sloci_caravel/mag/XM_output_mirr_combined_with_dummy.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_D74VRS.ext
+/home/zexious/project/sloci_caravel/mag/sky130_fd_pr__pfet_01v8_lvt_MBDTEX.ext
+/home/zexious/project/sloci_caravel/configs/xschemrc
+/home/zexious/project/sloci_caravel/configs/sourceme
+/home/zexious/project/sloci_caravel/xschem/user_analog_project_wrapper.sym
+/home/zexious/project/sloci_caravel/xschem/buffer_amp_vop.sch
+/home/zexious/project/sloci_caravel/xschem/xschemrc
+/home/zexious/project/sloci_caravel/xschem/vop_dec.sch
+/home/zexious/project/sloci_caravel/xschem/BGR_lvs.sch
+/home/zexious/project/sloci_caravel/xschem/output_buffer.sch
+/home/zexious/project/sloci_caravel/xschem/output_buffer.sym
+/home/zexious/project/sloci_caravel/xschem/tb_BGR_pvt_lvs.sch
+/home/zexious/project/sloci_caravel/xschem/Tx_line.sch
+/home/zexious/project/sloci_caravel/xschem/bias_calc.sch
+/home/zexious/project/sloci_caravel/xschem/core_osc_amp.sym
+/home/zexious/project/sloci_caravel/xschem/Tx_line.sym
+/home/zexious/project/sloci_caravel/xschem/amp_dec.sch
+/home/zexious/project/sloci_caravel/xschem/tb_BGR_tran_lvs.sch
+/home/zexious/project/sloci_caravel/xschem/VCO.sym
+/home/zexious/project/sloci_caravel/xschem/BGR_lvs.sym
+/home/zexious/project/sloci_caravel/xschem/topLevel.sym
+/home/zexious/project/sloci_caravel/xschem/core_osc.sym
+/home/zexious/project/sloci_caravel/xschem/buffer_amp.sym
+/home/zexious/project/sloci_caravel/xschem/opamp_realcomp3_usefinger.sym
+/home/zexious/project/sloci_caravel/xschem/bias_calc.sym
+/home/zexious/project/sloci_caravel/xschem/buffer_amp.sch
+/home/zexious/project/sloci_caravel/xschem/vop_dec.sym
+/home/zexious/project/sloci_caravel/xschem/core_osc.sch
+/home/zexious/project/sloci_caravel/xschem/core_osc_amp.sch
+/home/zexious/project/sloci_caravel/xschem/.spiceinit
+/home/zexious/project/sloci_caravel/xschem/buffer_amp_vop.sym
+/home/zexious/project/sloci_caravel/xschem/cap_bank.sym
+/home/zexious/project/sloci_caravel/xschem/user_analog_project_wrapper.sch
+/home/zexious/project/sloci_caravel/xschem/topLevel.sch
+/home/zexious/project/sloci_caravel/xschem/opamp_realcomp3_usefinger.sch
+/home/zexious/project/sloci_caravel/xschem/cap_bank.sch
+/home/zexious/project/sloci_caravel/xschem/VCO.sch
+/home/zexious/project/sloci_caravel/xschem/amp_dec.sym
+/home/zexious/project/sloci_caravel/xschem/sourceme
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.drc.report
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/tools.info
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/xor_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_25_04/logs/gds.info
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/outputs/reports/magic_drc_check.drc.report
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/klayout_zeroarea_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/klayout_offgrid_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/klayout_feol_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/magic_drc_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/tools.info
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/xor_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/klayout_beol_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/klayout_met_min_ca_density_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___23_37_05/logs/gds.info
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/outputs/reports/magic_drc_check.drc.report
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/klayout_zeroarea_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/klayout_offgrid_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/klayout_feol_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/magic_drc_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/tools.info
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/xor_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/klayout_beol_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/klayout_met_min_ca_density_check.total
+/home/zexious/project/sloci_caravel/xml_results/13_SEP_2022___00_53_58/logs/gds.info
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/outputs/reports/magic_drc_check.drc.report
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/klayout_zeroarea_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/klayout_offgrid_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/klayout_feol_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/magic_drc_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/tools.info
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/xor_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/klayout_beol_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/klayout_met_min_ca_density_check.total
+/home/zexious/project/sloci_caravel/xml_results/12_SEP_2022___22_10_28/logs/gds.info
+/home/zexious/project/sloci_caravel/docs/how_to_add_large_file
+/home/zexious/project/sloci_caravel/docs/lvs
+/home/zexious/project/sloci_caravel/drc/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/zexious/project/sloci_caravel/drc/klayout_zeroarea_check.total
+/home/zexious/project/sloci_caravel/drc/klayout_offgrid_check.total
+/home/zexious/project/sloci_caravel/drc/klayout_feol_check.total
+/home/zexious/project/sloci_caravel/drc/magic_drc_check.total
+/home/zexious/project/sloci_caravel/drc/tools.info
+/home/zexious/project/sloci_caravel/drc/xor_check.total
+/home/zexious/project/sloci_caravel/drc/klayout_beol_check.total
+/home/zexious/project/sloci_caravel/drc/sky130A.lyp
+/home/zexious/project/sloci_caravel/drc/klayout_met_min_ca_density_check.total
+/home/zexious/project/sloci_caravel/drc/gds.info
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/tools.info b/xml_results/01_DEC_2022___22_11_28/logs/tools.info
new file mode 100644
index 0000000..91cb193
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.10
+Magic: 8.3.315
\ No newline at end of file
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/xor_check.log b/xml_results/01_DEC_2022___22_11_28/logs/xor_check.log
new file mode 100644
index 0000000..c0d8187
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/xor_check.log
@@ -0,0 +1,268 @@
+Reading file /home/zexious/project/sloci_caravel/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 315 - Compiled on Tue Oct 11 20:27:25 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    ubm 
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/home/zexious/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_analog_project_wrapper
+Reading "sky130_fd_pr__nfet_01v8_lvt_M9466H".
+Reading "TX_line".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_4C7XCD".
+Reading "sky130_fd_pr__res_high_po_0p35_C28PVF".
+Reading "sky130_fd_pr__nfet_01v8_lvt_HFYJAZ".
+Reading "sky130_fd_pr__nfet_01v8_lvt_G3ZQK6".
+Reading "sky130_fd_pr__nfet_01v8_lvt_FKGFGD".
+Reading "fb".
+Reading "sky130_fd_pr__nfet_01v8_lvt_8PSHEW".
+Reading "sky130_fd_pr__nfet_01v8_lvt_72NHPP".
+Reading "sky130_fd_pr__nfet_01v8_lvt_XA5MKQ".
+Reading "sky130_fd_pr__nfet_01v8_lvt_6H2JYD".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_QP6N54".
+Reading "cons_cw".
+Reading "sky130_fd_pr__pfet_01v8_lvt_X3YSY6".
+Reading "sky130_fd_pr__nfet_01v8_lvt_BSMWRE".
+Reading "sky130_fd_pr__nfet_01v8_lvt_EA9ZG2".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_Q3K92U".
+Reading "sky130_fd_pr__res_high_po_0p35_ZMQPMJ".
+Reading "sky130_fd_pr__pfet_01v8_lvt_ER7KZU".
+Reading "sky130_fd_pr__nfet_01v8_lvt_F8HAAN".
+Reading "sky130_fd_pr__cap_mim_m3_1_L4YDVW".
+Reading "cmfb2".
+Reading "cmfb1".
+Reading "stage1".
+Reading "sky130_fd_pr__nfet_01v8_lvt_L3LEKD".
+Reading "cons1".
+Reading "stage0".
+Reading "top".
+Reading "VGA_routing".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3M934".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3Z634".
+Reading "sky130_fd_pr__nfet_01v8_lvt_9DHFGX".
+Reading "sky130_fd_pr__res_high_po_2p85_P79JE3".
+Reading "sky130_fd_pr__res_high_po_2p85_MM89SS".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4".
+Reading "bias_calc".
+CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
+Reading "sky130_fd_pr__cap_mim_m3_2_FJFAMD".
+Reading "sky130_fd_pr__nfet_01v8_lvt_648S5X".
+Reading "sky130_fd_pr__nfet_01v8_lvt_DJ7QE5".
+Reading "sky130_fd_pr__nfet_01v8_lvt_BX7S53".
+Reading "sky130_fd_pr__nfet_01v8_lvt_B6HS5D".
+Reading "sky130_fd_pr__cap_mim_m3_2_WCTBV5".
+Reading "sky130_fd_pr__cap_mim_m3_2_WCTZRP".
+Reading "sky130_fd_pr__cap_mim_m3_2_3ZFDVT".
+Reading "sky130_fd_pr__cap_mim_m3_2_VCH7EQ".
+Reading "cap_bank".
+Reading "sky130_fd_pr__nfet_01v8_lvt_YTLFGX".
+Reading "sky130_fd_pr__nfet_01v8_lvt_HNLS5R".
+Reading "core_osc_amp".
+Reading "core_osc".
+Reading "sky130_fd_pr__res_high_po_5p73_W59YBA".
+Reading "sky130_fd_pr__nfet_01v8_lvt_LELFGX".
+Reading "output_buffer".
+Reading "sky130_fd_pr__pfet_01v8_lvt_75KH85".
+Reading "sky130_fd_pr__res_high_po_2p85_MXEQGY".
+Reading "sky130_fd_pr__nfet_01v8_lvt_6BNFGK".
+Reading "sky130_fd_pr__cap_mim_m3_1_4RCNTW".
+Reading "vop_dec".
+Reading "amp_dec".
+Reading "sky130_fd_pr__res_high_po_5p73_YZEQ6M".
+Reading "buffer_amp".
+Reading "buffer_amp_vop".
+Reading "VCO".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_UZMRKM".
+Reading "XM_Rref".
+Reading "sky130_fd_pr__rf_pnp_05v5_W3p40L3p40".
+Reading "XM_bjt".
+Reading "sky130_fd_pr__pfet_01v8_lvt_Q24T46".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MUVY4U".
+Reading "XM_current_gate".
+Reading "XM_current_gate_with_dummy".
+Reading "sky130_fd_pr__res_high_po_2p85_7J2RPB".
+Reading "sky130_fd_pr__cap_mim_m3_1_EN3Q86".
+Reading "sky130_fd_pr__nfet_01v8_lvt_7MFZYU".
+Reading "XM_tail".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MBDTEX".
+Reading "sky130_fd_pr__pfet_01v8_lvt_B64SAM".
+Reading "XM_ppair".
+Reading "sky130_fd_pr__nfet_01v8_lvt_E96B6C".
+Reading "sky130_fd_pr__nfet_01v8_lvt_A5VCMN".
+Reading "XM_diffpair".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D74VRS".
+Reading "XM_cs".
+Reading "sky130_fd_pr__nfet_01v8_lvt_USQY94".
+Reading "XM_actload2".
+Reading "opamp_realcomp3_usefinger".
+Reading "sky130_fd_pr__res_high_po_1p41_GWJZ59".
+Reading "sky130_fd_pr__res_high_po_1p41_HX7ZEK".
+Reading "XM_bjt_out".
+Reading "sky130_fd_pr__pfet_01v8_lvt_9UM225".
+Reading "XM_feedbackmir2".
+Reading "sky130_fd_pr__pfet_01v8_lvt_8URDWJ".
+Reading "XM_feedbackmir".
+Reading "sky130_fd_pr__nfet_01v8_lvt_QA4PPD".
+Reading "XM_otabias_nmos".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MUAP4U".
+Reading "XM_otabias_pmos".
+Reading "sky130_fd_pr__res_high_po_1p41_2TBR6S".
+Reading "sky130_fd_pr__pfet_01v8_TSNZVH".
+Reading "sky130_fd_pr__nfet_01v8_Y5UG24".
+Reading "sky130_fd_pr__cap_mim_m3_1_Y9W37A".
+Reading "XM_pdn".
+Reading "sky130_fd_pr__res_high_po_1p41_6ZUZ5C".
+Reading "sky130_fd_pr__res_high_po_1p41_S8KB58".
+Reading "sky130_fd_pr__nfet_01v8_lvt_64S6GM".
+Reading "sky130_fd_pr__nfet_01v8_lvt_64DJ5N".
+Reading "XM_output_mirr".
+Reading "XM_output_mirr_combined".
+Reading "XM_output_mirr_combined_with_dummy".
+Reading "BGR_lvs".
+Reading "user_analog_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
+lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
+internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
+lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
+internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
+lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
+internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
+lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
+internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
+   Generating output for cell xor_target
+
+Magic 8.3 revision 315 - Compiled on Tue Oct 11 20:27:25 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    ubm 
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/home/zexious/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 6.0
+Library name: user_analog_project_wrapper_empty
+Reading "user_analog_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
+lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
+internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
+lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
+internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
+lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
+internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
+lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
+internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
+   Generating output for cell xor_target
+Reading /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper_erased.gds ..
+Reading /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper_empty_erased.gds ..
+--- Running XOR for 69/20 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 494 (flat)  494 (hierarchical)
+    Elapsed: 0.020s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 494 (flat)  494 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+--- Running XOR for 70/20 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 184 (flat)  184 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 184 (flat)  184 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+--- Running XOR for 72/20 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+--- Running XOR for 81/14 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+Writing layout file: /home/zexious/project/sloci_caravel/precheck_results/01_DEC_2022___22_11_28/outputs/user_analog_project_wrapper.xor.gds ..
+Total elapsed: 0.110s  Memory: 521.00M
diff --git a/xml_results/01_DEC_2022___22_11_28/logs/xor_check.total b/xml_results/01_DEC_2022___22_11_28/logs/xor_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/01_DEC_2022___22_11_28/logs/xor_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file