latest run
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 4f3dee4..17575de 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/gds.info b/xml_results/13_SEP_2022___00_25_04/logs/gds.info
new file mode 100644
index 0000000..e215258
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 5f588ae1b82756bcedf499e4e5a529403d767043
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.log b/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.log
new file mode 100644
index 0000000..f4356d2
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.log
@@ -0,0 +1,1005 @@
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:40: warning: previous definition of FEOL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:54: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:41: warning: previous definition of BEOL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: previous definition of OFFGRID was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:68: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:43: warning: previous definition of SEAL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:74: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:124
+    Polygons (raw): 507 (flat)  52 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 1364 (flat)  170 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 112 (flat)  36 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:133
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:136
+    Polygons (raw): 523 (flat)  49 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 3092 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:139
+    Polygons (raw): 683 (flat)  83 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 943 (flat)  134 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 32 (flat)  12 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:142
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 3183 (flat)  497 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 67685 (flat)  7972 (hierarchical)
+    Elapsed: 0.020s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 18004 (flat)  1645 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 53602 (flat)  7485 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 14241 (flat)  3744 (hierarchical)
+    Elapsed: 0.020s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:150
+    Polygons (raw): 7063 (flat)  2969 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 2819 (flat)  1329 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 12714 (flat)  11541 (hierarchical)
+    Elapsed: 0.020s  Memory: 529.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 730 (flat)  580 (hierarchical)
+    Elapsed: 0.000s  Memory: 529.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 88908 (flat)  82922 (hierarchical)
+    Elapsed: 0.080s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 298 (flat)  137 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 5704 (flat)  5585 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 135 (flat)  58 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 5 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 40 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 50 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:204
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:205
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:206
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:207
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:208
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:209
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:210
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:211
+    Polygons (raw): 36 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:212
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:213
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:214
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:215
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"interacting" in: sky130A_mr.drc:397
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 1078.00M
+"not" in: sky130A_mr.drc:397
+    Polygons (raw): 18004 (flat)  1645 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:398
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:398
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:400
+    Edges: 42481 (flat)  12574 (hierarchical)
+    Elapsed: 0.490s  Memory: 1078.00M
+"space" in: sky130A_mr.drc:400
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.350s  Memory: 1086.00M
+"output" in: sky130A_mr.drc:400
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1086.00M
+"not" in: sky130A_mr.drc:401
+    Polygons (raw): 67685 (flat)  7972 (hierarchical)
+    Elapsed: 0.000s  Memory: 1086.00M
+"enclosing" in: sky130A_mr.drc:402
+    Edge pairs: 113151 (flat)  90277 (hierarchical)
+    Elapsed: 2.550s  Memory: 1088.00M
+"second_edges" in: sky130A_mr.drc:402
+    Edges: 113151 (flat)  90277 (hierarchical)
+    Elapsed: 0.020s  Memory: 1088.00M
+"width" in: sky130A_mr.drc:403
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.210s  Memory: 1117.00M
+"polygons" in: sky130A_mr.drc:404
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"interacting" in: sky130A_mr.drc:404
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.100s  Memory: 1125.00M
+"output" in: sky130A_mr.drc:405
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"with_area" in: sky130A_mr.drc:406
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:406
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:411
+    Polygons (raw): 53602 (flat)  7485 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:418
+    Polygons (raw): 53602 (flat)  7485 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"non_rectangles" in: sky130A_mr.drc:419
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.100s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:419
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"drc" in: sky130A_mr.drc:421
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:421
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1117.00M
+"drc" in: sky130A_mr.drc:422
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:422
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:429
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:429
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:434
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:434
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"sized" in: sky130A_mr.drc:435
+    Polygons (raw): 50 (flat)  50 (hierarchical)
+    Elapsed: 0.040s  Memory: 1117.00M
+"sized" in: sky130A_mr.drc:435
+    Polygons (raw): 50 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"snap" in: sky130A_mr.drc:435
+    Polygons (raw): 50 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"&" in: sky130A_mr.drc:435
+    Polygons (raw): 50 (flat)  50 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:436
+    Edges: 47608 (flat)  15063 (hierarchical)
+    Elapsed: 0.370s  Memory: 1117.00M
+"-" in: sky130A_mr.drc:436
+    Edges: 46879 (flat)  14628 (hierarchical)
+    Elapsed: 0.030s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:437
+    Edges: 200 (flat)  200 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"merged" in: sky130A_mr.drc:437
+    Polygons (raw): 3018 (flat)  1172 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"outside_part" in: sky130A_mr.drc:437
+    Edges: 252 (flat)  252 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"space" in: sky130A_mr.drc:439
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1133.00M
+"output" in: sky130A_mr.drc:439
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"separation" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"space" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"+" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:441
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"input" in: sky130A_mr.drc:445
+    Polygons (raw): 14241 (flat)  4756 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:447
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.730s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:447
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:448
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:448
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"input" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:451
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:451
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:453
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:453
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"with_area" in: sky130A_mr.drc:455
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:455
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"holes" in: sky130A_mr.drc:457
+    Polygons (raw): 50 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"with_area" in: sky130A_mr.drc:457
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:457
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:464
+    Edge pairs: 82214 (flat)  20591 (hierarchical)
+    Elapsed: 1.100s  Memory: 1117.00M
+"second_edges" in: sky130A_mr.drc:464
+    Edges: 82214 (flat)  20591 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"width" in: sky130A_mr.drc:465
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.330s  Memory: 1125.00M
+"polygons" in: sky130A_mr.drc:466
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"interacting" in: sky130A_mr.drc:466
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.110s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:481
+    Polygons (raw): 7063 (flat)  3723 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"non_rectangles" in: sky130A_mr.drc:483
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:483
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"drc" in: sky130A_mr.drc:486
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:486
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1117.00M
+"space" in: sky130A_mr.drc:488
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:488
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 47608 (flat)  15063 (hierarchical)
+    Elapsed: 0.390s  Memory: 1117.00M
+"drc" in: sky130A_mr.drc:496
+    Edges: 28252 (flat)  14892 (hierarchical)
+    Elapsed: 0.100s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:496
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:496
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1117.00M
+"squares" in: sky130A_mr.drc:497
+    Polygons (raw): 7063 (flat)  3723 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"drc" in: sky130A_mr.drc:497
+    Edges: 28252 (flat)  14892 (hierarchical)
+    Elapsed: 0.100s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:497
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1133.00M
+"output" in: sky130A_mr.drc:497
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:499
+    Edges: 47608 (flat)  15063 (hierarchical)
+    Elapsed: 0.360s  Memory: 1117.00M
+"drc" in: sky130A_mr.drc:499
+    Edges: 28252 (flat)  14892 (hierarchical)
+    Elapsed: 0.090s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:499
+    Edge pairs: 1003 (flat)  260 (hierarchical)
+    Elapsed: 0.190s  Memory: 1117.00M
+"second_edges" in: sky130A_mr.drc:499
+    Edges: 1003 (flat)  260 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"width" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"polygons" in: sky130A_mr.drc:501
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"interacting" in: sky130A_mr.drc:501
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:502
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:509
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:509
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"sized" in: sky130A_mr.drc:511
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"sized" in: sky130A_mr.drc:511
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"snap" in: sky130A_mr.drc:511
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"&" in: sky130A_mr.drc:511
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:512
+    Edges: 10174 (flat)  6139 (hierarchical)
+    Elapsed: 0.080s  Memory: 1117.00M
+"-" in: sky130A_mr.drc:512
+    Edges: 10134 (flat)  6105 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:513
+    Edges: 38 (flat)  38 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"merged" in: sky130A_mr.drc:513
+    Polygons (raw): 1365 (flat)  1113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"outside_part" in: sky130A_mr.drc:513
+    Edges: 42 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:514
+    Polygons (raw): 7063 (flat)  3723 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"space" in: sky130A_mr.drc:516
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:516
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"separation" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1117.00M
+"space" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"+" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:518
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1117.00M
+"with_area" in: sky130A_mr.drc:520
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:520
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"holes" in: sky130A_mr.drc:521
+    Polygons (raw): 4 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"with_area" in: sky130A_mr.drc:521
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:521
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:526
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.100s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:526
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"not" in: sky130A_mr.drc:527
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:527
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1117.00M
+"enclosing" in: sky130A_mr.drc:528
+    Edge pairs: 3245 (flat)  2043 (hierarchical)
+    Elapsed: 0.120s  Memory: 1117.00M
+"second_edges" in: sky130A_mr.drc:528
+    Edges: 3245 (flat)  2043 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"width" in: sky130A_mr.drc:529
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1117.00M
+"polygons" in: sky130A_mr.drc:530
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"interacting" in: sky130A_mr.drc:530
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1133.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:546
+    Polygons (raw): 12714 (flat)  11861 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"non_rectangles" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"width" in: sky130A_mr.drc:548
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1117.00M
+"output" in: sky130A_mr.drc:548
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1117.00M
+"edges" in: sky130A_mr.drc:549
+    Edges: 50856 (flat)  47444 (hierarchical)
+    Elapsed: 0.090s  Memory: 1117.00M
+"without_length" in: sky130A_mr.drc:549
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:549
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"space" in: sky130A_mr.drc:550
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:550
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"enclosing" in: sky130A_mr.drc:558
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:558
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"not" in: sky130A_mr.drc:559
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:559
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"enclosing" in: sky130A_mr.drc:561
+    Edge pairs: 368 (flat)  314 (hierarchical)
+    Elapsed: 0.140s  Memory: 1129.00M
+"second_edges" in: sky130A_mr.drc:561
+    Edges: 368 (flat)  314 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"width" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"polygons" in: sky130A_mr.drc:563
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1129.00M
+"interacting" in: sky130A_mr.drc:563
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:564
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:570
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:570
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"sized" in: sky130A_mr.drc:572
+    Polygons (raw): 47 (flat)  46 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"sized" in: sky130A_mr.drc:572
+    Polygons (raw): 47 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"snap" in: sky130A_mr.drc:572
+    Polygons (raw): 47 (flat)  46 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"&" in: sky130A_mr.drc:572
+    Polygons (raw): 61 (flat)  60 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"edges" in: sky130A_mr.drc:573
+    Edges: 2571 (flat)  2161 (hierarchical)
+    Elapsed: 0.030s  Memory: 1129.00M
+"-" in: sky130A_mr.drc:573
+    Edges: 2206 (flat)  1809 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"edges" in: sky130A_mr.drc:574
+    Edges: 314 (flat)  310 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"merged" in: sky130A_mr.drc:574
+    Polygons (raw): 334 (flat)  282 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"outside_part" in: sky130A_mr.drc:574
+    Edges: 326 (flat)  321 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"space" in: sky130A_mr.drc:576
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:576
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"separation" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1129.00M
+"space" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"+" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:578
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"enclosing" in: sky130A_mr.drc:583
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.280s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:583
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1129.00M
+"not" in: sky130A_mr.drc:584
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1129.00M
+"output" in: sky130A_mr.drc:584
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:598
+    Polygons (raw): 88908 (flat)  82948 (hierarchical)
+    Elapsed: 0.010s  Memory: 1129.00M
+"non_rectangles" in: sky130A_mr.drc:599
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.950s  Memory: 1138.00M
+"output" in: sky130A_mr.drc:599
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1138.00M
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1138.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1138.00M
+"edges" in: sky130A_mr.drc:601
+    Edges: 355632 (flat)  331792 (hierarchical)
+    Elapsed: 0.680s  Memory: 1138.00M
+"without_length" in: sky130A_mr.drc:601
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.700s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:601
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"space" in: sky130A_mr.drc:603
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.310s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:603
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"enclosing" in: sky130A_mr.drc:604
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.120s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:604
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"not" in: sky130A_mr.drc:605
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.290s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:605
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"enclosing" in: sky130A_mr.drc:607
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 1.140s  Memory: 1220.00M
+"second_edges" in: sky130A_mr.drc:607
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"width" in: sky130A_mr.drc:608
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"polygons" in: sky130A_mr.drc:609
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"interacting" in: sky130A_mr.drc:609
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:610
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:616
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:616
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1220.00M
+"sized" in: sky130A_mr.drc:618
+    Polygons (raw): 44 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"sized" in: sky130A_mr.drc:618
+    Polygons (raw): 44 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"snap" in: sky130A_mr.drc:618
+    Polygons (raw): 44 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"&" in: sky130A_mr.drc:618
+    Polygons (raw): 44 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"edges" in: sky130A_mr.drc:619
+    Edges: 1035 (flat)  554 (hierarchical)
+    Elapsed: 0.020s  Memory: 1220.00M
+"-" in: sky130A_mr.drc:619
+    Edges: 762 (flat)  348 (hierarchical)
+    Elapsed: 0.020s  Memory: 1220.00M
+"edges" in: sky130A_mr.drc:620
+    Edges: 236 (flat)  184 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"merged" in: sky130A_mr.drc:620
+    Polygons (raw): 80 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"outside_part" in: sky130A_mr.drc:620
+    Edges: 258 (flat)  199 (hierarchical)
+    Elapsed: 0.020s  Memory: 1220.00M
+"space" in: sky130A_mr.drc:622
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:622
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"with_area" in: sky130A_mr.drc:624
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:624
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1220.00M
+"separation" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1220.00M
+"space" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"+" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:626
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"enclosing" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.790s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"not" in: sky130A_mr.drc:632
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.310s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:632
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:645
+    Polygons (raw): 5704 (flat)  5602 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"non_rectangles" in: sky130A_mr.drc:646
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:646
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"width" in: sky130A_mr.drc:647
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:647
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1220.00M
+"drc" in: sky130A_mr.drc:648
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:648
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1220.00M
+"polygons" in: sky130A_mr.drc:650
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:650
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"enclosing" in: sky130A_mr.drc:658
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:658
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"not" in: sky130A_mr.drc:659
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:659
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:664
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:664
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"space" in: sky130A_mr.drc:666
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:666
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"enclosing" in: sky130A_mr.drc:668
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:668
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"not" in: sky130A_mr.drc:669
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:669
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"with_area" in: sky130A_mr.drc:673
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:673
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:678
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+"output" in: sky130A_mr.drc:678
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1220.00M
+END: 76/20 (pad)
+Writing report database: /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 24.210s  Memory: 1218.00M
+ 
+Cell exclusion list:
+   rule    | cell
+   nwell.6 | sky130_fd_io__gpiov2_amux, sky130_fd_io__simple_pad_and_busses, sram
+   nsd.1   | sram
+   nsd.2   | sram
+   psd.1   | sram
+   psd.2   | sram
+ 
+release 2022.6.30_01.07
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.total b/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.log b/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.log
new file mode 100644
index 0000000..1f39b74
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.log
@@ -0,0 +1,817 @@
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:40: warning: previous definition of FEOL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:41: warning: previous definition of BEOL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: previous definition of OFFGRID was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:68: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:43: warning: previous definition of SEAL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:74: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:124
+    Polygons (raw): 507 (flat)  52 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 1364 (flat)  170 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 112 (flat)  36 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:133
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:136
+    Polygons (raw): 523 (flat)  49 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 3092 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:139
+    Polygons (raw): 683 (flat)  83 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 943 (flat)  134 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 32 (flat)  12 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:142
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 3183 (flat)  497 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 67685 (flat)  7972 (hierarchical)
+    Elapsed: 0.020s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 18004 (flat)  1645 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 53602 (flat)  7485 (hierarchical)
+    Elapsed: 0.020s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 14241 (flat)  3744 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:150
+    Polygons (raw): 7063 (flat)  2969 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 2819 (flat)  1329 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 12714 (flat)  11541 (hierarchical)
+    Elapsed: 0.020s  Memory: 529.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 730 (flat)  580 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 88908 (flat)  82922 (hierarchical)
+    Elapsed: 0.100s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 298 (flat)  137 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 5704 (flat)  5585 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 135 (flat)  58 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 5 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 40 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 50 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:204
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:205
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:206
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:207
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:208
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:209
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:210
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:211
+    Polygons (raw): 36 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:212
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:213
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:214
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:215
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:241
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:241
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:245
+    Polygons (raw): 683 (flat)  83 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"input" in: sky130A_mr.drc:246
+    Polygons (raw): 943 (flat)  134 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"input" in: sky130A_mr.drc:247
+    Polygons (raw): 112 (flat)  36 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"input" in: sky130A_mr.drc:252
+    Polygons (raw): 112 (flat)  36 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:256
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:256
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"space" in: sky130A_mr.drc:257
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1085.00M
+"output" in: sky130A_mr.drc:257
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"and" in: sky130A_mr.drc:258
+    Polygons (raw): 112 (flat)  36 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"merge" in: sky130A_mr.drc:258
+    Polygons (raw): 60 (flat)  9 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"holes" in: sky130A_mr.drc:259
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"enclosing" in: sky130A_mr.drc:259
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:259
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:264
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:264
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:265
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:265
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:270
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:270
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"separation" in: sky130A_mr.drc:271
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:271
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"and" in: sky130A_mr.drc:272
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:272
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1077.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:277
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:277
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:278
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:278
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:284
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:284
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:289
+    Polygons (raw): 696 (flat)  90 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"rectangles" in: sky130A_mr.drc:290
+    Polygons (raw): 506 (flat)  56 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"polygons" in: sky130A_mr.drc:290
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"edges" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"outside_part" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"outside" in: sky130A_mr.drc:291
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"edges" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"not" in: sky130A_mr.drc:291
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:292
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"outside" in: sky130A_mr.drc:293
+    Polygons (raw): 506 (flat)  56 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"width" in: sky130A_mr.drc:293
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:293
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:297
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"width" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"polygons" in: sky130A_mr.drc:297
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"edges" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"outside_part" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"outside" in: sky130A_mr.drc:298
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"edges" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"not" in: sky130A_mr.drc:298
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:299
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"not" in: sky130A_mr.drc:300
+    Polygons (raw): 1364 (flat)  170 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"width" in: sky130A_mr.drc:300
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:300
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:307
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:307
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:313
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:313
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"not" in: sky130A_mr.drc:314
+    Polygons (raw): 3092 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:319
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:319
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:325
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:325
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:331
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:331
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:332
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:332
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:337
+    Polygons (raw): 390 (flat)  40 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"width" in: sky130A_mr.drc:337
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:337
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 683 (flat)  83 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:338
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:338
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:343
+    Polygons (raw): 163 (flat)  57 (hierarchical)
+    Elapsed: 0.030s  Memory: 1077.00M
+"width" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"not" in: sky130A_mr.drc:344
+    Polygons (raw): 943 (flat)  134 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+"space" in: sky130A_mr.drc:344
+    Edge pairs: 1 (flat)  1 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:344
+    Edge pairs: 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 1077.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:355
+    Polygons (raw): 3713 (flat)  887 (hierarchical)
+    Elapsed: 0.030s  Memory: 1077.00M
+"and" in: sky130A_mr.drc:356
+    Polygons (raw): 59604 (flat)  7029 (hierarchical)
+    Elapsed: 0.130s  Memory: 1077.00M
+"interacting" in: sky130A_mr.drc:356
+    Polygons (raw): 58452 (flat)  7381 (hierarchical)
+    Elapsed: 0.170s  Memory: 1080.00M
+"not" in: sky130A_mr.drc:357
+    Polygons (raw): 58452 (flat)  7381 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
+"non_rectangles" in: sky130A_mr.drc:358
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1080.00M
+"output" in: sky130A_mr.drc:358
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1080.00M
+"or" in: sky130A_mr.drc:359
+    Polygons (raw): 31 (flat)  12 (hierarchical)
+    Elapsed: 0.020s  Memory: 1080.00M
+"not" in: sky130A_mr.drc:359
+    Polygons (raw): 67293 (flat)  7808 (hierarchical)
+    Elapsed: 0.020s  Memory: 1080.00M
+"edges" in: sky130A_mr.drc:359
+    Edges: 269172 (flat)  31232 (hierarchical)
+    Elapsed: 0.060s  Memory: 1080.00M
+"without_length" in: sky130A_mr.drc:359
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.160s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:359
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"separation" in: sky130A_mr.drc:360
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.090s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:360
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"and" in: sky130A_mr.drc:361
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:361
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"interacting" in: sky130A_mr.drc:362
+    Polygons (raw): 8081 (flat)  943 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"interacting" in: sky130A_mr.drc:362
+    Polygons (raw): 58452 (flat)  7381 (hierarchical)
+    Elapsed: 0.440s  Memory: 1096.00M
+"and" in: sky130A_mr.drc:362
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1104.00M
+"output" in: sky130A_mr.drc:362
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"width" in: sky130A_mr.drc:367
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:367
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"space" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1104.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"interacting" in: sky130A_mr.drc:369
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"isolated" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"interacting" in: sky130A_mr.drc:370
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"isolated" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1096.00M
+"and" in: sky130A_mr.drc:371
+    Polygons (raw): 5 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"enclosing" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"enclosing" in: sky130A_mr.drc:373
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.090s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:373
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"separation" in: sky130A_mr.drc:374
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:374
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"not_interacting" in: sky130A_mr.drc:375
+    Polygons (raw): 330 (flat)  273 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"separation" in: sky130A_mr.drc:375
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:375
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"width" in: sky130A_mr.drc:380
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:380
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1096.00M
+"space" in: sky130A_mr.drc:381
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:381
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1096.00M
+"interacting" in: sky130A_mr.drc:382
+    Polygons (raw): 40 (flat)  5 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"isolated" in: sky130A_mr.drc:382
+    Edge pairs: 72 (flat)  9 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 72 (flat)  9 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"interacting" in: sky130A_mr.drc:383
+    Polygons (raw): 40 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"isolated" in: sky130A_mr.drc:383
+    Edge pairs: 72 (flat)  9 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:383
+    Edge pairs: 72 (flat)  9 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"and" in: sky130A_mr.drc:384
+    Polygons (raw): 40 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"enclosing" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"enclosing" in: sky130A_mr.drc:385
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:385
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1096.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:386
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"separation" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"not_interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 40 (flat)  38 (hierarchical)
+    Elapsed: 0.020s  Memory: 1096.00M
+"separation" in: sky130A_mr.drc:388
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:388
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:688
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"width" in: sky130A_mr.drc:689
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:689
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"space" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:695
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"width" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"space" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1096.00M
+END: 125/20 (hvntm)
+Writing report database: /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 4.190s  Memory: 1094.00M
+ 
+Cell exclusion list:
+   rule    | cell
+   nwell.6 | sky130_fd_io__gpiov2_amux, sky130_fd_io__simple_pad_and_busses, sram
+   nsd.1   | sram
+   nsd.2   | sram
+   psd.1   | sram
+   psd.2   | sram
+ 
+release 2022.6.30_01.07
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.total b/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.total
new file mode 100644
index 0000000..dec2bf5
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+19
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.log b/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..c3f3714
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 18154 (flat)  1648 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 53602 (flat)  7485 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 14243 (flat)  3745 (hierarchical)
+    Elapsed: 0.020s  Memory: 525.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 7063 (flat)  2969 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 3320 (flat)  1827 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 12714 (flat)  11541 (hierarchical)
+    Elapsed: 0.020s  Memory: 526.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 921 (flat)  771 (hierarchical)
+    Elapsed: 0.010s  Memory: 526.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 88908 (flat)  82922 (hierarchical)
+    Elapsed: 0.090s  Memory: 529.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 310 (flat)  147 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 5704 (flat)  5585 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 141 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 206 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.020s  Memory: 529.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 18154 (flat)  1648 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.070s  Memory: 530.00M
+li1_ca_density is 0.9991217661163216
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 14243 (flat)  3745 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.070s  Memory: 530.00M
+m1_ca_density is 0.9992609108008056
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 3320 (flat)  1827 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.030s  Memory: 530.00M
+m2_ca_density is 0.9984029588724899
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 921 (flat)  771 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 530.00M
+m3_ca_density is 0.9844766714834021
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 310 (flat)  147 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.020s  Memory: 530.00M
+m4_ca_density is 0.9732212170498327
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 141 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 530.00M
+m5_ca_density is 0.964044333514944
+Writing report database: /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.630s  Memory: 528.00M
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.total b/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.log b/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..f3f78b3
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.log
@@ -0,0 +1,765 @@
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:40: warning: previous definition of FEOL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:41: warning: previous definition of BEOL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:60: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: previous definition of OFFGRID was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:68: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:43: warning: previous definition of SEAL was here
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:74: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:124
+    Polygons (raw): 507 (flat)  52 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 1364 (flat)  170 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 112 (flat)  36 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:133
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:136
+    Polygons (raw): 523 (flat)  49 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 3092 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:139
+    Polygons (raw): 683 (flat)  83 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 943 (flat)  134 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 32 (flat)  12 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:142
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 3183 (flat)  497 (hierarchical)
+    Elapsed: 0.000s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 67685 (flat)  7972 (hierarchical)
+    Elapsed: 0.020s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 18004 (flat)  1645 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 53602 (flat)  7485 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 14241 (flat)  3744 (hierarchical)
+    Elapsed: 0.020s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:150
+    Polygons (raw): 7063 (flat)  2969 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 2819 (flat)  1329 (hierarchical)
+    Elapsed: 0.010s  Memory: 528.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 12714 (flat)  11541 (hierarchical)
+    Elapsed: 0.020s  Memory: 529.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 730 (flat)  580 (hierarchical)
+    Elapsed: 0.010s  Memory: 529.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 88908 (flat)  82922 (hierarchical)
+    Elapsed: 0.090s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 298 (flat)  137 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 5704 (flat)  5585 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 135 (flat)  58 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 5 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 40 (flat)  5 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 50 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:204
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:205
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:206
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:207
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:208
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:209
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:210
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:211
+    Polygons (raw): 36 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:212
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:213
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:214
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"polygons" in: sky130A_mr.drc:215
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"and" in: sky130A_mr.drc:724
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"not" in: sky130A_mr.drc:724
+    Polygons (raw): 507 (flat)  52 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"and" in: sky130A_mr.drc:725
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"and" in: sky130A_mr.drc:725
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"and" in: sky130A_mr.drc:726
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"not" in: sky130A_mr.drc:726
+    Polygons (raw): 1364 (flat)  170 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"and" in: sky130A_mr.drc:727
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"and" in: sky130A_mr.drc:727
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 533.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 533.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.110s  Memory: 535.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 535.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 535.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 535.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 535.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 535.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 535.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 535.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.100s  Memory: 536.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.070s  Memory: 536.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 536.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 536.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 536.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.150s  Memory: 537.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 537.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 537.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 537.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 537.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 537.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 537.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 537.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.970s  Memory: 555.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.100s  Memory: 555.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 555.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 555.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.080s  Memory: 555.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:767
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:767
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:768
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:768
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:769
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:769
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:770
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:770
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:771
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:771
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:772
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 555.00M
+"output" in: sky130A_mr.drc:772
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:773
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:773
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:774
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:774
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:775
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:775
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:776
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:776
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:777
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:777
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:778
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 555.00M
+"output" in: sky130A_mr.drc:778
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:779
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:779
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:780
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:780
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"with_angle" in: sky130A_mr.drc:781
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:781
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"ongrid" in: sky130A_mr.drc:782
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+"output" in: sky130A_mr.drc:782
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 555.00M
+Writing report database: /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 4.280s  Memory: 553.00M
+ 
+Cell exclusion list:
+   rule    | cell
+   nwell.6 | sky130_fd_io__gpiov2_amux, sky130_fd_io__simple_pad_and_busses, sram
+   nsd.1   | sram
+   nsd.2   | sram
+   psd.1   | sram
+   psd.2   | sram
+ 
+release 2022.6.30_01.07
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.total b/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..e1f3a9a
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,30 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/zexi/project/sloci_caravel/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:4
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/EMP    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/EMP     64/5/dat
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/dat
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/dat
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/dat
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/dat
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/dat
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/dat
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/dat    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       1 total error(s) among 1 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 1131668 kB
+VmHWM:	  263716 kB
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..56a6051
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+1
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.log b/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..ef28e66
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.log
@@ -0,0 +1,6 @@
+writing to /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_no_zero_areas.gds
+0 zero-area shapes
+0 zero-length paths,  0 zero-length paths deleted.
+0 total zero-area objects,  0 total objects deleted.
+VmPeak:	  558140 kB
+VmHWM:	  275060 kB
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.total b/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.log b/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.log
new file mode 100644
index 0000000..636bb8e
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.log
@@ -0,0 +1,25 @@
+
+Magic 8.3 revision 315 - Compiled on Fri Sep  2 23:28:49 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Error parsing "/home/zexi/mpw_precheck/checks/tech-files/sky130A.magicrc": invalid command name "EF"
+Bad local startup file "/home/zexi/mpw_precheck/checks/tech-files/sky130A.magicrc", continuing without.
+Loading "/home/zexi/mpw_precheck/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.total b/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/pdks.info b/xml_results/13_SEP_2022___00_25_04/logs/pdks.info
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/pdks.info
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/precheck.log b/xml_results/13_SEP_2022___00_25_04/logs/precheck.log
new file mode 100644
index 0000000..868ab5f
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/precheck.log
@@ -0,0 +1,58 @@
+2022-09-13 00:25:04 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/zexi/project/sloci_caravel
+2022-09-13 00:25:04 - [INFO] - {{Project Type Info}} analog
+2022-09-13 00:25:04 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 5f588ae1b82756bcedf499e4e5a529403d767043
+2022-09-13 00:25:05 - [INFO] - {{Tools Info}} KLayout: v0.27.10 | Magic: v8.3.315
+2022-09-13 00:25:05 - [ERROR] - MPW Precheck failed to get Open PDKs & Skywater PDK versions: Command '['git', '-C', '/pdk/open_pdks', 'rev-parse', '--verify', 'HEAD']' returned non-zero exit status 128.
+2022-09-13 00:25:05 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/logs'
+2022-09-13 00:25:05 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-09-13 00:25:05 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-09-13 00:25:07 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/zexi/project/sloci_caravel.
+2022-09-13 00:25:07 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-09-13 00:25:10 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/zexi/project/sloci_caravel.
+2022-09-13 00:25:10 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-09-13 00:25:10 - [INFO] - {{SPDX COMPLIANCE CHECK PASSED}} Project is compliant with the SPDX Standard
+2022-09-13 00:25:10 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-09-13 00:25:10 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-09-13 00:25:10 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-09-13 00:25:10 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-09-13 00:25:10 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-09-13 00:25:10 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-09-13 00:25:10 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-09-13 00:25:10 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-09-13 00:25:16 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-09-13 00:25:16 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-09-13 00:25:16 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-09-13 00:25:16 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-09-13 00:25:16 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-09-13 00:25:16 - [WARNING] - PORTS CHECK FAILED: user_analog_project_wrapper ports do not match the golden wrapper ports. Mismatching ports are : ['gpio_analog[12]', 'io_clamp_high[2]', 'vccd2', 'vssa2']
+2022-09-13 00:25:16 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (1 instances). 
+2022-09-13 00:25:16 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-09-13 00:25:16 - [WARNING] - LAYOUT CHECK FAILED: The GDS layout for user_analog_project_wrapper doesn't match the provided structural netlist. Mismatching modules are: ['BGR_lvs' 'VCO']
+2022-09-13 00:25:16 - [WARNING] - {{NETLIST CONSISTENCY CHECK FAILED}} user_analog_project_wrapper netlist failed 2 consistency check(s): ['PORTS', 'LAYOUT'].
+2022-09-13 00:25:16 - [WARNING] - {{CONSISTENCY CHECK FAILED}} The user netlist and the top netlist are not valid.
+2022-09-13 00:25:16 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-09-13 00:25:20 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 0, for more details view /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.xor.gds
+2022-09-13 00:25:20 - [INFO] - {{XOR CHECK PASSED}} The GDS file has no XOR violations.
+2022-09-13 00:25:20 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-09-13 00:25:21 - [INFO] - 0 DRC violations
+2022-09-13 00:25:21 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-09-13 00:25:21 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-09-13 00:25:26 - [ERROR] - Total # of DRC violations is 19 Please check /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_feol_check.xml For more details
+2022-09-13 00:25:26 - [WARNING] - {{Klayout FEOL CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-09-13 00:25:26 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-09-13 00:25:50 - [INFO] - No DRC Violations found
+2022-09-13 00:25:50 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-09-13 00:25:50 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-09-13 00:25:56 - [INFO] - No DRC Violations found
+2022-09-13 00:25:56 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-09-13 00:25:56 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-09-13 00:25:58 - [INFO] - No DRC Violations found
+2022-09-13 00:25:58 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-09-13 00:25:58 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-09-13 00:26:00 - [ERROR] - Total # of DRC violations is 1 Please check /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-09-13 00:26:00 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-09-13 00:26:00 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-09-13 00:26:03 - [INFO] - No DRC Violations found
+2022-09-13 00:26:03 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-09-13 00:26:03 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/logs'
+2022-09-13 00:26:03 - [CRITICAL] - {{FAILURE}} 3 Check(s) Failed: ['Consistency', 'Klayout FEOL', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/tools.info b/xml_results/13_SEP_2022___00_25_04/logs/tools.info
new file mode 100644
index 0000000..91cb193
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.10
+Magic: 8.3.315
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/xor_check.log b/xml_results/13_SEP_2022___00_25_04/logs/xor_check.log
new file mode 100644
index 0000000..51dc536
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/xor_check.log
@@ -0,0 +1,238 @@
+Reading file /home/zexi/project/sloci_caravel/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 315 - Compiled on Fri Sep  2 23:28:49 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    ubm 
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/home/zexi/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: user_analog_project_wrapper
+Reading "sky130_fd_pr__pfet_01v8_lvt_75KH85".
+Reading "sky130_fd_pr__res_high_po_5p73_W59YBA".
+Reading "sky130_fd_pr__res_high_po_2p85_P79JE3".
+Reading "sky130_fd_pr__nfet_01v8_lvt_HNLS5R".
+Reading "sky130_fd_pr__nfet_01v8_lvt_YTLFGX".
+Reading "sky130_fd_pr__nfet_01v8_lvt_LELFGX".
+Reading "output_buffer".
+CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
+Reading "sky130_fd_pr__res_high_po_2p85_MM89SS".
+Reading "sky130_fd_pr__nfet_01v8_lvt_9DHFGX".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3Z634".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3M934".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D3ZSZ4".
+Reading "bias_calc".
+Reading "sky130_fd_pr__nfet_01v8_lvt_B6HS5D".
+Reading "sky130_fd_pr__nfet_01v8_lvt_BX7S53".
+Reading "sky130_fd_pr__nfet_01v8_lvt_DJ7QE5".
+Reading "sky130_fd_pr__nfet_01v8_lvt_648S5X".
+Reading "sky130_fd_pr__cap_mim_m3_2_FJFAMD".
+Reading "sky130_fd_pr__cap_mim_m3_2_VCH7EQ".
+Reading "sky130_fd_pr__cap_mim_m3_2_3ZFDVT".
+Reading "sky130_fd_pr__cap_mim_m3_2_WCTZRP".
+Reading "sky130_fd_pr__cap_mim_m3_2_WCTBV5".
+Reading "cap_bank".
+Reading "sky130_fd_pr__res_high_po_2p85_MXEQGY".
+Reading "sky130_fd_pr__nfet_01v8_lvt_6BNFGK".
+Reading "sky130_fd_pr__cap_mim_m3_1_4RCNTW".
+Reading "vop_dec".
+Reading "amp_dec".
+Reading "sky130_fd_pr__res_high_po_5p73_YZEQ6M".
+Reading "buffer_amp".
+Reading "buffer_amp_vop".
+Reading "core_osc_amp".
+Reading "core_osc".
+Reading "VCO".
+Reading "sky130_fd_pr__res_high_po_1p41_S8KB58".
+Reading "sky130_fd_pr__res_high_po_1p41_HX7ZEK".
+Reading "sky130_fd_pr__res_high_po_1p41_GWJZ59".
+Reading "sky130_fd_pr__res_high_po_1p41_6ZUZ5C".
+Reading "sky130_fd_pr__res_high_po_2p85_7J2RPB".
+Reading "sky130_fd_pr__cap_mim_m3_1_EN3Q86".
+Reading "sky130_fd_pr__nfet_01v8_lvt_7MFZYU".
+Reading "XM_tail".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MBDTEX".
+Reading "sky130_fd_pr__pfet_01v8_lvt_B64SAM".
+Reading "XM_ppair".
+Reading "sky130_fd_pr__nfet_01v8_lvt_E96B6C".
+Reading "sky130_fd_pr__nfet_01v8_lvt_A5VCMN".
+Reading "XM_diffpair".
+Reading "sky130_fd_pr__pfet_01v8_lvt_D74VRS".
+Reading "XM_cs".
+Reading "sky130_fd_pr__nfet_01v8_lvt_USQY94".
+Reading "XM_actload2".
+Reading "opamp_realcomp3_usefinger".
+Reading "sky130_fd_pr__res_high_po_1p41_2TBR6S".
+Reading "sky130_fd_pr__pfet_01v8_TSNZVH".
+Reading "sky130_fd_pr__nfet_01v8_Y5UG24".
+Reading "sky130_fd_pr__cap_mim_m3_1_Y9W37A".
+Reading "XM_pdn".
+Reading "sky130_fd_pr__nfet_01v8_lvt_64S6GM".
+Reading "sky130_fd_pr__nfet_01v8_lvt_64DJ5N".
+Reading "XM_output_mirr".
+Reading "XM_output_mirr_combined".
+Reading "XM_output_mirr_combined_with_dummy".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MUAP4U".
+Reading "XM_otabias_pmos".
+Reading "sky130_fd_pr__nfet_01v8_lvt_QA4PPD".
+Reading "XM_otabias_nmos".
+Reading "sky130_fd_pr__pfet_01v8_lvt_8URDWJ".
+Reading "XM_feedbackmir".
+Reading "sky130_fd_pr__pfet_01v8_lvt_9UM225".
+Reading "XM_feedbackmir2".
+Reading "sky130_fd_pr__pfet_01v8_lvt_Q24T46".
+Reading "sky130_fd_pr__pfet_01v8_lvt_MUVY4U".
+Reading "XM_current_gate".
+Reading "XM_current_gate_with_dummy".
+Reading "sky130_fd_pr__rf_pnp_05v5_W3p40L3p40".
+Reading "XM_bjt_out".
+Reading "XM_bjt".
+Reading "sky130_fd_pr__res_xhigh_po_5p73_UZMRKM".
+Reading "XM_Rref".
+Reading "BGR_lvs".
+Reading "user_analog_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
+lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
+internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
+lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
+internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
+lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
+internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
+lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
+internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
+   Generating output for cell xor_target
+
+Magic 8.3 revision 315 - Compiled on Fri Sep  2 23:28:49 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130(vendor): scaleFactor=2, multiplier=2
+The following types are not handled by extraction and will be treated as non-electrical types:
+    ubm 
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/home/zexi/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
+CIF input style is now "sky130(vendor)"
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 6.0
+Library name: user_analog_project_wrapper_empty
+Reading "user_analog_project_wrapper".
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
+lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
+internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
+lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
+internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
+lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
+internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
+lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
+internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
+   Generating output for cell xor_target
+Reading /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_erased.gds ..
+Reading /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_empty_erased.gds ..
+--- Running XOR for 69/20 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 494 (flat)  494 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 494 (flat)  494 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+--- Running XOR for 70/20 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 184 (flat)  184 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 184 (flat)  184 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+--- Running XOR for 72/20 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.000s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 6 (flat)  6 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+--- Running XOR for 81/14 ---
+"input" in: xor.rb.drc:39
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"input" in: xor.rb.drc:39
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+"^" in: xor.rb.drc:39
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+XOR differences: 0
+"output" in: xor.rb.drc:43
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 521.00M
+Writing layout file: /home/zexi/project/sloci_caravel/precheck_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.xor.gds ..
+Total elapsed: 0.160s  Memory: 521.00M
diff --git a/xml_results/13_SEP_2022___00_25_04/logs/xor_check.total b/xml_results/13_SEP_2022___00_25_04/logs/xor_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/logs/xor_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_beol_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..a849016
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_feol_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..a11d8d8
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,614 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.11</name>
+   <description>capm.11 : Min spacing of capm and met3 not overlapping capm : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.11</name>
+   <description>cap2m.11 : Min spacing of cap2m and met4 not overlapping cap2m : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+  <cell>
+   <name>BGR_lvs</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>user_analog_project_wrapper</parent>
+     <trans>r0 *1 1271.15,2842.015</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>VCO</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>user_analog_project_wrapper</parent>
+     <trans>r0 *1 -39.185,3193.71</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>cap_bank</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>user_analog_project_wrapper</parent>
+     <trans>m0 *1 253.84,3367.335</trans>
+    </ref>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'psd.2'</category>
+   <cell>BGR_lvs</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-10.15,-13.1;-10.15,-13.095)|(-10.375,-13.095;-10.375,-13.406)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (23.65,-2.8;23.65,8.612)|(23.35,7.45;23.35,-3.962)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.75,-3.15;25.55,-3.15)|(25.55,-2.8;23.65,-2.8)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.75,0;25.55,0)|(25.55,0.3;24.75,0.3)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.75,0.3;24.75,13.862)|(24.45,12.7;24.45,-0.862)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (21.05,-3.714;21.05,1.424)|(20.505,0.355;20.505,-2.645)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (21.05,-7.55;21.05,-1.606)|(20.45,-2.645;20.45,-7.55)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (23.775,-5.75;25.4,-5.75)|(25.4,-5.35;22.644,-5.35)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.288,-5.75;25.4,-5.75)|(25.55,-5.3;25.4,-5.3)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (22.55,-5.35;22.55,4.025)|(21.85,3.05;21.85,-6.325)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (23.65,-2.8;23.65,8.612)|(23.35,7.45;23.35,-3.962)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.75,-3.15;25.55,-3.15)|(25.55,-2.8;23.65,-2.8)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.75,0;25.55,0)|(25.55,0.3;24.75,0.3)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.75,0.3;24.75,13.862)|(24.45,12.7;24.45,-0.862)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (21.05,-3.714;21.05,1.424)|(20.505,0.355;20.505,-2.645)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (21.05,-7.55;21.05,-1.606)|(20.45,-2.645;20.45,-7.55)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (23.775,-5.75;25.4,-5.75)|(25.4,-5.35;22.644,-5.35)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (24.288,-5.75;25.4,-5.75)|(25.55,-5.3;25.4,-5.3)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'cap2m.2b_a'</category>
+   <cell>cap_bank</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (22.55,-5.35;22.55,4.025)|(21.85,3.05;21.85,-6.325)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_met_min_ca_density_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..cf7df53
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/zexi/mpw_precheck/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_offgrid_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..6f80f0f
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/zexi/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..618d380
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,38 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/zexi/project/sloci_caravel/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/zexi/mpw_precheck/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (4.72,3243;4.72,3243.21;8.3,3243.21;8.3,3243)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_zeroarea_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..ddf25c0
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/zexi/mpw_precheck/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.drc.report b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.rdb b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.tcl b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.tcl
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.tr b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.tr
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.xml b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.magic.drc.mag b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..7479f38
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,6 @@
+magic
+tech minimum
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.xor.gds b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.xor.gds
new file mode 100644
index 0000000..d885b16
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper.xor.gds
Binary files differ
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_empty_erased.gds b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..05aa9e4
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_empty_erased.gds
Binary files differ
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_erased.gds b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..a1aee81
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_erased.gds
Binary files differ
diff --git a/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_no_zero_areas.gds b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..562342c
--- /dev/null
+++ b/xml_results/13_SEP_2022___00_25_04/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ