add more xschem connections
diff --git a/mag/BGR_lvs.mag b/mag/BGR_lvs.mag
index 2f58774..1159bf2 100644
--- a/mag/BGR_lvs.mag
+++ b/mag/BGR_lvs.mag
@@ -1,7 +1,12 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1665771957
+timestamp 1666262531
+<< error_s >>
+rect -2243 -2874 -2230 -2626
+rect -1995 -3088 -1982 -2874
+rect -4470 -3810 7062 -3806
+rect -4470 -5140 7062 -5048
 << nwell >>
 rect -8726 10225 -8100 11452
 << locali >>
@@ -760,11 +765,11 @@
 transform 0 1 -13057 -1 0 -5305
 box -1417 -1173 5029 21223
 use XM_bjt  XM_bjt_0
-timestamp 1665771707
+timestamp 1666262531
 transform 1 0 -1950 0 1 -2620
 box 0 0 6492 9068
 use XM_bjt_out  XM_bjt_out_0
-timestamp 1665771707
+timestamp 1666262531
 transform 1 0 -2070 0 1 6780
 box 0 0 6492 3916
 use XM_current_gate_with_dummy  XM_current_gate_with_dummy_0
@@ -820,36 +825,36 @@
 transform 0 1 -3253 -1 0 11177
 box -307 -4837 307 4837
 << labels >>
-flabel metal2 -9540 5700 -9480 7680 0 FreeSans 800 0 0 0 vb
-flabel metal3 -8760 8740 -8200 8840 0 FreeSans 800 0 0 0 vgate
-flabel metal2 1160 8800 1380 11100 0 FreeSans 800 0 0 0 vbe3
-flabel metal1 -9200 -2991 -9100 5271 0 FreeSans 800 0 0 0 Vota_bias1
-flabel space -11280 2300 1400 2500 0 FreeSans 1600 0 0 0 va
-flabel metal3 6920 -3800 7040 -1540 0 FreeSans 1600 0 0 0 vd4
-flabel metal2 6420 320 6600 4600 0 FreeSans 3200 0 0 0 voutb2
-flabel space -3765 8753 -3645 10339 0 FreeSans 1600 0 0 0 porst_buff
-flabel space 27267 -1013 29033 -859 0 FreeSans 3200 0 0 0 voutb1
-flabel metal1 -8700 8200 -4700 8400 0 FreeSans 3200 0 0 0 vbneg
-flabel metal3 30200 4260 30500 12600 0 FreeSans 3200 0 0 0 Iout0
+rlabel metal2 -9540 5700 -9480 7680 0 vb
+rlabel metal3 -8760 8740 -8200 8840 0 vgate
+rlabel metal2 1160 8800 1380 11100 0 vbe3
+rlabel metal1 -9200 -2991 -9100 5271 0 Vota_bias1
+rlabel space -11280 2300 1400 2500 0 va
+rlabel metal3 6920 -3800 7040 -1540 0 vd4
+rlabel metal2 6420 320 6600 4600 0 voutb2
+rlabel space -3765 8753 -3645 10339 0 porst_buff
+rlabel space 27267 -1013 29033 -859 0 voutb1
+rlabel metal1 -8700 8200 -4700 8400 0 vbneg
+rlabel metal3 30200 4260 30500 12600 0 Iout0
 port 1 nsew
-flabel metal4 -14220 9760 -14020 12000 0 FreeSans 3200 0 0 0 VDD
+rlabel metal4 -14220 9760 -14020 12000 0 VDD
 port 2 nsew
-flabel metal4 -14220 -9200 -14020 -3780 0 FreeSans 3200 0 0 0 VSS
+rlabel metal4 -14220 -9200 -14020 -3780 0 VSS
 port 3 nsew
-flabel metal3 32400 4260 32700 12600 0 FreeSans 3200 0 0 0 Iout1
+rlabel metal3 32400 4260 32700 12600 0 Iout1
 port 4 nsew
-flabel metal3 34600 4260 34900 12600 0 FreeSans 3200 0 0 0 Iout2
+rlabel metal3 34600 4260 34900 12600 0 Iout2
 port 5 nsew
-flabel metal3 -8500 10860 -8380 12540 0 FreeSans 3200 0 0 0 porst
+rlabel metal3 -8500 10860 -8380 12540 0 porst
 port 6 nsew
-flabel metal2 -7900 11120 -7700 12500 0 FreeSans 1600 0 0 0 vbg
+rlabel metal2 -7900 11120 -7700 12500 0 vbg
 port 7 nsew
-flabel metal3 36800 4260 37100 12600 0 FreeSans 3200 0 0 0 Iout3
+rlabel metal3 36800 4260 37100 12600 0 Iout3
 port 8 nsew
-flabel metal3 39000 4260 39300 12600 0 FreeSans 3200 0 0 0 Iout4
+rlabel metal3 39000 4260 39300 12600 0 Iout4
 port 9 nsew
-flabel metal3 41200 4260 41500 12600 0 FreeSans 3200 0 0 0 Iout5
+rlabel metal3 41200 4260 41500 12600 0 Iout5
 port 10 nsew
-flabel metal3 43400 4260 43700 12600 0 FreeSans 3200 0 0 0 Iout6
+rlabel metal3 43400 4260 43700 12600 0 Iout6
 port 11 nsew
 << end >>
diff --git a/mag/VGA_routing.mag b/mag/VGA_routing.mag
index 7c9ad68..b09d9ff 100644
--- a/mag/VGA_routing.mag
+++ b/mag/VGA_routing.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1665996430
+timestamp 1666262589
 << metal1 >>
 rect 491912 626638 491922 626694
 rect 491978 626638 491988 626694
@@ -196,20 +196,17 @@
 rect 491056 626484 491722 626489
 rect 491056 626467 491710 626484
 rect 490968 626450 491710 626467
-rect 288309 595469 289909 595992
-rect 288309 595121 487458 595469
-rect 288309 594419 486464 595121
+rect 291988 595121 487458 595469
+rect 291988 594419 486464 595121
 rect 487165 594419 487458 595121
-rect 288309 594152 487458 594419
-rect 288309 580592 289909 594152
+rect 291988 594152 487458 594419
 rect 443868 592894 445765 592903
-rect 290414 592621 446728 592894
-rect 290414 591743 443717 592621
+rect 294628 592621 446728 592894
+rect 294628 591743 443717 592621
 rect 446222 591743 446728 592621
-rect 290414 591488 446728 591743
-rect 290414 591472 443702 591488
+rect 294628 591488 446728 591743
+rect 294628 591472 443702 591488
 rect 446425 591472 446728 591488
-rect 290414 580597 292018 591472
 rect 490416 586522 490426 589002
 rect 490676 586522 490686 589002
 rect 581138 587168 581148 587930
diff --git a/mag/XM_bjt.mag b/mag/XM_bjt.mag
index ebd658a..d3ae988 100644
--- a/mag/XM_bjt.mag
+++ b/mag/XM_bjt.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1665771707
+timestamp 1666262531
 << locali >>
 rect 20 4410 3200 4440
 rect 20 3960 3200 3990
@@ -56,7 +56,7 @@
 rect 1550 2195 1700 2200
 use sky130_fd_pr__rf_pnp_05v5_W3p40L3p40  sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0 $PDKPATH/libs.ref/sky130_fd_pr/mag
 array 0 4 644 0 6 644
-timestamp 1657128861
+timestamp 1649208665
 transform 1 0 0 0 1 0
 box 0 0 670 670
 << end >>
diff --git a/mag/XM_bjt_out.mag b/mag/XM_bjt_out.mag
index 6b879f9..fe7eadb 100644
--- a/mag/XM_bjt_out.mag
+++ b/mag/XM_bjt_out.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1665771707
+timestamp 1666262531
 << locali >>
 rect 300 1600 3000 1650
 rect 300 950 1410 1000
@@ -16,7 +16,7 @@
 rect 1550 895 1700 900
 use sky130_fd_pr__rf_pnp_05v5_W3p40L3p40  sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0 $PDKPATH/libs.ref/sky130_fd_pr/mag
 array 0 4 644 0 2 644
-timestamp 1657128861
+timestamp 1649208665
 transform 1 0 0 0 1 0
 box 0 0 670 670
 << end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index ae20e7b..d97eb86 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,7 +1,15 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1665773748
+timestamp 1666281907
+<< error_s >>
+rect 251987 565529 252000 565777
+rect 252235 565315 252248 565529
+rect 240166 564593 261830 564597
+rect 240166 563203 262230 563355
+rect 240166 561961 262230 562113
+rect 240166 560719 262230 560871
+rect 240166 559477 262230 559629
 << metal1 >>
 rect 14920 682870 15420 682910
 rect 14920 682040 14960 682870
@@ -742,24 +750,19 @@
 rect 324294 702300 326494 704800
 rect 326794 702300 328994 704800
 rect 329294 702300 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 510594 703000 515394 704800
-rect 520594 703000 525394 704800
+rect 413394 702412 418394 704800
 rect 173400 702200 175600 702300
-rect 510000 702000 526000 703000
+rect 413334 702000 413344 702412
+rect 418488 702000 418498 702412
+rect 465394 702300 470394 704800
+rect 510594 703264 515394 704800
+rect 520594 703264 525394 704800
 rect 566594 702300 571594 704800
-rect 510000 694000 511000 702000
-rect 525000 694000 526000 702000
-rect 510000 693000 526000 694000
 rect 582300 677984 584800 682984
-rect 570200 644584 583800 644600
-rect 570200 643000 584800 644584
-rect 570200 631000 572000 643000
-rect 580000 639784 584800 643000
-rect 580000 634584 583800 639784
-rect 580000 631000 584800 634584
-rect 570200 629800 584800 631000
+rect 582296 644584 583800 644600
+rect 582296 639784 584800 644584
+rect 582296 634584 583800 639784
+rect 582296 629800 584800 634584
 rect 582340 629784 584800 629800
 rect 288200 606000 289800 606600
 rect 21990 605800 24010 606000
@@ -784,9 +787,16 @@
 rect 283800 580600 285400 594000
 rect 286000 580600 287600 600000
 rect 288200 580600 289800 604000
+rect 290506 594136 292488 595526
+rect 290546 580594 292130 594136
+rect 293590 592863 295448 592882
+rect 292774 591450 295448 592863
+rect 292774 580566 294378 591450
 rect 583520 589472 584800 589584
 rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
+rect 581366 587220 583814 587614
+rect 581366 587108 584800 587220
+rect 581366 587056 583814 587108
 rect 583520 585926 584800 586038
 rect 583520 584744 584800 584856
 rect 583520 583562 584800 583674
@@ -1024,8 +1034,7 @@
 rect 40770 676130 41640 677240
 rect 23210 668680 23370 669080
 rect 2200 634200 4600 648200
-rect 511000 694000 525000 702000
-rect 572000 631000 580000 643000
+rect 413344 702000 418488 702412
 rect 22400 605000 23600 605800
 rect 148200 594200 148800 595800
 rect 165000 583000 182000 590000
@@ -1089,6 +1098,17 @@
 rect 999 549999 4001 550000
 rect 30150 418600 39650 613600
 rect 60600 564000 65400 675370
+rect 165000 664570 182000 703000
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 413343 702412 418489 702413
+rect 413343 702000 413344 702412
+rect 418488 702000 418489 702412
+rect 413343 701999 418489 702000
+rect 165000 659662 168158 664570
+rect 179872 659662 182000 664570
 rect 148000 595800 149000 596000
 rect 148000 594200 148200 595800
 rect 148800 594200 149000 595800
@@ -1103,15 +1123,7 @@
 rect 30150 219860 39650 409800
 rect 126400 429800 131200 550400
 rect 148000 435800 149000 594200
-rect 165000 590001 182000 703000
-rect 217294 702300 222294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-rect 510000 702000 526000 703000
-rect 510000 694000 511000 702000
-rect 525000 694000 526000 702000
-rect 510000 683200 526000 694000
+rect 165000 590001 182000 659662
 rect 305500 645000 316500 646500
 rect 164999 590000 182001 590001
 rect 164999 583000 165000 590000
@@ -1122,10 +1134,6 @@
 rect 315000 637000 316500 645000
 rect 238000 580000 260000 584000
 rect 305500 580300 316500 637000
-rect 570000 643000 582000 645000
-rect 570000 631000 572000 643000
-rect 580000 631000 582000 643000
-rect 570000 630000 582000 631000
 rect 238200 570900 240100 574000
 rect 258800 571400 260000 580000
 rect 238200 568100 239300 570900
@@ -1162,12 +1170,12 @@
 rect 860 559570 4260 564080
 rect 1000 550000 4000 555000
 rect 25800 550600 27600 564000
+rect 168158 659662 179872 664570
 rect 61000 550400 65000 564000
 rect 126800 550400 130800 563600
 rect 30600 409800 39000 418600
 rect 238000 636000 248000 644000
 rect 307000 637000 315000 645000
-rect 572000 631000 580000 643000
 rect 238200 558000 239700 563700
 rect 263600 556400 316600 557900
 rect 198200 466200 199800 467800
@@ -1183,7 +1191,11 @@
 rect 35600 659200 36200 675200
 rect 38800 659200 39400 675200
 rect 42200 659200 43800 675200
+rect 168134 664570 179896 664594
 rect 45800 659200 46400 660800
+rect 168134 659662 168158 664570
+rect 179872 659662 179896 664570
+rect 168134 659638 179896 659662
 rect 32000 658600 46400 659200
 rect 32000 656800 32200 658600
 rect 39200 656800 46400 658600
@@ -1199,11 +1211,7 @@
 rect 248000 636000 320000 637000
 rect 4600 635400 320000 636000
 rect 1800 635000 320000 635400
-rect 560200 643000 582000 647000
 rect 72976 634976 79024 635000
-rect 560200 631000 572000 643000
-rect 580000 631000 582000 643000
-rect 560200 630000 582000 631000
 rect 60760 564330 65600 564340
 rect 28810 564320 65600 564330
 rect 1770 564270 65600 564320
@@ -1254,7 +1262,7 @@
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
 use BGR_lvs  BGR_lvs_0
-timestamp 1665771957
+timestamp 1666262531
 transform 1 0 254230 0 1 568403
 box -14230 -10403 62405 12600
 use TX_line  TX_line_0
@@ -1269,1399 +1277,1403 @@
 timestamp 1663030914
 transform 0 1 115536 -1 0 464188
 box 31141 20430 65400 41673
+use VGA_routing  VGA_routing_0
+timestamp 1666262589
+transform 1 0 280 0 1 -18
+box 165400 323622 584100 706352
 << labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+rlabel metal3 s 583520 269230 584800 269342 0 gpio_analog[0]
 port 0 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+rlabel metal3 s -800 381864 480 381976 0 gpio_analog[10]
 port 1 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+rlabel metal3 s -800 338642 480 338754 0 gpio_analog[11]
 port 2 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+rlabel metal3 s -800 295420 480 295532 0 gpio_analog[12]
 port 3 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+rlabel metal3 s -800 252398 480 252510 0 gpio_analog[13]
 port 4 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+rlabel metal3 s -800 124776 480 124888 0 gpio_analog[14]
 port 5 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+rlabel metal3 s -800 81554 480 81666 0 gpio_analog[15]
 port 6 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+rlabel metal3 s -800 38332 480 38444 0 gpio_analog[16]
 port 7 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+rlabel metal3 s -800 16910 480 17022 0 gpio_analog[17]
 port 8 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+rlabel metal3 s 583520 313652 584800 313764 0 gpio_analog[1]
 port 9 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+rlabel metal3 s 583520 358874 584800 358986 0 gpio_analog[2]
 port 10 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+rlabel metal3 s 583520 405296 584800 405408 0 gpio_analog[3]
 port 11 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+rlabel metal3 s 583520 449718 584800 449830 0 gpio_analog[4]
 port 12 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+rlabel metal3 s 583520 494140 584800 494252 0 gpio_analog[5]
 port 13 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+rlabel metal3 s 583520 583562 584800 583674 0 gpio_analog[6]
 port 14 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+rlabel metal3 s -800 511530 480 511642 0 gpio_analog[7]
 port 15 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+rlabel metal3 s -800 468308 480 468420 0 gpio_analog[8]
 port 16 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+rlabel metal3 s -800 425086 480 425198 0 gpio_analog[9]
 port 17 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+rlabel metal3 s 583520 270412 584800 270524 0 gpio_noesd[0]
 port 18 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+rlabel metal3 s -800 380682 480 380794 0 gpio_noesd[10]
 port 19 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+rlabel metal3 s -800 337460 480 337572 0 gpio_noesd[11]
 port 20 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+rlabel metal3 s -800 294238 480 294350 0 gpio_noesd[12]
 port 21 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+rlabel metal3 s -800 251216 480 251328 0 gpio_noesd[13]
 port 22 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+rlabel metal3 s -800 123594 480 123706 0 gpio_noesd[14]
 port 23 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+rlabel metal3 s -800 80372 480 80484 0 gpio_noesd[15]
 port 24 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+rlabel metal3 s -800 37150 480 37262 0 gpio_noesd[16]
 port 25 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+rlabel metal3 s -800 15728 480 15840 0 gpio_noesd[17]
 port 26 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+rlabel metal3 s 583520 314834 584800 314946 0 gpio_noesd[1]
 port 27 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+rlabel metal3 s 583520 360056 584800 360168 0 gpio_noesd[2]
 port 28 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+rlabel metal3 s 583520 406478 584800 406590 0 gpio_noesd[3]
 port 29 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+rlabel metal3 s 583520 450900 584800 451012 0 gpio_noesd[4]
 port 30 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+rlabel metal3 s 583520 495322 584800 495434 0 gpio_noesd[5]
 port 31 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+rlabel metal3 s 583520 584744 584800 584856 0 gpio_noesd[6]
 port 32 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+rlabel metal3 s -800 510348 480 510460 0 gpio_noesd[7]
 port 33 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+rlabel metal3 s -800 467126 480 467238 0 gpio_noesd[8]
 port 34 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+rlabel metal3 s -800 423904 480 424016 0 gpio_noesd[9]
 port 35 nsew signal bidirectional
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+rlabel metal3 s 582300 677984 584800 682984 0 io_analog[0]
 port 36 nsew signal bidirectional
-flabel metal3 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+rlabel metal3 0 680242 1700 685242 0 io_analog[10]
+rlabel metal3 s 566594 702300 571594 704800 0 io_analog[1]
 port 38 nsew signal bidirectional
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+rlabel metal3 s 465394 702300 470394 704800 0 io_analog[2]
 port 39 nsew signal bidirectional
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+rlabel metal3 s 413394 702300 418394 704800 0 io_analog[3]
 port 40 nsew signal bidirectional
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal3 s 329294 702300 334294 704800 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal4 s 329294 702300 334294 704800 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal5 s 329294 702300 334294 704800 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal3 s 227594 702300 232594 704800 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal4 s 227594 702300 232594 704800 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal5 s 227594 702300 232594 704800 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal3 s 175894 702300 180894 704800 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal4 s 175894 702300 180894 704800 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal5 s 175894 702300 180894 704800 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+rlabel metal3 s 120194 702300 125194 704800 0 io_analog[7]
 port 44 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+rlabel metal3 s 68194 702300 73194 704800 0 io_analog[8]
 port 45 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+rlabel metal3 s 16194 702300 21194 704800 0 io_analog[9]
 port 46 nsew signal bidirectional
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal3 s 318994 702300 323994 704800 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal4 s 318994 702300 323994 704800 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal5 s 318994 702300 323994 704800 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal3 s 217294 702300 222294 704800 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal4 s 217294 702300 222294 704800 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal5 s 217294 702300 222294 704800 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal3 s 165594 702300 170594 704800 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal4 s 165594 702300 170594 704800 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal5 s 165594 702300 170594 704800 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+rlabel metal3 s 326794 702300 328994 704800 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+rlabel metal3 s 225094 702300 227294 704800 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+rlabel metal3 s 173394 702300 175594 704800 0 io_clamp_high[2]
 port 52 nsew signal bidirectional
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+rlabel metal3 s 324294 702300 326494 704800 0 io_clamp_low[0]
 port 53 nsew signal bidirectional
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+rlabel metal3 s 222594 702300 224794 704800 0 io_clamp_low[1]
 port 54 nsew signal bidirectional
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+rlabel metal3 s 170894 702300 173094 704800 0 io_clamp_low[2]
 port 55 nsew signal bidirectional
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+rlabel metal3 s 583520 2726 584800 2838 0 io_in[0]
 port 56 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+rlabel metal3 s 583520 408842 584800 408954 0 io_in[10]
 port 57 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+rlabel metal3 s 583520 453264 584800 453376 0 io_in[11]
 port 58 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+rlabel metal3 s 583520 497686 584800 497798 0 io_in[12]
 port 59 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+rlabel metal3 s 583520 587108 584800 587220 0 io_in[13]
 port 60 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+rlabel metal3 s -800 507984 480 508096 0 io_in[14]
 port 61 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+rlabel metal3 s -800 464762 480 464874 0 io_in[15]
 port 62 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+rlabel metal3 s -800 421540 480 421652 0 io_in[16]
 port 63 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+rlabel metal3 s -800 378318 480 378430 0 io_in[17]
 port 64 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+rlabel metal3 s -800 335096 480 335208 0 io_in[18]
 port 65 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+rlabel metal3 s -800 291874 480 291986 0 io_in[19]
 port 66 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+rlabel metal3 s 583520 7454 584800 7566 0 io_in[1]
 port 67 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+rlabel metal3 s -800 248852 480 248964 0 io_in[20]
 port 68 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+rlabel metal3 s -800 121230 480 121342 0 io_in[21]
 port 69 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+rlabel metal3 s -800 78008 480 78120 0 io_in[22]
 port 70 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+rlabel metal3 s -800 34786 480 34898 0 io_in[23]
 port 71 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+rlabel metal3 s -800 13364 480 13476 0 io_in[24]
 port 72 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+rlabel metal3 s -800 8636 480 8748 0 io_in[25]
 port 73 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+rlabel metal3 s -800 3908 480 4020 0 io_in[26]
 port 74 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+rlabel metal3 s 583520 12182 584800 12294 0 io_in[2]
 port 75 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+rlabel metal3 s 583520 16910 584800 17022 0 io_in[3]
 port 76 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+rlabel metal3 s 583520 21638 584800 21750 0 io_in[4]
 port 77 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+rlabel metal3 s 583520 48096 584800 48208 0 io_in[5]
 port 78 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+rlabel metal3 s 583520 92754 584800 92866 0 io_in[6]
 port 79 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+rlabel metal3 s 583520 272776 584800 272888 0 io_in[7]
 port 80 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+rlabel metal3 s 583520 317198 584800 317310 0 io_in[8]
 port 81 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+rlabel metal3 s 583520 362420 584800 362532 0 io_in[9]
 port 82 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+rlabel metal3 s 583520 1544 584800 1656 0 io_in_3v3[0]
 port 83 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+rlabel metal3 s 583520 407660 584800 407772 0 io_in_3v3[10]
 port 84 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+rlabel metal3 s 583520 452082 584800 452194 0 io_in_3v3[11]
 port 85 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+rlabel metal3 s 583520 496504 584800 496616 0 io_in_3v3[12]
 port 86 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+rlabel metal3 s 583520 585926 584800 586038 0 io_in_3v3[13]
 port 87 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+rlabel metal3 s -800 509166 480 509278 0 io_in_3v3[14]
 port 88 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+rlabel metal3 s -800 465944 480 466056 0 io_in_3v3[15]
 port 89 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+rlabel metal3 s -800 422722 480 422834 0 io_in_3v3[16]
 port 90 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+rlabel metal3 s -800 379500 480 379612 0 io_in_3v3[17]
 port 91 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+rlabel metal3 s -800 336278 480 336390 0 io_in_3v3[18]
 port 92 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+rlabel metal3 s -800 293056 480 293168 0 io_in_3v3[19]
 port 93 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+rlabel metal3 s 583520 6272 584800 6384 0 io_in_3v3[1]
 port 94 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+rlabel metal3 s -800 250034 480 250146 0 io_in_3v3[20]
 port 95 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+rlabel metal3 s -800 122412 480 122524 0 io_in_3v3[21]
 port 96 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+rlabel metal3 s -800 79190 480 79302 0 io_in_3v3[22]
 port 97 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+rlabel metal3 s -800 35968 480 36080 0 io_in_3v3[23]
 port 98 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+rlabel metal3 s -800 14546 480 14658 0 io_in_3v3[24]
 port 99 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+rlabel metal3 s -800 9818 480 9930 0 io_in_3v3[25]
 port 100 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+rlabel metal3 s -800 5090 480 5202 0 io_in_3v3[26]
 port 101 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+rlabel metal3 s 583520 11000 584800 11112 0 io_in_3v3[2]
 port 102 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+rlabel metal3 s 583520 15728 584800 15840 0 io_in_3v3[3]
 port 103 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+rlabel metal3 s 583520 20456 584800 20568 0 io_in_3v3[4]
 port 104 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+rlabel metal3 s 583520 46914 584800 47026 0 io_in_3v3[5]
 port 105 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+rlabel metal3 s 583520 91572 584800 91684 0 io_in_3v3[6]
 port 106 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+rlabel metal3 s 583520 271594 584800 271706 0 io_in_3v3[7]
 port 107 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+rlabel metal3 s 583520 316016 584800 316128 0 io_in_3v3[8]
 port 108 nsew signal input
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+rlabel metal3 s 583520 361238 584800 361350 0 io_in_3v3[9]
 port 109 nsew signal input
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+rlabel metal3 s 583520 5090 584800 5202 0 io_oeb[0]
 port 110 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+rlabel metal3 s 583520 411206 584800 411318 0 io_oeb[10]
 port 111 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+rlabel metal3 s 583520 455628 584800 455740 0 io_oeb[11]
 port 112 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+rlabel metal3 s 583520 500050 584800 500162 0 io_oeb[12]
 port 113 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+rlabel metal3 s 583520 589472 584800 589584 0 io_oeb[13]
 port 114 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+rlabel metal3 s -800 505620 480 505732 0 io_oeb[14]
 port 115 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+rlabel metal3 s -800 462398 480 462510 0 io_oeb[15]
 port 116 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+rlabel metal3 s -800 419176 480 419288 0 io_oeb[16]
 port 117 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+rlabel metal3 s -800 375954 480 376066 0 io_oeb[17]
 port 118 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+rlabel metal3 s -800 332732 480 332844 0 io_oeb[18]
 port 119 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+rlabel metal3 s -800 289510 480 289622 0 io_oeb[19]
 port 120 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+rlabel metal3 s 583520 9818 584800 9930 0 io_oeb[1]
 port 121 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+rlabel metal3 s -800 246488 480 246600 0 io_oeb[20]
 port 122 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+rlabel metal3 s -800 118866 480 118978 0 io_oeb[21]
 port 123 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+rlabel metal3 s -800 75644 480 75756 0 io_oeb[22]
 port 124 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+rlabel metal3 s -800 32422 480 32534 0 io_oeb[23]
 port 125 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+rlabel metal3 s -800 11000 480 11112 0 io_oeb[24]
 port 126 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+rlabel metal3 s -800 6272 480 6384 0 io_oeb[25]
 port 127 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+rlabel metal3 s -800 1544 480 1656 0 io_oeb[26]
 port 128 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+rlabel metal3 s 583520 14546 584800 14658 0 io_oeb[2]
 port 129 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+rlabel metal3 s 583520 19274 584800 19386 0 io_oeb[3]
 port 130 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+rlabel metal3 s 583520 24002 584800 24114 0 io_oeb[4]
 port 131 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+rlabel metal3 s 583520 50460 584800 50572 0 io_oeb[5]
 port 132 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+rlabel metal3 s 583520 95118 584800 95230 0 io_oeb[6]
 port 133 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+rlabel metal3 s 583520 275140 584800 275252 0 io_oeb[7]
 port 134 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+rlabel metal3 s 583520 319562 584800 319674 0 io_oeb[8]
 port 135 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+rlabel metal3 s 583520 364784 584800 364896 0 io_oeb[9]
 port 136 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+rlabel metal3 s 583520 3908 584800 4020 0 io_out[0]
 port 137 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+rlabel metal3 s 583520 410024 584800 410136 0 io_out[10]
 port 138 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+rlabel metal3 s 583520 454446 584800 454558 0 io_out[11]
 port 139 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+rlabel metal3 s 583520 498868 584800 498980 0 io_out[12]
 port 140 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+rlabel metal3 s 583520 588290 584800 588402 0 io_out[13]
 port 141 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+rlabel metal3 s -800 506802 480 506914 0 io_out[14]
 port 142 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+rlabel metal3 s -800 463580 480 463692 0 io_out[15]
 port 143 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+rlabel metal3 s -800 420358 480 420470 0 io_out[16]
 port 144 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+rlabel metal3 s -800 377136 480 377248 0 io_out[17]
 port 145 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+rlabel metal3 s -800 333914 480 334026 0 io_out[18]
 port 146 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+rlabel metal3 s -800 290692 480 290804 0 io_out[19]
 port 147 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+rlabel metal3 s 583520 8636 584800 8748 0 io_out[1]
 port 148 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+rlabel metal3 s -800 247670 480 247782 0 io_out[20]
 port 149 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+rlabel metal3 s -800 120048 480 120160 0 io_out[21]
 port 150 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+rlabel metal3 s -800 76826 480 76938 0 io_out[22]
 port 151 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+rlabel metal3 s -800 33604 480 33716 0 io_out[23]
 port 152 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+rlabel metal3 s -800 12182 480 12294 0 io_out[24]
 port 153 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+rlabel metal3 s -800 7454 480 7566 0 io_out[25]
 port 154 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+rlabel metal3 s -800 2726 480 2838 0 io_out[26]
 port 155 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+rlabel metal3 s 583520 13364 584800 13476 0 io_out[2]
 port 156 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+rlabel metal3 s 583520 18092 584800 18204 0 io_out[3]
 port 157 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+rlabel metal3 s 583520 22820 584800 22932 0 io_out[4]
 port 158 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+rlabel metal3 s 583520 49278 584800 49390 0 io_out[5]
 port 159 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+rlabel metal3 s 583520 93936 584800 94048 0 io_out[6]
 port 160 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+rlabel metal3 s 583520 273958 584800 274070 0 io_out[7]
 port 161 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+rlabel metal3 s 583520 318380 584800 318492 0 io_out[8]
 port 162 nsew signal tristate
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+rlabel metal3 s 583520 363602 584800 363714 0 io_out[9]
 port 163 nsew signal tristate
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+rlabel metal2 s 125816 -800 125928 480 0 la_data_in[0]
 port 164 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+rlabel metal2 s 480416 -800 480528 480 0 la_data_in[100]
 port 165 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+rlabel metal2 s 483962 -800 484074 480 0 la_data_in[101]
 port 166 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+rlabel metal2 s 487508 -800 487620 480 0 la_data_in[102]
 port 167 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+rlabel metal2 s 491054 -800 491166 480 0 la_data_in[103]
 port 168 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+rlabel metal2 s 494600 -800 494712 480 0 la_data_in[104]
 port 169 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+rlabel metal2 s 498146 -800 498258 480 0 la_data_in[105]
 port 170 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+rlabel metal2 s 501692 -800 501804 480 0 la_data_in[106]
 port 171 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+rlabel metal2 s 505238 -800 505350 480 0 la_data_in[107]
 port 172 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+rlabel metal2 s 508784 -800 508896 480 0 la_data_in[108]
 port 173 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+rlabel metal2 s 512330 -800 512442 480 0 la_data_in[109]
 port 174 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+rlabel metal2 s 161276 -800 161388 480 0 la_data_in[10]
 port 175 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+rlabel metal2 s 515876 -800 515988 480 0 la_data_in[110]
 port 176 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+rlabel metal2 s 519422 -800 519534 480 0 la_data_in[111]
 port 177 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+rlabel metal2 s 522968 -800 523080 480 0 la_data_in[112]
 port 178 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+rlabel metal2 s 526514 -800 526626 480 0 la_data_in[113]
 port 179 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+rlabel metal2 s 530060 -800 530172 480 0 la_data_in[114]
 port 180 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+rlabel metal2 s 533606 -800 533718 480 0 la_data_in[115]
 port 181 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+rlabel metal2 s 537152 -800 537264 480 0 la_data_in[116]
 port 182 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+rlabel metal2 s 540698 -800 540810 480 0 la_data_in[117]
 port 183 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+rlabel metal2 s 544244 -800 544356 480 0 la_data_in[118]
 port 184 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+rlabel metal2 s 547790 -800 547902 480 0 la_data_in[119]
 port 185 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+rlabel metal2 s 164822 -800 164934 480 0 la_data_in[11]
 port 186 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+rlabel metal2 s 551336 -800 551448 480 0 la_data_in[120]
 port 187 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+rlabel metal2 s 554882 -800 554994 480 0 la_data_in[121]
 port 188 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+rlabel metal2 s 558428 -800 558540 480 0 la_data_in[122]
 port 189 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+rlabel metal2 s 561974 -800 562086 480 0 la_data_in[123]
 port 190 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+rlabel metal2 s 565520 -800 565632 480 0 la_data_in[124]
 port 191 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+rlabel metal2 s 569066 -800 569178 480 0 la_data_in[125]
 port 192 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+rlabel metal2 s 572612 -800 572724 480 0 la_data_in[126]
 port 193 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+rlabel metal2 s 576158 -800 576270 480 0 la_data_in[127]
 port 194 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+rlabel metal2 s 168368 -800 168480 480 0 la_data_in[12]
 port 195 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+rlabel metal2 s 171914 -800 172026 480 0 la_data_in[13]
 port 196 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+rlabel metal2 s 175460 -800 175572 480 0 la_data_in[14]
 port 197 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+rlabel metal2 s 179006 -800 179118 480 0 la_data_in[15]
 port 198 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+rlabel metal2 s 182552 -800 182664 480 0 la_data_in[16]
 port 199 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+rlabel metal2 s 186098 -800 186210 480 0 la_data_in[17]
 port 200 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+rlabel metal2 s 189644 -800 189756 480 0 la_data_in[18]
 port 201 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+rlabel metal2 s 193190 -800 193302 480 0 la_data_in[19]
 port 202 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+rlabel metal2 s 129362 -800 129474 480 0 la_data_in[1]
 port 203 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+rlabel metal2 s 196736 -800 196848 480 0 la_data_in[20]
 port 204 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+rlabel metal2 s 200282 -800 200394 480 0 la_data_in[21]
 port 205 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+rlabel metal2 s 203828 -800 203940 480 0 la_data_in[22]
 port 206 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+rlabel metal2 s 207374 -800 207486 480 0 la_data_in[23]
 port 207 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+rlabel metal2 s 210920 -800 211032 480 0 la_data_in[24]
 port 208 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+rlabel metal2 s 214466 -800 214578 480 0 la_data_in[25]
 port 209 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+rlabel metal2 s 218012 -800 218124 480 0 la_data_in[26]
 port 210 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+rlabel metal2 s 221558 -800 221670 480 0 la_data_in[27]
 port 211 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+rlabel metal2 s 225104 -800 225216 480 0 la_data_in[28]
 port 212 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+rlabel metal2 s 228650 -800 228762 480 0 la_data_in[29]
 port 213 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+rlabel metal2 s 132908 -800 133020 480 0 la_data_in[2]
 port 214 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+rlabel metal2 s 232196 -800 232308 480 0 la_data_in[30]
 port 215 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+rlabel metal2 s 235742 -800 235854 480 0 la_data_in[31]
 port 216 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+rlabel metal2 s 239288 -800 239400 480 0 la_data_in[32]
 port 217 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+rlabel metal2 s 242834 -800 242946 480 0 la_data_in[33]
 port 218 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+rlabel metal2 s 246380 -800 246492 480 0 la_data_in[34]
 port 219 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+rlabel metal2 s 249926 -800 250038 480 0 la_data_in[35]
 port 220 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+rlabel metal2 s 253472 -800 253584 480 0 la_data_in[36]
 port 221 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+rlabel metal2 s 257018 -800 257130 480 0 la_data_in[37]
 port 222 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+rlabel metal2 s 260564 -800 260676 480 0 la_data_in[38]
 port 223 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+rlabel metal2 s 264110 -800 264222 480 0 la_data_in[39]
 port 224 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+rlabel metal2 s 136454 -800 136566 480 0 la_data_in[3]
 port 225 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+rlabel metal2 s 267656 -800 267768 480 0 la_data_in[40]
 port 226 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+rlabel metal2 s 271202 -800 271314 480 0 la_data_in[41]
 port 227 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+rlabel metal2 s 274748 -800 274860 480 0 la_data_in[42]
 port 228 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+rlabel metal2 s 278294 -800 278406 480 0 la_data_in[43]
 port 229 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+rlabel metal2 s 281840 -800 281952 480 0 la_data_in[44]
 port 230 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+rlabel metal2 s 285386 -800 285498 480 0 la_data_in[45]
 port 231 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+rlabel metal2 s 288932 -800 289044 480 0 la_data_in[46]
 port 232 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+rlabel metal2 s 292478 -800 292590 480 0 la_data_in[47]
 port 233 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+rlabel metal2 s 296024 -800 296136 480 0 la_data_in[48]
 port 234 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+rlabel metal2 s 299570 -800 299682 480 0 la_data_in[49]
 port 235 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+rlabel metal2 s 140000 -800 140112 480 0 la_data_in[4]
 port 236 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+rlabel metal2 s 303116 -800 303228 480 0 la_data_in[50]
 port 237 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+rlabel metal2 s 306662 -800 306774 480 0 la_data_in[51]
 port 238 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+rlabel metal2 s 310208 -800 310320 480 0 la_data_in[52]
 port 239 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+rlabel metal2 s 313754 -800 313866 480 0 la_data_in[53]
 port 240 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+rlabel metal2 s 317300 -800 317412 480 0 la_data_in[54]
 port 241 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+rlabel metal2 s 320846 -800 320958 480 0 la_data_in[55]
 port 242 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+rlabel metal2 s 324392 -800 324504 480 0 la_data_in[56]
 port 243 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+rlabel metal2 s 327938 -800 328050 480 0 la_data_in[57]
 port 244 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+rlabel metal2 s 331484 -800 331596 480 0 la_data_in[58]
 port 245 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+rlabel metal2 s 335030 -800 335142 480 0 la_data_in[59]
 port 246 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+rlabel metal2 s 143546 -800 143658 480 0 la_data_in[5]
 port 247 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+rlabel metal2 s 338576 -800 338688 480 0 la_data_in[60]
 port 248 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+rlabel metal2 s 342122 -800 342234 480 0 la_data_in[61]
 port 249 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+rlabel metal2 s 345668 -800 345780 480 0 la_data_in[62]
 port 250 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+rlabel metal2 s 349214 -800 349326 480 0 la_data_in[63]
 port 251 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+rlabel metal2 s 352760 -800 352872 480 0 la_data_in[64]
 port 252 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+rlabel metal2 s 356306 -800 356418 480 0 la_data_in[65]
 port 253 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+rlabel metal2 s 359852 -800 359964 480 0 la_data_in[66]
 port 254 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+rlabel metal2 s 363398 -800 363510 480 0 la_data_in[67]
 port 255 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+rlabel metal2 s 366944 -800 367056 480 0 la_data_in[68]
 port 256 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+rlabel metal2 s 370490 -800 370602 480 0 la_data_in[69]
 port 257 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+rlabel metal2 s 147092 -800 147204 480 0 la_data_in[6]
 port 258 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+rlabel metal2 s 374036 -800 374148 480 0 la_data_in[70]
 port 259 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+rlabel metal2 s 377582 -800 377694 480 0 la_data_in[71]
 port 260 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+rlabel metal2 s 381128 -800 381240 480 0 la_data_in[72]
 port 261 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+rlabel metal2 s 384674 -800 384786 480 0 la_data_in[73]
 port 262 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+rlabel metal2 s 388220 -800 388332 480 0 la_data_in[74]
 port 263 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+rlabel metal2 s 391766 -800 391878 480 0 la_data_in[75]
 port 264 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+rlabel metal2 s 395312 -800 395424 480 0 la_data_in[76]
 port 265 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+rlabel metal2 s 398858 -800 398970 480 0 la_data_in[77]
 port 266 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+rlabel metal2 s 402404 -800 402516 480 0 la_data_in[78]
 port 267 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+rlabel metal2 s 405950 -800 406062 480 0 la_data_in[79]
 port 268 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+rlabel metal2 s 150638 -800 150750 480 0 la_data_in[7]
 port 269 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+rlabel metal2 s 409496 -800 409608 480 0 la_data_in[80]
 port 270 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+rlabel metal2 s 413042 -800 413154 480 0 la_data_in[81]
 port 271 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+rlabel metal2 s 416588 -800 416700 480 0 la_data_in[82]
 port 272 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+rlabel metal2 s 420134 -800 420246 480 0 la_data_in[83]
 port 273 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+rlabel metal2 s 423680 -800 423792 480 0 la_data_in[84]
 port 274 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+rlabel metal2 s 427226 -800 427338 480 0 la_data_in[85]
 port 275 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+rlabel metal2 s 430772 -800 430884 480 0 la_data_in[86]
 port 276 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+rlabel metal2 s 434318 -800 434430 480 0 la_data_in[87]
 port 277 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+rlabel metal2 s 437864 -800 437976 480 0 la_data_in[88]
 port 278 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+rlabel metal2 s 441410 -800 441522 480 0 la_data_in[89]
 port 279 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+rlabel metal2 s 154184 -800 154296 480 0 la_data_in[8]
 port 280 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+rlabel metal2 s 444956 -800 445068 480 0 la_data_in[90]
 port 281 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+rlabel metal2 s 448502 -800 448614 480 0 la_data_in[91]
 port 282 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+rlabel metal2 s 452048 -800 452160 480 0 la_data_in[92]
 port 283 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+rlabel metal2 s 455594 -800 455706 480 0 la_data_in[93]
 port 284 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+rlabel metal2 s 459140 -800 459252 480 0 la_data_in[94]
 port 285 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+rlabel metal2 s 462686 -800 462798 480 0 la_data_in[95]
 port 286 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+rlabel metal2 s 466232 -800 466344 480 0 la_data_in[96]
 port 287 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+rlabel metal2 s 469778 -800 469890 480 0 la_data_in[97]
 port 288 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+rlabel metal2 s 473324 -800 473436 480 0 la_data_in[98]
 port 289 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+rlabel metal2 s 476870 -800 476982 480 0 la_data_in[99]
 port 290 nsew signal input
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+rlabel metal2 s 157730 -800 157842 480 0 la_data_in[9]
 port 291 nsew signal input
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+rlabel metal2 s 126998 -800 127110 480 0 la_data_out[0]
 port 292 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+rlabel metal2 s 481598 -800 481710 480 0 la_data_out[100]
 port 293 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+rlabel metal2 s 485144 -800 485256 480 0 la_data_out[101]
 port 294 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+rlabel metal2 s 488690 -800 488802 480 0 la_data_out[102]
 port 295 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+rlabel metal2 s 492236 -800 492348 480 0 la_data_out[103]
 port 296 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+rlabel metal2 s 495782 -800 495894 480 0 la_data_out[104]
 port 297 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+rlabel metal2 s 499328 -800 499440 480 0 la_data_out[105]
 port 298 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+rlabel metal2 s 502874 -800 502986 480 0 la_data_out[106]
 port 299 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+rlabel metal2 s 506420 -800 506532 480 0 la_data_out[107]
 port 300 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+rlabel metal2 s 509966 -800 510078 480 0 la_data_out[108]
 port 301 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+rlabel metal2 s 513512 -800 513624 480 0 la_data_out[109]
 port 302 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+rlabel metal2 s 162458 -800 162570 480 0 la_data_out[10]
 port 303 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+rlabel metal2 s 517058 -800 517170 480 0 la_data_out[110]
 port 304 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+rlabel metal2 s 520604 -800 520716 480 0 la_data_out[111]
 port 305 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+rlabel metal2 s 524150 -800 524262 480 0 la_data_out[112]
 port 306 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+rlabel metal2 s 527696 -800 527808 480 0 la_data_out[113]
 port 307 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+rlabel metal2 s 531242 -800 531354 480 0 la_data_out[114]
 port 308 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+rlabel metal2 s 534788 -800 534900 480 0 la_data_out[115]
 port 309 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+rlabel metal2 s 538334 -800 538446 480 0 la_data_out[116]
 port 310 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+rlabel metal2 s 541880 -800 541992 480 0 la_data_out[117]
 port 311 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+rlabel metal2 s 545426 -800 545538 480 0 la_data_out[118]
 port 312 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+rlabel metal2 s 548972 -800 549084 480 0 la_data_out[119]
 port 313 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+rlabel metal2 s 166004 -800 166116 480 0 la_data_out[11]
 port 314 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+rlabel metal2 s 552518 -800 552630 480 0 la_data_out[120]
 port 315 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+rlabel metal2 s 556064 -800 556176 480 0 la_data_out[121]
 port 316 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+rlabel metal2 s 559610 -800 559722 480 0 la_data_out[122]
 port 317 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+rlabel metal2 s 563156 -800 563268 480 0 la_data_out[123]
 port 318 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+rlabel metal2 s 566702 -800 566814 480 0 la_data_out[124]
 port 319 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+rlabel metal2 s 570248 -800 570360 480 0 la_data_out[125]
 port 320 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+rlabel metal2 s 573794 -800 573906 480 0 la_data_out[126]
 port 321 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+rlabel metal2 s 577340 -800 577452 480 0 la_data_out[127]
 port 322 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+rlabel metal2 s 169550 -800 169662 480 0 la_data_out[12]
 port 323 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+rlabel metal2 s 173096 -800 173208 480 0 la_data_out[13]
 port 324 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+rlabel metal2 s 176642 -800 176754 480 0 la_data_out[14]
 port 325 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+rlabel metal2 s 180188 -800 180300 480 0 la_data_out[15]
 port 326 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+rlabel metal2 s 183734 -800 183846 480 0 la_data_out[16]
 port 327 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+rlabel metal2 s 187280 -800 187392 480 0 la_data_out[17]
 port 328 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+rlabel metal2 s 190826 -800 190938 480 0 la_data_out[18]
 port 329 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+rlabel metal2 s 194372 -800 194484 480 0 la_data_out[19]
 port 330 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+rlabel metal2 s 130544 -800 130656 480 0 la_data_out[1]
 port 331 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+rlabel metal2 s 197918 -800 198030 480 0 la_data_out[20]
 port 332 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+rlabel metal2 s 201464 -800 201576 480 0 la_data_out[21]
 port 333 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+rlabel metal2 s 205010 -800 205122 480 0 la_data_out[22]
 port 334 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+rlabel metal2 s 208556 -800 208668 480 0 la_data_out[23]
 port 335 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+rlabel metal2 s 212102 -800 212214 480 0 la_data_out[24]
 port 336 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+rlabel metal2 s 215648 -800 215760 480 0 la_data_out[25]
 port 337 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+rlabel metal2 s 219194 -800 219306 480 0 la_data_out[26]
 port 338 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+rlabel metal2 s 222740 -800 222852 480 0 la_data_out[27]
 port 339 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+rlabel metal2 s 226286 -800 226398 480 0 la_data_out[28]
 port 340 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+rlabel metal2 s 229832 -800 229944 480 0 la_data_out[29]
 port 341 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+rlabel metal2 s 134090 -800 134202 480 0 la_data_out[2]
 port 342 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+rlabel metal2 s 233378 -800 233490 480 0 la_data_out[30]
 port 343 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+rlabel metal2 s 236924 -800 237036 480 0 la_data_out[31]
 port 344 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+rlabel metal2 s 240470 -800 240582 480 0 la_data_out[32]
 port 345 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+rlabel metal2 s 244016 -800 244128 480 0 la_data_out[33]
 port 346 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+rlabel metal2 s 247562 -800 247674 480 0 la_data_out[34]
 port 347 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+rlabel metal2 s 251108 -800 251220 480 0 la_data_out[35]
 port 348 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+rlabel metal2 s 254654 -800 254766 480 0 la_data_out[36]
 port 349 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+rlabel metal2 s 258200 -800 258312 480 0 la_data_out[37]
 port 350 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+rlabel metal2 s 261746 -800 261858 480 0 la_data_out[38]
 port 351 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+rlabel metal2 s 265292 -800 265404 480 0 la_data_out[39]
 port 352 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+rlabel metal2 s 137636 -800 137748 480 0 la_data_out[3]
 port 353 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+rlabel metal2 s 268838 -800 268950 480 0 la_data_out[40]
 port 354 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+rlabel metal2 s 272384 -800 272496 480 0 la_data_out[41]
 port 355 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+rlabel metal2 s 275930 -800 276042 480 0 la_data_out[42]
 port 356 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+rlabel metal2 s 279476 -800 279588 480 0 la_data_out[43]
 port 357 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+rlabel metal2 s 283022 -800 283134 480 0 la_data_out[44]
 port 358 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+rlabel metal2 s 286568 -800 286680 480 0 la_data_out[45]
 port 359 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+rlabel metal2 s 290114 -800 290226 480 0 la_data_out[46]
 port 360 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+rlabel metal2 s 293660 -800 293772 480 0 la_data_out[47]
 port 361 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+rlabel metal2 s 297206 -800 297318 480 0 la_data_out[48]
 port 362 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+rlabel metal2 s 300752 -800 300864 480 0 la_data_out[49]
 port 363 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+rlabel metal2 s 141182 -800 141294 480 0 la_data_out[4]
 port 364 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+rlabel metal2 s 304298 -800 304410 480 0 la_data_out[50]
 port 365 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+rlabel metal2 s 307844 -800 307956 480 0 la_data_out[51]
 port 366 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+rlabel metal2 s 311390 -800 311502 480 0 la_data_out[52]
 port 367 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+rlabel metal2 s 314936 -800 315048 480 0 la_data_out[53]
 port 368 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+rlabel metal2 s 318482 -800 318594 480 0 la_data_out[54]
 port 369 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+rlabel metal2 s 322028 -800 322140 480 0 la_data_out[55]
 port 370 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+rlabel metal2 s 325574 -800 325686 480 0 la_data_out[56]
 port 371 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+rlabel metal2 s 329120 -800 329232 480 0 la_data_out[57]
 port 372 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+rlabel metal2 s 332666 -800 332778 480 0 la_data_out[58]
 port 373 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+rlabel metal2 s 336212 -800 336324 480 0 la_data_out[59]
 port 374 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+rlabel metal2 s 144728 -800 144840 480 0 la_data_out[5]
 port 375 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+rlabel metal2 s 339758 -800 339870 480 0 la_data_out[60]
 port 376 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+rlabel metal2 s 343304 -800 343416 480 0 la_data_out[61]
 port 377 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+rlabel metal2 s 346850 -800 346962 480 0 la_data_out[62]
 port 378 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+rlabel metal2 s 350396 -800 350508 480 0 la_data_out[63]
 port 379 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+rlabel metal2 s 353942 -800 354054 480 0 la_data_out[64]
 port 380 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+rlabel metal2 s 357488 -800 357600 480 0 la_data_out[65]
 port 381 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+rlabel metal2 s 361034 -800 361146 480 0 la_data_out[66]
 port 382 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+rlabel metal2 s 364580 -800 364692 480 0 la_data_out[67]
 port 383 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+rlabel metal2 s 368126 -800 368238 480 0 la_data_out[68]
 port 384 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+rlabel metal2 s 371672 -800 371784 480 0 la_data_out[69]
 port 385 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+rlabel metal2 s 148274 -800 148386 480 0 la_data_out[6]
 port 386 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+rlabel metal2 s 375218 -800 375330 480 0 la_data_out[70]
 port 387 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+rlabel metal2 s 378764 -800 378876 480 0 la_data_out[71]
 port 388 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+rlabel metal2 s 382310 -800 382422 480 0 la_data_out[72]
 port 389 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+rlabel metal2 s 385856 -800 385968 480 0 la_data_out[73]
 port 390 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+rlabel metal2 s 389402 -800 389514 480 0 la_data_out[74]
 port 391 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+rlabel metal2 s 392948 -800 393060 480 0 la_data_out[75]
 port 392 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+rlabel metal2 s 396494 -800 396606 480 0 la_data_out[76]
 port 393 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+rlabel metal2 s 400040 -800 400152 480 0 la_data_out[77]
 port 394 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+rlabel metal2 s 403586 -800 403698 480 0 la_data_out[78]
 port 395 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+rlabel metal2 s 407132 -800 407244 480 0 la_data_out[79]
 port 396 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+rlabel metal2 s 151820 -800 151932 480 0 la_data_out[7]
 port 397 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+rlabel metal2 s 410678 -800 410790 480 0 la_data_out[80]
 port 398 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+rlabel metal2 s 414224 -800 414336 480 0 la_data_out[81]
 port 399 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+rlabel metal2 s 417770 -800 417882 480 0 la_data_out[82]
 port 400 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+rlabel metal2 s 421316 -800 421428 480 0 la_data_out[83]
 port 401 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+rlabel metal2 s 424862 -800 424974 480 0 la_data_out[84]
 port 402 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+rlabel metal2 s 428408 -800 428520 480 0 la_data_out[85]
 port 403 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+rlabel metal2 s 431954 -800 432066 480 0 la_data_out[86]
 port 404 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+rlabel metal2 s 435500 -800 435612 480 0 la_data_out[87]
 port 405 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+rlabel metal2 s 439046 -800 439158 480 0 la_data_out[88]
 port 406 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+rlabel metal2 s 442592 -800 442704 480 0 la_data_out[89]
 port 407 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+rlabel metal2 s 155366 -800 155478 480 0 la_data_out[8]
 port 408 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+rlabel metal2 s 446138 -800 446250 480 0 la_data_out[90]
 port 409 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+rlabel metal2 s 449684 -800 449796 480 0 la_data_out[91]
 port 410 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+rlabel metal2 s 453230 -800 453342 480 0 la_data_out[92]
 port 411 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+rlabel metal2 s 456776 -800 456888 480 0 la_data_out[93]
 port 412 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+rlabel metal2 s 460322 -800 460434 480 0 la_data_out[94]
 port 413 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+rlabel metal2 s 463868 -800 463980 480 0 la_data_out[95]
 port 414 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+rlabel metal2 s 467414 -800 467526 480 0 la_data_out[96]
 port 415 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+rlabel metal2 s 470960 -800 471072 480 0 la_data_out[97]
 port 416 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+rlabel metal2 s 474506 -800 474618 480 0 la_data_out[98]
 port 417 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+rlabel metal2 s 478052 -800 478164 480 0 la_data_out[99]
 port 418 nsew signal tristate
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+rlabel metal2 s 158912 -800 159024 480 0 la_data_out[9]
 port 419 nsew signal tristate
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+rlabel metal2 s 128180 -800 128292 480 0 la_oenb[0]
 port 420 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+rlabel metal2 s 482780 -800 482892 480 0 la_oenb[100]
 port 421 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+rlabel metal2 s 486326 -800 486438 480 0 la_oenb[101]
 port 422 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+rlabel metal2 s 489872 -800 489984 480 0 la_oenb[102]
 port 423 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+rlabel metal2 s 493418 -800 493530 480 0 la_oenb[103]
 port 424 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+rlabel metal2 s 496964 -800 497076 480 0 la_oenb[104]
 port 425 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+rlabel metal2 s 500510 -800 500622 480 0 la_oenb[105]
 port 426 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+rlabel metal2 s 504056 -800 504168 480 0 la_oenb[106]
 port 427 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+rlabel metal2 s 507602 -800 507714 480 0 la_oenb[107]
 port 428 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+rlabel metal2 s 511148 -800 511260 480 0 la_oenb[108]
 port 429 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+rlabel metal2 s 514694 -800 514806 480 0 la_oenb[109]
 port 430 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+rlabel metal2 s 163640 -800 163752 480 0 la_oenb[10]
 port 431 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+rlabel metal2 s 518240 -800 518352 480 0 la_oenb[110]
 port 432 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+rlabel metal2 s 521786 -800 521898 480 0 la_oenb[111]
 port 433 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+rlabel metal2 s 525332 -800 525444 480 0 la_oenb[112]
 port 434 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+rlabel metal2 s 528878 -800 528990 480 0 la_oenb[113]
 port 435 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+rlabel metal2 s 532424 -800 532536 480 0 la_oenb[114]
 port 436 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+rlabel metal2 s 535970 -800 536082 480 0 la_oenb[115]
 port 437 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+rlabel metal2 s 539516 -800 539628 480 0 la_oenb[116]
 port 438 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+rlabel metal2 s 543062 -800 543174 480 0 la_oenb[117]
 port 439 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+rlabel metal2 s 546608 -800 546720 480 0 la_oenb[118]
 port 440 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+rlabel metal2 s 550154 -800 550266 480 0 la_oenb[119]
 port 441 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+rlabel metal2 s 167186 -800 167298 480 0 la_oenb[11]
 port 442 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+rlabel metal2 s 553700 -800 553812 480 0 la_oenb[120]
 port 443 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+rlabel metal2 s 557246 -800 557358 480 0 la_oenb[121]
 port 444 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+rlabel metal2 s 560792 -800 560904 480 0 la_oenb[122]
 port 445 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+rlabel metal2 s 564338 -800 564450 480 0 la_oenb[123]
 port 446 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+rlabel metal2 s 567884 -800 567996 480 0 la_oenb[124]
 port 447 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+rlabel metal2 s 571430 -800 571542 480 0 la_oenb[125]
 port 448 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+rlabel metal2 s 574976 -800 575088 480 0 la_oenb[126]
 port 449 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+rlabel metal2 s 578522 -800 578634 480 0 la_oenb[127]
 port 450 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+rlabel metal2 s 170732 -800 170844 480 0 la_oenb[12]
 port 451 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+rlabel metal2 s 174278 -800 174390 480 0 la_oenb[13]
 port 452 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+rlabel metal2 s 177824 -800 177936 480 0 la_oenb[14]
 port 453 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+rlabel metal2 s 181370 -800 181482 480 0 la_oenb[15]
 port 454 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+rlabel metal2 s 184916 -800 185028 480 0 la_oenb[16]
 port 455 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+rlabel metal2 s 188462 -800 188574 480 0 la_oenb[17]
 port 456 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+rlabel metal2 s 192008 -800 192120 480 0 la_oenb[18]
 port 457 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+rlabel metal2 s 195554 -800 195666 480 0 la_oenb[19]
 port 458 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+rlabel metal2 s 131726 -800 131838 480 0 la_oenb[1]
 port 459 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+rlabel metal2 s 199100 -800 199212 480 0 la_oenb[20]
 port 460 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+rlabel metal2 s 202646 -800 202758 480 0 la_oenb[21]
 port 461 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+rlabel metal2 s 206192 -800 206304 480 0 la_oenb[22]
 port 462 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+rlabel metal2 s 209738 -800 209850 480 0 la_oenb[23]
 port 463 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+rlabel metal2 s 213284 -800 213396 480 0 la_oenb[24]
 port 464 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+rlabel metal2 s 216830 -800 216942 480 0 la_oenb[25]
 port 465 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+rlabel metal2 s 220376 -800 220488 480 0 la_oenb[26]
 port 466 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+rlabel metal2 s 223922 -800 224034 480 0 la_oenb[27]
 port 467 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+rlabel metal2 s 227468 -800 227580 480 0 la_oenb[28]
 port 468 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+rlabel metal2 s 231014 -800 231126 480 0 la_oenb[29]
 port 469 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+rlabel metal2 s 135272 -800 135384 480 0 la_oenb[2]
 port 470 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+rlabel metal2 s 234560 -800 234672 480 0 la_oenb[30]
 port 471 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+rlabel metal2 s 238106 -800 238218 480 0 la_oenb[31]
 port 472 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+rlabel metal2 s 241652 -800 241764 480 0 la_oenb[32]
 port 473 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+rlabel metal2 s 245198 -800 245310 480 0 la_oenb[33]
 port 474 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+rlabel metal2 s 248744 -800 248856 480 0 la_oenb[34]
 port 475 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+rlabel metal2 s 252290 -800 252402 480 0 la_oenb[35]
 port 476 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+rlabel metal2 s 255836 -800 255948 480 0 la_oenb[36]
 port 477 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+rlabel metal2 s 259382 -800 259494 480 0 la_oenb[37]
 port 478 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+rlabel metal2 s 262928 -800 263040 480 0 la_oenb[38]
 port 479 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+rlabel metal2 s 266474 -800 266586 480 0 la_oenb[39]
 port 480 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+rlabel metal2 s 138818 -800 138930 480 0 la_oenb[3]
 port 481 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+rlabel metal2 s 270020 -800 270132 480 0 la_oenb[40]
 port 482 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+rlabel metal2 s 273566 -800 273678 480 0 la_oenb[41]
 port 483 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+rlabel metal2 s 277112 -800 277224 480 0 la_oenb[42]
 port 484 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+rlabel metal2 s 280658 -800 280770 480 0 la_oenb[43]
 port 485 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+rlabel metal2 s 284204 -800 284316 480 0 la_oenb[44]
 port 486 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+rlabel metal2 s 287750 -800 287862 480 0 la_oenb[45]
 port 487 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+rlabel metal2 s 291296 -800 291408 480 0 la_oenb[46]
 port 488 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+rlabel metal2 s 294842 -800 294954 480 0 la_oenb[47]
 port 489 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+rlabel metal2 s 298388 -800 298500 480 0 la_oenb[48]
 port 490 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+rlabel metal2 s 301934 -800 302046 480 0 la_oenb[49]
 port 491 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+rlabel metal2 s 142364 -800 142476 480 0 la_oenb[4]
 port 492 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+rlabel metal2 s 305480 -800 305592 480 0 la_oenb[50]
 port 493 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+rlabel metal2 s 309026 -800 309138 480 0 la_oenb[51]
 port 494 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+rlabel metal2 s 312572 -800 312684 480 0 la_oenb[52]
 port 495 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+rlabel metal2 s 316118 -800 316230 480 0 la_oenb[53]
 port 496 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+rlabel metal2 s 319664 -800 319776 480 0 la_oenb[54]
 port 497 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+rlabel metal2 s 323210 -800 323322 480 0 la_oenb[55]
 port 498 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+rlabel metal2 s 326756 -800 326868 480 0 la_oenb[56]
 port 499 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+rlabel metal2 s 330302 -800 330414 480 0 la_oenb[57]
 port 500 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+rlabel metal2 s 333848 -800 333960 480 0 la_oenb[58]
 port 501 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+rlabel metal2 s 337394 -800 337506 480 0 la_oenb[59]
 port 502 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+rlabel metal2 s 145910 -800 146022 480 0 la_oenb[5]
 port 503 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+rlabel metal2 s 340940 -800 341052 480 0 la_oenb[60]
 port 504 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+rlabel metal2 s 344486 -800 344598 480 0 la_oenb[61]
 port 505 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+rlabel metal2 s 348032 -800 348144 480 0 la_oenb[62]
 port 506 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+rlabel metal2 s 351578 -800 351690 480 0 la_oenb[63]
 port 507 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+rlabel metal2 s 355124 -800 355236 480 0 la_oenb[64]
 port 508 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+rlabel metal2 s 358670 -800 358782 480 0 la_oenb[65]
 port 509 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+rlabel metal2 s 362216 -800 362328 480 0 la_oenb[66]
 port 510 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+rlabel metal2 s 365762 -800 365874 480 0 la_oenb[67]
 port 511 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+rlabel metal2 s 369308 -800 369420 480 0 la_oenb[68]
 port 512 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+rlabel metal2 s 372854 -800 372966 480 0 la_oenb[69]
 port 513 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+rlabel metal2 s 149456 -800 149568 480 0 la_oenb[6]
 port 514 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+rlabel metal2 s 376400 -800 376512 480 0 la_oenb[70]
 port 515 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+rlabel metal2 s 379946 -800 380058 480 0 la_oenb[71]
 port 516 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+rlabel metal2 s 383492 -800 383604 480 0 la_oenb[72]
 port 517 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+rlabel metal2 s 387038 -800 387150 480 0 la_oenb[73]
 port 518 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+rlabel metal2 s 390584 -800 390696 480 0 la_oenb[74]
 port 519 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+rlabel metal2 s 394130 -800 394242 480 0 la_oenb[75]
 port 520 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+rlabel metal2 s 397676 -800 397788 480 0 la_oenb[76]
 port 521 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+rlabel metal2 s 401222 -800 401334 480 0 la_oenb[77]
 port 522 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+rlabel metal2 s 404768 -800 404880 480 0 la_oenb[78]
 port 523 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+rlabel metal2 s 408314 -800 408426 480 0 la_oenb[79]
 port 524 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+rlabel metal2 s 153002 -800 153114 480 0 la_oenb[7]
 port 525 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+rlabel metal2 s 411860 -800 411972 480 0 la_oenb[80]
 port 526 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+rlabel metal2 s 415406 -800 415518 480 0 la_oenb[81]
 port 527 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+rlabel metal2 s 418952 -800 419064 480 0 la_oenb[82]
 port 528 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+rlabel metal2 s 422498 -800 422610 480 0 la_oenb[83]
 port 529 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+rlabel metal2 s 426044 -800 426156 480 0 la_oenb[84]
 port 530 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+rlabel metal2 s 429590 -800 429702 480 0 la_oenb[85]
 port 531 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+rlabel metal2 s 433136 -800 433248 480 0 la_oenb[86]
 port 532 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+rlabel metal2 s 436682 -800 436794 480 0 la_oenb[87]
 port 533 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+rlabel metal2 s 440228 -800 440340 480 0 la_oenb[88]
 port 534 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+rlabel metal2 s 443774 -800 443886 480 0 la_oenb[89]
 port 535 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+rlabel metal2 s 156548 -800 156660 480 0 la_oenb[8]
 port 536 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+rlabel metal2 s 447320 -800 447432 480 0 la_oenb[90]
 port 537 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+rlabel metal2 s 450866 -800 450978 480 0 la_oenb[91]
 port 538 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+rlabel metal2 s 454412 -800 454524 480 0 la_oenb[92]
 port 539 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+rlabel metal2 s 457958 -800 458070 480 0 la_oenb[93]
 port 540 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+rlabel metal2 s 461504 -800 461616 480 0 la_oenb[94]
 port 541 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+rlabel metal2 s 465050 -800 465162 480 0 la_oenb[95]
 port 542 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+rlabel metal2 s 468596 -800 468708 480 0 la_oenb[96]
 port 543 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+rlabel metal2 s 472142 -800 472254 480 0 la_oenb[97]
 port 544 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+rlabel metal2 s 475688 -800 475800 480 0 la_oenb[98]
 port 545 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+rlabel metal2 s 479234 -800 479346 480 0 la_oenb[99]
 port 546 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+rlabel metal2 s 160094 -800 160206 480 0 la_oenb[9]
 port 547 nsew signal input
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+rlabel metal2 s 579704 -800 579816 480 0 user_clock2
 port 548 nsew signal input
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+rlabel metal2 s 580886 -800 580998 480 0 user_irq[0]
 port 549 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+rlabel metal2 s 582068 -800 582180 480 0 user_irq[1]
 port 550 nsew signal tristate
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+rlabel metal2 s 583250 -800 583362 480 0 user_irq[2]
 port 551 nsew signal tristate
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+rlabel metal3 s 582340 639784 584800 644584 0 vccd1
 port 552 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+rlabel metal3 s 582340 629784 584800 634584 0 vccd1
 port 553 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+rlabel metal3 s 0 643842 1660 648642 0 vccd2
 port 554 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+rlabel metal3 s 0 633842 1660 638642 0 vccd2
 port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 540562 584800 545362 0 vdda1
 port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 550562 584800 555362 0 vdda1
 port 557 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 235230 584800 240030 0 vdda1
 port 558 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 225230 584800 230030 0 vdda1
 port 559 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+rlabel metal3 s 0 204888 1660 209688 0 vdda2
 port 560 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+rlabel metal3 s 0 214888 1660 219688 0 vdda2
 port 561 nsew signal bidirectional
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+rlabel metal3 s 520594 702340 525394 704800 0 vssa1
 port 562 nsew signal bidirectional
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+rlabel metal3 s 510594 702340 515394 704800 0 vssa1
 port 563 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+rlabel metal3 s 582340 146830 584800 151630 0 vssa1
 port 564 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+rlabel metal3 s 582340 136830 584800 141630 0 vssa1
 port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+rlabel metal3 s 0 559442 1660 564242 0 vssa2
 port 566 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+rlabel metal3 s 0 549442 1660 554242 0 vssa2
 port 567 nsew signal bidirectional
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+rlabel metal3 s 582340 191430 584800 196230 0 vssd1
 port 568 nsew signal bidirectional
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+rlabel metal3 s 582340 181430 584800 186230 0 vssd1
 port 569 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+rlabel metal3 s 0 172888 1660 177688 0 vssd2
 port 570 nsew signal bidirectional
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+rlabel metal3 s 0 162888 1660 167688 0 vssd2
 port 571 nsew signal bidirectional
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+rlabel metal2 s 524 -800 636 480 0 wb_clk_i
 port 572 nsew signal input
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+rlabel metal2 s 1706 -800 1818 480 0 wb_rst_i
 port 573 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+rlabel metal2 s 2888 -800 3000 480 0 wbs_ack_o
 port 574 nsew signal tristate
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+rlabel metal2 s 7616 -800 7728 480 0 wbs_adr_i[0]
 port 575 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+rlabel metal2 s 47804 -800 47916 480 0 wbs_adr_i[10]
 port 576 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+rlabel metal2 s 51350 -800 51462 480 0 wbs_adr_i[11]
 port 577 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+rlabel metal2 s 54896 -800 55008 480 0 wbs_adr_i[12]
 port 578 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+rlabel metal2 s 58442 -800 58554 480 0 wbs_adr_i[13]
 port 579 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+rlabel metal2 s 61988 -800 62100 480 0 wbs_adr_i[14]
 port 580 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+rlabel metal2 s 65534 -800 65646 480 0 wbs_adr_i[15]
 port 581 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+rlabel metal2 s 69080 -800 69192 480 0 wbs_adr_i[16]
 port 582 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+rlabel metal2 s 72626 -800 72738 480 0 wbs_adr_i[17]
 port 583 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+rlabel metal2 s 76172 -800 76284 480 0 wbs_adr_i[18]
 port 584 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+rlabel metal2 s 79718 -800 79830 480 0 wbs_adr_i[19]
 port 585 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+rlabel metal2 s 12344 -800 12456 480 0 wbs_adr_i[1]
 port 586 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+rlabel metal2 s 83264 -800 83376 480 0 wbs_adr_i[20]
 port 587 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+rlabel metal2 s 86810 -800 86922 480 0 wbs_adr_i[21]
 port 588 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+rlabel metal2 s 90356 -800 90468 480 0 wbs_adr_i[22]
 port 589 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+rlabel metal2 s 93902 -800 94014 480 0 wbs_adr_i[23]
 port 590 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+rlabel metal2 s 97448 -800 97560 480 0 wbs_adr_i[24]
 port 591 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+rlabel metal2 s 100994 -800 101106 480 0 wbs_adr_i[25]
 port 592 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+rlabel metal2 s 104540 -800 104652 480 0 wbs_adr_i[26]
 port 593 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+rlabel metal2 s 108086 -800 108198 480 0 wbs_adr_i[27]
 port 594 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+rlabel metal2 s 111632 -800 111744 480 0 wbs_adr_i[28]
 port 595 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+rlabel metal2 s 115178 -800 115290 480 0 wbs_adr_i[29]
 port 596 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+rlabel metal2 s 17072 -800 17184 480 0 wbs_adr_i[2]
 port 597 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+rlabel metal2 s 118724 -800 118836 480 0 wbs_adr_i[30]
 port 598 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+rlabel metal2 s 122270 -800 122382 480 0 wbs_adr_i[31]
 port 599 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+rlabel metal2 s 21800 -800 21912 480 0 wbs_adr_i[3]
 port 600 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+rlabel metal2 s 26528 -800 26640 480 0 wbs_adr_i[4]
 port 601 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+rlabel metal2 s 30074 -800 30186 480 0 wbs_adr_i[5]
 port 602 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+rlabel metal2 s 33620 -800 33732 480 0 wbs_adr_i[6]
 port 603 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+rlabel metal2 s 37166 -800 37278 480 0 wbs_adr_i[7]
 port 604 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+rlabel metal2 s 40712 -800 40824 480 0 wbs_adr_i[8]
 port 605 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+rlabel metal2 s 44258 -800 44370 480 0 wbs_adr_i[9]
 port 606 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+rlabel metal2 s 4070 -800 4182 480 0 wbs_cyc_i
 port 607 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+rlabel metal2 s 8798 -800 8910 480 0 wbs_dat_i[0]
 port 608 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+rlabel metal2 s 48986 -800 49098 480 0 wbs_dat_i[10]
 port 609 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+rlabel metal2 s 52532 -800 52644 480 0 wbs_dat_i[11]
 port 610 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+rlabel metal2 s 56078 -800 56190 480 0 wbs_dat_i[12]
 port 611 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+rlabel metal2 s 59624 -800 59736 480 0 wbs_dat_i[13]
 port 612 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+rlabel metal2 s 63170 -800 63282 480 0 wbs_dat_i[14]
 port 613 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+rlabel metal2 s 66716 -800 66828 480 0 wbs_dat_i[15]
 port 614 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+rlabel metal2 s 70262 -800 70374 480 0 wbs_dat_i[16]
 port 615 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+rlabel metal2 s 73808 -800 73920 480 0 wbs_dat_i[17]
 port 616 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+rlabel metal2 s 77354 -800 77466 480 0 wbs_dat_i[18]
 port 617 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+rlabel metal2 s 80900 -800 81012 480 0 wbs_dat_i[19]
 port 618 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+rlabel metal2 s 13526 -800 13638 480 0 wbs_dat_i[1]
 port 619 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+rlabel metal2 s 84446 -800 84558 480 0 wbs_dat_i[20]
 port 620 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+rlabel metal2 s 87992 -800 88104 480 0 wbs_dat_i[21]
 port 621 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+rlabel metal2 s 91538 -800 91650 480 0 wbs_dat_i[22]
 port 622 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+rlabel metal2 s 95084 -800 95196 480 0 wbs_dat_i[23]
 port 623 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+rlabel metal2 s 98630 -800 98742 480 0 wbs_dat_i[24]
 port 624 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+rlabel metal2 s 102176 -800 102288 480 0 wbs_dat_i[25]
 port 625 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+rlabel metal2 s 105722 -800 105834 480 0 wbs_dat_i[26]
 port 626 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+rlabel metal2 s 109268 -800 109380 480 0 wbs_dat_i[27]
 port 627 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+rlabel metal2 s 112814 -800 112926 480 0 wbs_dat_i[28]
 port 628 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+rlabel metal2 s 116360 -800 116472 480 0 wbs_dat_i[29]
 port 629 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+rlabel metal2 s 18254 -800 18366 480 0 wbs_dat_i[2]
 port 630 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+rlabel metal2 s 119906 -800 120018 480 0 wbs_dat_i[30]
 port 631 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+rlabel metal2 s 123452 -800 123564 480 0 wbs_dat_i[31]
 port 632 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+rlabel metal2 s 22982 -800 23094 480 0 wbs_dat_i[3]
 port 633 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+rlabel metal2 s 27710 -800 27822 480 0 wbs_dat_i[4]
 port 634 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+rlabel metal2 s 31256 -800 31368 480 0 wbs_dat_i[5]
 port 635 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+rlabel metal2 s 34802 -800 34914 480 0 wbs_dat_i[6]
 port 636 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+rlabel metal2 s 38348 -800 38460 480 0 wbs_dat_i[7]
 port 637 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+rlabel metal2 s 41894 -800 42006 480 0 wbs_dat_i[8]
 port 638 nsew signal input
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+rlabel metal2 s 45440 -800 45552 480 0 wbs_dat_i[9]
 port 639 nsew signal input
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+rlabel metal2 s 9980 -800 10092 480 0 wbs_dat_o[0]
 port 640 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+rlabel metal2 s 50168 -800 50280 480 0 wbs_dat_o[10]
 port 641 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+rlabel metal2 s 53714 -800 53826 480 0 wbs_dat_o[11]
 port 642 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+rlabel metal2 s 57260 -800 57372 480 0 wbs_dat_o[12]
 port 643 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+rlabel metal2 s 60806 -800 60918 480 0 wbs_dat_o[13]
 port 644 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+rlabel metal2 s 64352 -800 64464 480 0 wbs_dat_o[14]
 port 645 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+rlabel metal2 s 67898 -800 68010 480 0 wbs_dat_o[15]
 port 646 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+rlabel metal2 s 71444 -800 71556 480 0 wbs_dat_o[16]
 port 647 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+rlabel metal2 s 74990 -800 75102 480 0 wbs_dat_o[17]
 port 648 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+rlabel metal2 s 78536 -800 78648 480 0 wbs_dat_o[18]
 port 649 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+rlabel metal2 s 82082 -800 82194 480 0 wbs_dat_o[19]
 port 650 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+rlabel metal2 s 14708 -800 14820 480 0 wbs_dat_o[1]
 port 651 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+rlabel metal2 s 85628 -800 85740 480 0 wbs_dat_o[20]
 port 652 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+rlabel metal2 s 89174 -800 89286 480 0 wbs_dat_o[21]
 port 653 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+rlabel metal2 s 92720 -800 92832 480 0 wbs_dat_o[22]
 port 654 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+rlabel metal2 s 96266 -800 96378 480 0 wbs_dat_o[23]
 port 655 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+rlabel metal2 s 99812 -800 99924 480 0 wbs_dat_o[24]
 port 656 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+rlabel metal2 s 103358 -800 103470 480 0 wbs_dat_o[25]
 port 657 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+rlabel metal2 s 106904 -800 107016 480 0 wbs_dat_o[26]
 port 658 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+rlabel metal2 s 110450 -800 110562 480 0 wbs_dat_o[27]
 port 659 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+rlabel metal2 s 113996 -800 114108 480 0 wbs_dat_o[28]
 port 660 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+rlabel metal2 s 117542 -800 117654 480 0 wbs_dat_o[29]
 port 661 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+rlabel metal2 s 19436 -800 19548 480 0 wbs_dat_o[2]
 port 662 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+rlabel metal2 s 121088 -800 121200 480 0 wbs_dat_o[30]
 port 663 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+rlabel metal2 s 124634 -800 124746 480 0 wbs_dat_o[31]
 port 664 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+rlabel metal2 s 24164 -800 24276 480 0 wbs_dat_o[3]
 port 665 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+rlabel metal2 s 28892 -800 29004 480 0 wbs_dat_o[4]
 port 666 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+rlabel metal2 s 32438 -800 32550 480 0 wbs_dat_o[5]
 port 667 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+rlabel metal2 s 35984 -800 36096 480 0 wbs_dat_o[6]
 port 668 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+rlabel metal2 s 39530 -800 39642 480 0 wbs_dat_o[7]
 port 669 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+rlabel metal2 s 43076 -800 43188 480 0 wbs_dat_o[8]
 port 670 nsew signal tristate
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+rlabel metal2 s 46622 -800 46734 480 0 wbs_dat_o[9]
 port 671 nsew signal tristate
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+rlabel metal2 s 11162 -800 11274 480 0 wbs_sel_i[0]
 port 672 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+rlabel metal2 s 15890 -800 16002 480 0 wbs_sel_i[1]
 port 673 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+rlabel metal2 s 20618 -800 20730 480 0 wbs_sel_i[2]
 port 674 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+rlabel metal2 s 25346 -800 25458 480 0 wbs_sel_i[3]
 port 675 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+rlabel metal2 s 5252 -800 5364 480 0 wbs_stb_i
 port 676 nsew signal input
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+rlabel metal2 s 6434 -800 6546 480 0 wbs_we_i
 port 677 nsew signal input
-flabel space 26080 559400 65590 564330 0 FreeSans 16000 0 0 0 GND
+rlabel space 26080 559400 65590 564330 0 GND
 rlabel metal2 56720 466080 56860 660460 1 CTRL2
 rlabel metal2 56480 421660 56620 660980 1 CTRL3
 rlabel metal2 56200 378460 56340 661580 1 CTRL4
-flabel metal1 14920 668060 15420 682040 0 FreeSans 8000 0 0 0 VCTRL
+rlabel metal1 14920 668060 15420 682040 0 VCTRL
 rlabel metal3 17070 688210 41870 689330 1 OUT0
 rlabel metal3 43180 688360 70760 689480 1 OUT180
-flabel metal3 163400 360000 198200 362000 0 FreeSans 8000 0 0 0 txinb
-flabel metal3 163400 466000 198200 468000 0 FreeSans 8000 0 0 0 txina
+rlabel metal3 163400 360000 198200 362000 0 txinb
+rlabel metal3 163400 466000 198200 468000 0 txina
 rlabel metal2 56980 508120 57120 660100 1 CTRL1
-flabel metal4 22200 605800 23800 611800 0 FreeSans 8000 90 0 0 REF
+rlabel metal4 22200 605800 23800 611800 0 REF
 rlabel metal2 55960 335420 56100 662700 1 CTRL5
-flabel metal2 148200 430920 148420 435520 0 FreeSans 16000 90 0 0 REF2
+rlabel metal2 148200 430920 148420 435520 0 REF2
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/xschem/topLevel.sch b/xschem/topLevel.sch
index 722d747..64dae0a 100755
--- a/xschem/topLevel.sch
+++ b/xschem/topLevel.sch
@@ -3919,24 +3919,10 @@
 }
 C {lab_pin.sym} -3060 2250 0 0 {name=l236 sig_type=std_logic lab=vss
 }
-C {iopin.sym} -2860 -410 0 0 {name=p1 lab=vdd
-}
-C {iopin.sym} -2860 -370 0 0 {name=p2 lab=vss
-}
-C {iopin.sym} -2850 -320 0 0 {name=p3 lab=Iref
-}
-C {iopin.sym} -2850 -280 0 0 {name=p4 lab=vref}
-C {iopin.sym} -2850 -240 0 0 {name=p5 lab=vc}
-C {iopin.sym} -2850 -210 0 0 {name=p6 lab=vout5p}
-C {iopin.sym} -2850 -180 0 0 {name=p7 lab=vout5n}
-C {iopin.sym} -2850 -150 0 0 {name=p8 lab=vinp}
-C {iopin.sym} -2850 -120 0 0 {name=p9 lab=vinn}
 C {lab_pin.sym} 2550 1210 0 0 {name=l126 sig_type=std_logic lab=vin0p
 }
 C {lab_pin.sym} 2780 1210 0 0 {name=l237 sig_type=std_logic lab=vin0n
 }
-C {iopin.sym} -2850 -70 0 0 {name=p10 lab=vin0p}
-C {iopin.sym} -2850 -40 0 0 {name=p11 lab=vin0n}
 C {lab_pin.sym} 1570 1270 0 0 {name=l239 sig_type=std_logic lab=Iref}
 C {lab_pin.sym} 1680 200 0 0 {name=l240 sig_type=std_logic lab=Iref}
 C {lab_pin.sym} -1370 -1600 0 0 {name=l241 sig_type=std_logic lab=Iref}
@@ -4064,3 +4050,14 @@
 mult=1}
 C {lab_pin.sym} 1570 30 0 0 {name=l106 sig_type=std_logic lab=vss
 }
+C {ipin.sym} -2680 -370 0 0 {name=p1 lab=vss}
+C {ipin.sym} -2680 -320 0 0 {name=p12 lab=Iref}
+C {ipin.sym} -2680 -280 0 0 {name=p13 lab=vref}
+C {ipin.sym} -2680 -240 0 0 {name=p14 lab=vc}
+C {ipin.sym} -2680 -150 0 0 {name=p15 lab=vinp}
+C {ipin.sym} -2680 -120 0 0 {name=p16 lab=vinn}
+C {ipin.sym} -2680 -70 0 0 {name=p17 lab=vin0p}
+C {ipin.sym} -2680 -40 0 0 {name=p18 lab=vin0n}
+C {opin.sym} -2690 -210 0 0 {name=p19 lab=vout5p}
+C {opin.sym} -2690 -180 0 0 {name=p20 lab=vout5n}
+C {ipin.sym} -2680 -350 0 0 {name=p2 lab=vdd}
diff --git a/xschem/topLevel.sym b/xschem/topLevel.sym
index 55606d4..2f22d6b 100644
--- a/xschem/topLevel.sym
+++ b/xschem/topLevel.sym
@@ -36,8 +36,6 @@
 dir=in}
 B 5 47.5 7.5 52.5 12.5 {name=vin0p
 dir=in}
-B 5 17.5 -42.5 22.5 -37.5 {name=vin0n
-dir=in}
 B 5 107.5 -42.5 112.5 -37.5 {name=vout5p
 dir=out}
 B 5 107.5 -22.5 112.5 -17.5 {name=vout5n
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index 6df0c56..bf59cbe 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -137,7 +137,79 @@
 N 4920 -360 4980 -360 {
 lab=io_analog[7]}
 N 4920 -350 4980 -350 {
-lab=#net11}
+lab=REF3}
+N 4720 160 4820 160 {
+lab=#net9}
+N 4720 180 4820 180 {
+lab=#net10}
+N 5340 170 5400 170 {
+lab=io_analog[2]}
+N 5340 150 5400 150 {
+lab=io_analog[3]}
+N 5270 100 5270 120 {
+lab=vccd1}
+N 5280 100 5280 120 {
+lab=REF3}
+N 5290 100 5290 120 {
+lab=io_analog[6]}
+N 5270 200 5270 220 {
+lab=vssa1}
+N 5280 200 5280 220 {
+lab=io_analog[0]}
+N 5290 200 5290 220 {
+lab=io_analog[1]}
+N 5210 150 5250 150 {
+lab=io_analog[4]}
+N 5210 160 5250 160 {
+lab=io_in[13]}
+N 5210 170 5250 170 {
+lab=io_analog[5]}
+N 4780 170 4820 170 {
+lab=io_in[13]}
+N 5280 80 5280 100 {
+lab=REF3}
+N 5290 50 5290 100 {
+lab=io_analog[6]}
+N 5280 220 5280 250 {
+lab=io_analog[0]}
+N 5290 220 5290 290 {
+lab=io_analog[1]}
+N 5210 140 5210 150 {
+lab=io_analog[4]}
+N 5200 140 5210 140 {
+lab=io_analog[4]}
+N 5210 170 5210 180 {
+lab=io_analog[5]}
+N 5200 180 5210 180 {
+lab=io_analog[5]}
+N 4920 -340 4980 -340 {
+lab=REF4}
+N 4980 -340 4980 -330 {
+lab=REF4}
+N 4840 110 4840 130 {
+lab=vccd1}
+N 4850 110 4850 130 {
+lab=REF3}
+N 4860 110 4860 130 {
+lab=io_analog[6]}
+N 4850 90 4850 110 {
+lab=REF3}
+N 4860 60 4860 110 {
+lab=io_analog[6]}
+N 4840 210 4840 230 {
+lab=vssa1}
+N 4850 210 4850 230 {
+lab=io_analog[0]}
+N 4860 210 4860 230 {
+lab=io_analog[1]}
+N 4850 230 4850 260 {
+lab=io_analog[0]}
+N 4860 230 4860 300 {
+lab=io_analog[1]}
+N 4910 180 4970 180 {
+lab=io_analog[2]}
+N 4910 160 4970 160 {
+lab=io_analog[3]}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -229,6 +301,30 @@
 C {devices/lab_pin.sym} 4490 220 0 0 {name=l51 sig_type=std_logic lab=txinb
 }
 C {lab_wire.sym} 4980 -360 0 1 {name=l53 sig_type=std_logic lab=io_analog[7]}
-C {noconn.sym} 4920 -340 2 0 {name=l52}
 C {noconn.sym} 4920 -330 2 0 {name=l54}
 C {noconn.sym} 4920 -320 2 0 {name=l55}
+C {/foss/designs/sloci_caravel/xschem/topLevel.sym} 4800 200 0 0 {name=x1}
+C {/foss/designs/sloci_caravel/xschem/topLevel.sym} 5230 190 0 0 {name=x2}
+C {devices/lab_pin.sym} 5270 210 0 0 {name=l56 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 5270 110 0 0 {name=l57 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 4780 170 0 0 {name=l58 sig_type=std_logic lab=io_in[13]}
+C {devices/lab_pin.sym} 5210 160 0 0 {name=l59 sig_type=std_logic lab=io_in[13]}
+C {devices/lab_pin.sym} 5200 140 0 0 {name=l60 sig_type=std_logic lab=io_analog[4]}
+C {devices/lab_pin.sym} 5200 180 0 0 {name=l61 sig_type=std_logic lab=io_analog[5]}
+C {lab_wire.sym} 4980 -380 0 1 {name=l52 sig_type=std_logic lab=REF2}
+C {devices/lab_pin.sym} 4980 -350 2 0 {name=l62 sig_type=std_logic lab=REF3}
+C {devices/lab_pin.sym} 4980 -330 2 0 {name=l63 sig_type=std_logic lab=REF4}
+C {devices/lab_pin.sym} 5280 90 0 0 {name=l64 sig_type=std_logic lab=REF3}
+C {devices/lab_pin.sym} 5290 60 0 0 {name=l65 sig_type=std_logic lab=io_analog[6]}
+C {devices/lab_pin.sym} 5280 230 0 0 {name=l66 sig_type=std_logic lab=io_analog[0]}
+C {devices/lab_pin.sym} 5290 270 0 0 {name=l67 sig_type=std_logic lab=io_analog[1]}
+C {devices/lab_pin.sym} 5400 150 2 0 {name=l68 sig_type=std_logic lab=io_analog[3]}
+C {devices/lab_pin.sym} 5400 170 2 0 {name=l69 sig_type=std_logic lab=io_analog[2]}
+C {devices/lab_pin.sym} 4840 120 0 0 {name=l70 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 4850 100 0 0 {name=l71 sig_type=std_logic lab=REF4}
+C {devices/lab_pin.sym} 4860 70 0 0 {name=l72 sig_type=std_logic lab=io_analog[6]}
+C {devices/lab_pin.sym} 4840 220 0 0 {name=l73 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 4850 240 0 0 {name=l74 sig_type=std_logic lab=io_analog[0]}
+C {devices/lab_pin.sym} 4860 280 0 0 {name=l75 sig_type=std_logic lab=io_analog[1]}
+C {devices/lab_pin.sym} 4970 160 2 0 {name=l76 sig_type=std_logic lab=io_analog[3]}
+C {devices/lab_pin.sym} 4970 180 2 0 {name=l77 sig_type=std_logic lab=io_analog[2]}