Merge pull request #1 from NohealthyBBQ/vco_update

Merge from VCO to base
diff --git a/.gitattributes b/.gitattributes
new file mode 100644
index 0000000..083b4af
--- /dev/null
+++ b/.gitattributes
@@ -0,0 +1 @@
+gds/user_analog_project_wrapper.gds filter=lfs diff=lfs merge=lfs -text
diff --git a/.swp b/.swp
new file mode 100644
index 0000000..4c47ba6
--- /dev/null
+++ b/.swp
Binary files differ
diff --git a/Makefile b/Makefile
index bc049d4..72cc656 100644
--- a/Makefile
+++ b/Makefile
@@ -19,7 +19,7 @@
 SIM ?= RTL
 
 export OPEN_PDKS_COMMIT?=41c0908b47130d5675ff8484255b43f66463a7d6
-export PDK?=sky130B
+export PDK?=sky130A
 export PDKPATH?=$(PDK_ROOT)/$(PDK)
 # Install lite version of caravel, (1): caravel-lite, (0): caravel
 CARAVEL_LITE?=1
@@ -112,7 +112,7 @@
 run-precheck: check-pdk check-precheck
 	$(eval INPUT_DIRECTORY := $(shell pwd))
 	cd $(PRECHECK_ROOT) && \
-	docker run -v $(PRECHECK_ROOT):$(PRECHECK_ROOT) \
+	sudo docker run -v $(PRECHECK_ROOT):$(PRECHECK_ROOT) \
 	-v $(INPUT_DIRECTORY):$(INPUT_DIRECTORY) \
 	-v $(PDK_ROOT):$(PDK_ROOT) \
 	-e INPUT_DIRECTORY=$(INPUT_DIRECTORY) \
diff --git a/configs/sourceme b/configs/sourceme
new file mode 100644
index 0000000..b56bf9c
--- /dev/null
+++ b/configs/sourceme
@@ -0,0 +1,10 @@
+#!/bin/bash
+
+# define the location of the project
+export PROJECT_ROOT="$( cd "$( dirname "${BASH_SOURCE[0]}" )" &> /dev/null && pwd )"
+
+# start the Python virtual environment
+source ~/.venvs/analogue_design_example/bin/activate
+
+# add the plotting paths
+export PATH=$PATH:$PROJECT_ROOT/env/yaaade/yaaade/plot
diff --git a/configs/xschemrc b/configs/xschemrc
new file mode 100644
index 0000000..68f49d5
--- /dev/null
+++ b/configs/xschemrc
@@ -0,0 +1,235 @@
+# xschemrc configuration file
+
+set XSCHEM_SKYDIR /tools/xschem_library/xschem_sky130
+#set XSCHEM_SKYDIR /pdk/open_pdks/install/share/pdk/sky130A/libs.tech/xschem
+
+###########################################################################
+## xschem installation directory XSCHEM_SHAREDIR
+###########################################################################
+
+# normally there is no reason to set this variable if using standard
+# installation. Location of files is set at compile time but may be overridden
+# with following line:
+#
+# set XSCHEM_SHAREDIR $env(HOME)/share/xschem
+set XSCHEM_SHAREDIR /tools/xschem/install/share/xschem
+
+###########################################################################
+## xschem system-wide design library paths XSCHEM_LIBRARY_PATH
+###########################################################################
+
+#### get library paths in a filesystem-independent way
+
+set XSCHEM_LIBRARY_PATH {}
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/xschem_library/devices
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/xschem_library 
+append XSCHEM_LIBRARY_PATH :/pdk/open_pdks/install/share/pdk/sky130A/libs.tech/xschem
+#append XSCHEM_LIBRARY_PATH :${XSCHEM_SKYDIR}
+append XSCHEM_LIBRARY_PATH :$env(PROJECT_ROOT)
+
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/examples
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/ngspice
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/xschem_simulator
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/rom8k
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/logic
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/pcb
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/binto7seg
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/symgen
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/xTAG
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/rulz-r8c33
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/gschem_import
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/../doc/xschem/gschem_import/sym
+
+
+
+###########################################################################
+## set custom colors for xschem libraries matching certain patterns
+## each line contains a dircolor(pattern) followed by a color
+## color can be an ordinary name (grey, brown, blue) or a hex code {#77aaff}
+## hex code must be enclosed in braces
+###########################################################################
+array unset dircolor
+set dircolor(sky130_fd_pr$) blue
+set dircolor(sky130_tests$) blue
+set dircolor(xschem_sky130$) blue
+set dircolor(xschem_library$) red
+set dircolor(devices$) red
+
+
+###########################################################################
+## Window to open on startup XSCHEM_START_WINDOW
+###########################################################################
+
+# Start with a design if no filename given:
+#
+set XSCHEM_START_WINDOW {sky130_tests/top.sch}
+
+# Start with a design
+# To avoid absolute paths, use a path that is relative to one of the
+# XSCHEM_LIBRARY_PATH directories.
+#
+# set XSCHEM_START_WINDOW {0_examples_top.sch}
+
+
+###########################################################################
+## Directory where simulations, netlist and simulator outputs are placed
+###########################################################################
+# If unset $USER_CONF_DIR/simulations is assumed (normally ~/.xschem/simulations) 
+#
+# set netlist_dir $env(HOME)/.xschem/simulations
+
+
+###########################################################################
+## change default [] with some other characters for bussed signals 
+## in spice netlists (example: DATA[7] --> DATA<7>) 
+###########################################################################
+
+set bus_replacement_char {<>}
+
+## for XSPICE
+# set bus_replacement_char {__} 
+
+
+###########################################################################
+## some default behavior
+###########################################################################
+
+set netlist_type spice ;# allowed : spice, verilog, vhdl, tedax
+set hspice_netlist 1
+set verilog_2001 1
+# set line_width 2
+set change_lw 1
+set color_ps 1
+set initial_geometry {900x600}
+set unzoom_nodrift 0
+
+## if set to 1 allow to place multiple components with same name.
+## Warning: this is normally not allowed in any simulation netlist.
+set disable_unique_names 0
+
+## if set to 1 continue drawing lines / wires after click
+# set persistent_command 1
+
+
+## set widget scaling (mainly for font display) 
+# set tk_scaling 1.7
+
+## disable some symbol layers
+# set enable_layer(5) 0 ;# example to disable pin red boxes
+
+###########################################################################
+## custom grid / snap value settings
+###########################################################################
+
+# set grid 100
+# set snap 50
+
+###########################################################################
+## Custom colors  may be defined here
+###########################################################################
+
+#  set cadlayers 22
+#  set light_colors {
+#   "#ffffff" "#0044ee" "#aaaaaa" "#222222" "#229900"
+#   "#bb2200" "#00ccee" "#ff0000" "#888800" "#00aaaa"
+#   "#880088" "#00ff00" "#0000cc" "#666600" "#557755"
+#   "#aa2222" "#7ccc40" "#00ffcc" "#ce0097" "#d2d46b"
+#   "#ef6158" "#fdb200" }
+
+#  set dark_colors {
+#   "#000000" "#00ccee" "#3f3f3f" "#cccccc" "#88dd00"
+#   "#bb2200" "#00ccee" "#ff0000" "#ffff00" "#ffffff"
+#   "#ff00ff" "#00ff00" "#0000cc" "#aaaa00" "#aaccaa"
+#   "#ff7777" "#bfff81" "#00ffcc" "#ce0097" "#d2d46b"
+#   "#ef6158" "#fdb200" }
+
+###########################################################################
+## CAIRO STUFF
+###########################################################################
+
+#### Scale all fonts by this number
+# set cairo_font_scale 1.0
+
+#### default for following two is 0.85 (xscale) and 0.88 (yscale) to 
+#### match cairo font spacing
+# set nocairo_font_xscale 1.0
+# set nocairo_font_yscale 1.0
+
+#### Scale line spacing by this number
+# set cairo_font_line_spacing 1.0
+
+#### Specify a font
+# set cairo_font_name {Arial}
+
+#### Lift up text by some zoom-corrected pixels for
+#### better compatibility wrt no cairo version.
+#### Useful values in the range [-1, 3]
+# set cairo_vert_correct 0
+# set nocairo_vert_correct 0
+
+###########################################################################
+## KEYBINDINGS
+###########################################################################
+
+#### General format for specifying a replacement for a keybind
+#### Replace Ctrl-d with Escape (so you wont kill the program)
+# set replace_key(Control-d) Escape
+
+#### swap w and W keybinds; Always specify Shift for capital letters
+# set replace_key(Shift-W) w
+# set replace_key(w) Shift-W
+
+set terminal {xterm -geometry 100x35 -fn 9x15 -bg black -fg white -cr white -ms white }
+## lxterminal is not OK since it will not inherit env vars: 
+## In order to reduce memory usage and increase the performance, all instances
+## of the terminal are sharing a single process. LXTerminal is part of LXDE
+
+#### editor must not detach from launching shell (-f mandatory for gvim)
+# set editor { xterm -geometry 100x40 -e nano }
+# set editor { xterm -geometry 100x40 -e pico }
+# set editor {gvim -f -geometry 90x28}
+set editor {vim -f -geometry 90x28}
+# set editor {gedit}
+
+#### show info window (ERC rules errors warnings etc) 
+# set show_infowindow 1
+
+#### command to translate xpm to png (assumes command takes source 
+#### and dest file as arguments, example: gm convert plot.xpm plot.png)
+set to_png {gm convert}
+
+#### computer farm redirector
+#### RTDA NC
+# set computerfarm {nc run -Il}
+#### LSF BSUB
+# set computerfarm {bsub -Is}
+
+#### set xschem listening port
+set xschem_listen_port 2021
+
+#### set gaw address for socket connection: {host port}
+set gaw_tcp_address {localhost 2020}
+
+#### utile (stefan's spice stimuli editor)
+set utile_gui_path ${XSCHEM_SHAREDIR}/utile/utile3
+set utile_cmd_path ${XSCHEM_SHAREDIR}/utile/utile
+
+#### list of tcl files to preload.
+# lappend tcl_files /home/schippes/x/Stefan_decoder/anddec.tcl
+lappend tcl_files ${XSCHEM_SHAREDIR}/ngspice_backannotate.tcl
+#lappend tcl_files ${XSCHEM_SKYDIR}/scripts/sky130_models.tcl
+lappend tcl_files /pdk/open_pdks/install/share/pdk/sky130A/libs.tech/xschem/scripts/sky130_models.tcl
+#### toolbar
+set toolbar_visible 1
+
+#set SKYWATER_MODELS $env(HOME)/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest
+#set SKYWATER_STDCELLS $env(HOME)/skywater/skywater-pdk/libraries/sky130_fd_sc_hs/latest
+
+#set SKYWATER_MODELS /pdk/open_pdks/sky130/sky130A/libs.tech/ngspice
+#semiworking
+#set SKYWATER_MODELS   /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_pr/latest
+set SKYWATER_MODELS   /pdk/open_pdks/install/share/pdk/sky130A/libs.tech/ngspice
+
+#set SKYWATER_MODELS  /pdk/open_pdks/install/share/pdk/sky130A/libs.ref/sky130_fd_pr 
+#set SKYWATER_MODELS  /pdk/open_pdks/sources/sky130-pdk/libraries/sky130_fd_pr/latest
+set SKYWATER_STDCELLS /pdk/open_pdks/install/share/pdk/sky130A/libs.ref/sky130_fd_sc_hd/spice
diff --git a/docs/how_to_add_large_file b/docs/how_to_add_large_file
new file mode 100644
index 0000000..9b5429a
--- /dev/null
+++ b/docs/how_to_add_large_file
@@ -0,0 +1,14 @@
+(see https://stackoverflow.com/questions/35518688/git-lfs-refused-to-track-my-large-files-properly-until-i-did-the-following)
+
+
+git lfs track "*.zip"
+git add .gitattributes
+git commit -m "Updated the attributes"
+git push
+git add my_large_file.zip
+git lfs ls-files
+
+And here I would ensure that I saw my_large_file.zip being tracked.
+
+git commit -m "Now I am adding the large file"
+git pus
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index e76897d..4d1246c 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/BGR_lvs.mag b/mag/BGR_lvs.mag
index 9d28899..d6278a4 100644
--- a/mag/BGR_lvs.mag
+++ b/mag/BGR_lvs.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1663029885
+timestamp 1666387681
 << nwell >>
 rect -8726 10225 -8100 11452
 << locali >>
@@ -756,15 +756,15 @@
 rect 62100 -10360 62400 -10240
 rect -14220 -10400 62400 -10360
 use XM_Rref  XM_Rref_0
-timestamp 1662826901
+timestamp 1665771957
 transform 0 1 -13057 -1 0 -5305
 box -1417 -1173 5029 21223
 use XM_bjt  XM_bjt_0
-timestamp 1662737136
+timestamp 1666387681
 transform 1 0 -1950 0 1 -2620
 box 0 0 6492 9068
 use XM_bjt_out  XM_bjt_out_0
-timestamp 1662907566
+timestamp 1666387681
 transform 1 0 -2070 0 1 6780
 box 0 0 6492 3916
 use XM_current_gate_with_dummy  XM_current_gate_with_dummy_0
@@ -820,36 +820,36 @@
 transform 0 1 -3253 -1 0 11177
 box -307 -4837 307 4837
 << labels >>
-flabel metal2 -9540 5700 -9480 7680 0 FreeSans 800 0 0 0 vb
-flabel metal3 -8760 8740 -8200 8840 0 FreeSans 800 0 0 0 vgate
-flabel metal2 1160 8800 1380 11100 0 FreeSans 800 0 0 0 vbe3
-flabel metal1 -9200 -2991 -9100 5271 0 FreeSans 800 0 0 0 Vota_bias1
-flabel space -11280 2300 1400 2500 0 FreeSans 1600 0 0 0 va
-flabel metal3 6920 -3800 7040 -1540 0 FreeSans 1600 0 0 0 vd4
-flabel metal2 6420 320 6600 4600 0 FreeSans 3200 0 0 0 voutb2
-flabel space -3765 8753 -3645 10339 0 FreeSans 1600 0 0 0 porst_buff
-flabel space 27267 -1013 29033 -859 0 FreeSans 3200 0 0 0 voutb1
-flabel metal1 -8700 8200 -4700 8400 0 FreeSans 3200 0 0 0 vbneg
-flabel metal3 30200 4260 30500 12600 0 FreeSans 3200 0 0 0 Iout0
+rlabel metal2 -9540 5700 -9480 7680 0 vb
+rlabel metal3 -8760 8740 -8200 8840 0 vgate
+rlabel metal2 1160 8800 1380 11100 0 vbe3
+rlabel metal1 -9200 -2991 -9100 5271 0 Vota_bias1
+rlabel space -11280 2300 1400 2500 0 va
+rlabel metal3 6920 -3800 7040 -1540 0 vd4
+rlabel metal2 6420 320 6600 4600 0 voutb2
+rlabel space -3765 8753 -3645 10339 0 porst_buff
+rlabel space 27267 -1013 29033 -859 0 voutb1
+rlabel metal1 -8700 8200 -4700 8400 0 vbneg
+rlabel metal3 30200 4260 30500 12600 0 Iout0
 port 1 nsew
-flabel metal4 -14220 9760 -14020 12000 0 FreeSans 3200 0 0 0 VDD
+rlabel metal4 -14220 9760 -14020 12000 0 VDD
 port 2 nsew
-flabel metal4 -14220 -9200 -14020 -3780 0 FreeSans 3200 0 0 0 VSS
+rlabel metal4 -14220 -9200 -14020 -3780 0 VSS
 port 3 nsew
-flabel metal3 32400 4260 32700 12600 0 FreeSans 3200 0 0 0 Iout1
+rlabel metal3 32400 4260 32700 12600 0 Iout1
 port 4 nsew
-flabel metal3 34600 4260 34900 12600 0 FreeSans 3200 0 0 0 Iout2
+rlabel metal3 34600 4260 34900 12600 0 Iout2
 port 5 nsew
-flabel metal3 -8500 10860 -8380 12540 0 FreeSans 3200 0 0 0 porst
+rlabel metal3 -8500 10860 -8380 12540 0 porst
 port 6 nsew
-flabel metal2 -7900 11120 -7700 12500 0 FreeSans 1600 0 0 0 vbg
+rlabel metal2 -7900 11120 -7700 12500 0 vbg
 port 7 nsew
-flabel metal3 36800 4260 37100 12600 0 FreeSans 3200 0 0 0 Iout3
+rlabel metal3 36800 4260 37100 12600 0 Iout3
 port 8 nsew
-flabel metal3 39000 4260 39300 12600 0 FreeSans 3200 0 0 0 Iout4
+rlabel metal3 39000 4260 39300 12600 0 Iout4
 port 9 nsew
-flabel metal3 41200 4260 41500 12600 0 FreeSans 3200 0 0 0 Iout5
+rlabel metal3 41200 4260 41500 12600 0 Iout5
 port 10 nsew
-flabel metal3 43400 4260 43700 12600 0 FreeSans 3200 0 0 0 Iout6
+rlabel metal3 43400 4260 43700 12600 0 Iout6
 port 11 nsew
 << end >>
diff --git a/mag/VGA_routing.mag b/mag/VGA_routing.mag
new file mode 100644
index 0000000..cc0b974
--- /dev/null
+++ b/mag/VGA_routing.mag
@@ -0,0 +1,633 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1667930445
+<< metal1 >>
+rect 491912 626638 491922 626694
+rect 491978 626638 491988 626694
+rect 491911 626492 491921 626548
+rect 491977 626492 491987 626548
+rect 515895 569455 515905 569669
+rect 516059 569455 516069 569669
+rect 491495 565936 491505 566596
+rect 491689 565936 491699 566596
+rect 513768 559273 513778 559279
+rect 513447 559222 513778 559273
+rect 513768 559215 513778 559222
+rect 513842 559215 513852 559279
+rect 496803 559068 496813 559132
+rect 496877 559068 496887 559132
+rect 444689 450571 444699 450765
+rect 444321 450566 444699 450571
+rect 445166 450566 445176 450765
+rect 444321 449797 445060 450566
+rect 444321 418953 445054 449797
+rect 443471 412049 443481 412101
+rect 443533 412049 443543 412101
+rect 443471 411908 443481 411960
+rect 443533 411908 443543 411960
+rect 467461 355277 467471 355425
+rect 467538 355277 467548 355425
+rect 443140 352045 443150 352473
+rect 443254 352045 443264 352473
+rect 473198 345598 473292 345618
+rect 473198 345534 473216 345598
+rect 473280 345534 473292 345598
+rect 473198 344683 473292 345534
+rect 465142 344634 473292 344683
+rect 465142 344633 473258 344634
+rect 448364 344480 448374 344544
+rect 448438 344480 448448 344544
+<< via1 >>
+rect 491922 626638 491978 626694
+rect 491921 626492 491977 626548
+rect 515905 569455 516059 569669
+rect 491505 565936 491689 566596
+rect 513778 559215 513842 559279
+rect 496813 559068 496877 559132
+rect 444699 450566 445166 450765
+rect 443481 412049 443533 412101
+rect 443481 411908 443533 411960
+rect 467471 355277 467538 355425
+rect 443150 352045 443254 352473
+rect 473216 345534 473280 345598
+rect 448374 344480 448438 344544
+<< metal2 >>
+rect 493920 633980 494520 633990
+rect 493920 633350 494520 633360
+rect 494067 632872 494235 633350
+rect 491640 626706 491767 626707
+rect 491640 626694 491995 626706
+rect 491640 626689 491922 626694
+rect 491640 626633 491662 626689
+rect 491718 626638 491922 626689
+rect 491978 626638 491995 626694
+rect 491718 626633 491995 626638
+rect 491640 626622 491995 626633
+rect 491644 626548 491992 626560
+rect 491644 626545 491921 626548
+rect 491644 626489 491656 626545
+rect 491712 626492 491921 626545
+rect 491977 626492 491992 626548
+rect 491712 626489 491992 626492
+rect 491644 626476 491992 626489
+rect 491644 626475 491771 626476
+rect 486048 595121 487425 595433
+rect 486048 594419 486464 595121
+rect 487165 594419 487425 595121
+rect 486048 559030 487425 594419
+rect 515905 569669 516059 569679
+rect 515905 569445 516059 569455
+rect 491505 566596 491689 566606
+rect 491505 565926 491689 565936
+rect 513760 559279 513877 559292
+rect 513760 559215 513778 559279
+rect 513842 559215 513877 559279
+rect 513760 559204 513877 559215
+rect 496792 559132 496908 559142
+rect 496792 559068 496813 559132
+rect 496877 559068 496908 559132
+rect 496792 559054 496908 559068
+rect 486048 557812 486191 559030
+rect 487334 557812 487425 559030
+rect 486048 557650 487425 557812
+rect 446144 453586 461576 453594
+rect 446098 453584 461576 453586
+rect 446098 453574 446144 453584
+rect 445625 451623 446144 453574
+rect 445625 451613 461576 451623
+rect 444699 450765 445166 450775
+rect 444699 450556 445166 450566
+rect 445625 418319 446282 451613
+rect 403648 412519 403740 412529
+rect 403740 412425 443633 412476
+rect 403648 412424 443633 412425
+rect 403648 412415 403740 412424
+rect 443481 412101 443535 412424
+rect 443533 412093 443535 412101
+rect 443481 412039 443533 412049
+rect 403659 411980 403751 411990
+rect 403505 411908 403659 411960
+rect 443481 411960 443533 411970
+rect 403751 411908 443481 411960
+rect 443481 411898 443533 411908
+rect 403659 411876 403751 411886
+rect 467471 355425 467538 355435
+rect 467471 355267 467538 355277
+rect 443150 352473 443254 352483
+rect 443150 352035 443254 352045
+rect 473196 345598 473384 345624
+rect 473196 345534 473216 345598
+rect 473280 345534 473384 345598
+rect 473196 345519 473384 345534
+rect 448355 344544 448491 344559
+rect 448355 344480 448374 344544
+rect 448438 344480 448491 344544
+rect 448355 344464 448491 344480
+<< via2 >>
+rect 493920 633360 494520 633980
+rect 491662 626633 491718 626689
+rect 491656 626489 491712 626545
+rect 486464 594419 487165 595121
+rect 515905 569455 516059 569669
+rect 491505 565936 491689 566596
+rect 513778 559215 513842 559279
+rect 496813 559068 496877 559132
+rect 486191 557812 487334 559030
+rect 446144 451623 461576 453584
+rect 444699 450566 445166 450765
+rect 403648 412425 403740 412519
+rect 403659 411886 403751 411980
+rect 467471 355277 467538 355425
+rect 443150 352045 443254 352473
+rect 473216 345534 473280 345598
+rect 448374 344480 448438 344544
+<< metal3 >>
+rect 470924 700807 474059 702380
+rect 477386 700807 477803 702380
+rect 510002 702246 525998 702538
+rect 566570 702380 571314 702382
+rect 536597 702282 571314 702380
+rect 470924 700584 477803 700807
+rect 510000 702000 526000 702246
+rect 510000 694000 511000 702000
+rect 525000 694000 526000 702000
+rect 536597 702106 571317 702282
+rect 536597 699875 537573 702106
+rect 540501 699875 571317 702106
+rect 536597 699317 571317 699875
+rect 510000 693000 526000 694000
+rect 582224 682863 582500 682892
+rect 547752 681190 582500 682863
+rect 547752 678122 548589 681190
+rect 551656 678122 582500 681190
+rect 547752 677972 582500 678122
+rect 547752 677425 582490 677972
+rect 582248 644600 582858 644604
+rect 570200 643000 582858 644600
+rect 493910 633980 494530 633985
+rect 493910 633360 493920 633980
+rect 494520 633360 494530 633980
+rect 493910 633355 494530 633360
+rect 570200 631000 572000 643000
+rect 580000 631000 582858 643000
+rect 570200 629814 582858 631000
+rect 570200 629800 582262 629814
+rect 427731 627183 427741 629635
+rect 439681 628990 439691 629635
+rect 439681 627828 492245 628990
+rect 439681 627183 439691 627828
+rect 490970 626707 491712 626722
+rect 490970 626643 490990 626707
+rect 491054 626694 491712 626707
+rect 491054 626689 491728 626694
+rect 491054 626643 491662 626689
+rect 490970 626633 491662 626643
+rect 491718 626633 491728 626689
+rect 490970 626628 491728 626633
+rect 490970 626622 491712 626628
+rect 490968 626545 491722 626550
+rect 490968 626531 491656 626545
+rect 490968 626467 490992 626531
+rect 491056 626489 491656 626531
+rect 491712 626489 491722 626545
+rect 491056 626484 491722 626489
+rect 491056 626467 491710 626484
+rect 490968 626450 491710 626467
+rect 291988 595121 487458 595469
+rect 291988 594419 486464 595121
+rect 487165 594419 487458 595121
+rect 291988 594152 487458 594419
+rect 443868 592894 445765 592903
+rect 294628 592621 446728 592894
+rect 294628 591743 443717 592621
+rect 446222 591743 446728 592621
+rect 294628 591488 446728 591743
+rect 294628 591472 443702 591488
+rect 446425 591472 446728 591488
+rect 490416 586522 490426 589002
+rect 490676 586522 490686 589002
+rect 581138 587168 581148 587930
+rect 581394 587928 581404 587930
+rect 581394 587168 582714 587928
+rect 523864 569681 523874 569950
+rect 515889 569669 523874 569681
+rect 515889 569455 515905 569669
+rect 516059 569455 523874 569669
+rect 515889 569442 523874 569455
+rect 523864 569133 523874 569442
+rect 527538 569133 527548 569950
+rect 413308 566115 413318 567480
+rect 417373 566607 417383 567480
+rect 443868 566607 445765 566635
+rect 417373 566596 491700 566607
+rect 417373 566115 491505 566596
+rect 417083 565941 491505 566115
+rect 417083 565910 443148 565941
+rect 446540 565936 491505 565941
+rect 491689 565936 491700 566596
+rect 446540 565910 491700 565936
+rect 486181 559030 487344 559035
+rect 486181 557812 486191 559030
+rect 487334 558751 487344 559030
+rect 495615 558751 495733 560106
+rect 536894 559292 536904 559337
+rect 513760 559279 536904 559292
+rect 513760 559215 513778 559279
+rect 513842 559233 536904 559279
+rect 537239 559233 537249 559337
+rect 513842 559215 537229 559233
+rect 513760 559204 537229 559215
+rect 547500 559142 547510 559184
+rect 496792 559132 547510 559142
+rect 496792 559068 496813 559132
+rect 496877 559068 547510 559132
+rect 496792 559054 547510 559068
+rect 547500 559028 547510 559054
+rect 547652 559028 547662 559184
+rect 487334 557932 495741 558751
+rect 487334 557812 487344 557932
+rect 486181 557807 487344 557812
+rect 401584 467823 403762 468039
+rect 400108 466110 400118 467823
+rect 401862 466110 403762 467823
+rect 401584 413613 403762 466110
+rect 443872 455747 445769 456513
+rect 443750 455126 443760 455747
+rect 445837 455126 445847 455747
+rect 443872 453650 445769 455126
+rect 443868 453628 445769 453650
+rect 443868 450765 445765 453628
+rect 446134 453584 461586 453589
+rect 446134 451623 446144 453584
+rect 461576 451623 461586 453584
+rect 446134 451618 461586 451623
+rect 443868 450566 444699 450765
+rect 445166 450566 445765 450765
+rect 443868 450532 445765 450566
+rect 426670 449375 426680 450393
+rect 440871 449885 440881 450393
+rect 440871 449531 443842 449885
+rect 440871 449526 443844 449531
+rect 440871 449375 440881 449526
+rect 443649 449514 443844 449526
+rect 443649 418942 443847 449514
+rect 401582 412519 403764 413613
+rect 401582 412425 403648 412519
+rect 403740 412425 403764 412519
+rect 401582 412398 403764 412425
+rect 401669 411980 403841 412237
+rect 401669 411886 403659 411980
+rect 403751 411886 403841 411980
+rect 401669 361852 403841 411886
+rect 441966 382352 441976 386778
+rect 442336 382352 442346 386778
+rect 400136 360139 400146 361852
+rect 401890 360139 403841 361852
+rect 401669 360023 403841 360139
+rect 473797 355440 473807 355592
+rect 467461 355425 473807 355440
+rect 467461 355277 467471 355425
+rect 467538 355277 473807 355425
+rect 467461 355271 473807 355277
+rect 477651 355271 477661 355592
+rect 467461 355269 474072 355271
+rect 413248 351802 413258 352663
+rect 418042 352485 418052 352663
+rect 418042 352478 443261 352485
+rect 418042 352473 443264 352478
+rect 418042 352045 443150 352473
+rect 443254 352045 443264 352473
+rect 418042 352040 443264 352045
+rect 418042 352030 443261 352040
+rect 418042 351802 418052 352030
+rect 535689 345624 535699 345920
+rect 473196 345598 535699 345624
+rect 473196 345534 473216 345598
+rect 473280 345534 535699 345598
+rect 473196 345519 535699 345534
+rect 535689 345427 535699 345519
+rect 540805 345427 540815 345920
+rect 491824 344559 535221 344560
+rect 546047 344559 546057 344834
+rect 448355 344544 546057 344559
+rect 448355 344480 448374 344544
+rect 448438 344480 546057 344544
+rect 448355 344466 546057 344480
+rect 448355 344465 535221 344466
+rect 448355 344464 491835 344465
+rect 546047 344326 546057 344466
+rect 551617 344326 551627 344834
+<< via3 >>
+rect 474059 700807 477386 702380
+rect 511000 694000 525000 702000
+rect 537573 699875 540501 702106
+rect 548589 678122 551656 681190
+rect 493920 633360 494520 633980
+rect 572000 631000 580000 643000
+rect 427741 627183 439681 629635
+rect 490990 626643 491054 626707
+rect 490992 626467 491056 626531
+rect 443717 591743 446222 592621
+rect 490426 586522 490676 589002
+rect 581148 587168 581394 587930
+rect 523874 569133 527538 569950
+rect 413318 566115 417373 567480
+rect 536904 559233 537239 559337
+rect 547510 559028 547652 559184
+rect 400118 466110 401862 467823
+rect 443760 455126 445837 455747
+rect 446144 451623 461576 453584
+rect 426680 449375 440871 450393
+rect 441976 382352 442336 386778
+rect 400146 360139 401890 361852
+rect 473807 355271 477651 355592
+rect 413258 351802 418042 352663
+rect 535699 345427 540805 345920
+rect 546057 344326 551617 344834
+<< metal4 >>
+rect 216408 701118 233422 703354
+rect 318686 702196 335618 702676
+rect 216456 624926 233394 701118
+rect 318718 628567 335656 702196
+rect 412976 702036 418408 702596
+rect 473692 702380 477800 702424
+rect 473692 702320 474059 702380
+rect 318718 626984 319826 628567
+rect 334706 626984 335656 628567
+rect 318718 625718 335656 626984
+rect 216456 622868 217881 624926
+rect 232603 622868 233394 624926
+rect 216456 621602 233394 622868
+rect 412985 567480 418341 702036
+rect 473693 700807 474059 702320
+rect 477386 700807 477803 702380
+rect 510002 702246 525998 702538
+rect 426493 691654 441057 693607
+rect 426493 691459 428802 691654
+rect 426490 679221 428802 691459
+rect 439991 679221 441057 691654
+rect 412985 566115 413318 567480
+rect 417373 566115 418341 567480
+rect 400117 467823 401863 467824
+rect 400117 466110 400118 467823
+rect 401862 466110 401863 467823
+rect 400117 466109 401863 466110
+rect 400145 361852 401891 361853
+rect 400145 360139 400146 361852
+rect 401890 360139 401891 361852
+rect 400145 360138 401891 360139
+rect 412985 359017 418341 566115
+rect 419918 664392 424966 665528
+rect 419918 659652 420524 664392
+rect 424428 659652 424966 664392
+rect 419918 418550 424966 659652
+rect 426490 629635 441057 679221
+rect 473693 657000 477803 700807
+rect 510000 702173 526000 702246
+rect 510000 702000 511182 702173
+rect 510000 694000 511000 702000
+rect 510000 689303 511182 694000
+rect 525301 689303 526000 702173
+rect 510000 683200 526000 689303
+rect 536032 702106 541241 702380
+rect 536032 699875 537573 702106
+rect 540501 699875 541241 702106
+rect 473693 649762 474474 657000
+rect 477728 649762 477803 657000
+rect 426490 627183 427741 629635
+rect 439681 627183 441057 629635
+rect 426490 451355 441057 627183
+rect 448886 645202 464003 646531
+rect 448886 631579 451046 645202
+rect 463339 631579 464003 645202
+rect 443481 592621 446243 592793
+rect 443481 591743 443717 592621
+rect 446222 591743 446243 592621
+rect 443481 455747 446243 591743
+rect 443481 455126 443760 455747
+rect 445837 455126 446243 455747
+rect 443481 454827 446243 455126
+rect 448886 453585 464003 631579
+rect 446143 453584 464003 453585
+rect 446143 451623 446144 453584
+rect 461576 451623 464003 453584
+rect 446143 451622 464003 451623
+rect 426490 450393 441054 451355
+rect 448886 451335 464003 451622
+rect 426490 449375 426680 450393
+rect 440871 449375 441054 450393
+rect 426490 449207 441054 449375
+rect 419918 418232 420512 418550
+rect 424392 418232 424966 418550
+rect 419918 417788 424966 418232
+rect 441975 386778 442337 386779
+rect 441975 382352 441976 386778
+rect 442336 382352 442337 386778
+rect 441975 382351 442337 382352
+rect 412954 357954 418341 359017
+rect 412954 352663 418320 357954
+rect 473693 355592 477803 649762
+rect 493072 632978 493142 658010
+rect 523682 655766 527778 656215
+rect 523682 650604 524748 655766
+rect 527329 650604 527778 655766
+rect 493919 633980 494521 633981
+rect 493919 633360 493920 633980
+rect 494520 633360 494521 633980
+rect 493919 633359 494521 633360
+rect 490977 626707 491090 627229
+rect 490977 626643 490990 626707
+rect 491054 626643 491090 626707
+rect 490977 626630 491090 626643
+rect 490912 626532 491055 626547
+rect 490912 626531 491057 626532
+rect 490912 626467 490992 626531
+rect 491056 626467 491057 626531
+rect 490912 626466 491057 626467
+rect 490912 624574 491055 626466
+rect 490999 624549 491055 624574
+rect 490999 624338 491057 624549
+rect 490425 589002 490677 589003
+rect 490425 586522 490426 589002
+rect 490676 586522 490677 589002
+rect 490425 586521 490677 586522
+rect 523682 569950 527778 650604
+rect 523682 569133 523874 569950
+rect 527538 569133 527778 569950
+rect 523682 566832 527778 569133
+rect 536032 562758 541241 699875
+rect 473693 355271 473807 355592
+rect 477651 355271 477803 355592
+rect 473693 355203 477803 355271
+rect 535604 559337 541241 562758
+rect 545992 681190 551755 682997
+rect 545992 678122 548589 681190
+rect 551656 678122 551755 681190
+rect 545992 562330 551755 678122
+rect 570000 643000 582000 645000
+rect 570000 631000 572000 643000
+rect 580000 631000 582000 643000
+rect 570000 630000 582000 631000
+rect 535604 559233 536904 559337
+rect 537239 559248 541241 559337
+rect 537239 559233 540970 559248
+rect 412954 351802 413258 352663
+rect 418042 351802 418320 352663
+rect 412954 351473 418320 351802
+rect 535604 347330 540970 559233
+rect 545948 559184 551755 562330
+rect 545948 559028 547510 559184
+rect 547652 559028 551755 559184
+rect 545948 558353 551755 559028
+rect 560006 588286 564916 589148
+rect 560006 587038 561644 588286
+rect 563946 587038 564916 588286
+rect 581147 587930 581395 587931
+rect 581147 587168 581148 587930
+rect 581394 587168 581395 587930
+rect 581147 587167 581395 587168
+rect 535604 346960 540973 347330
+rect 535605 345920 540973 346960
+rect 535605 345427 535699 345920
+rect 540805 345427 540973 345920
+rect 535605 345350 540973 345427
+rect 545948 344834 551744 558353
+rect 560006 386392 564916 587038
+rect 560006 382888 560754 386392
+rect 563860 382888 564916 386392
+rect 560006 382170 564916 382888
+rect 545948 344326 546057 344834
+rect 551617 344326 551744 344834
+rect 545948 342093 551744 344326
+<< via4 >>
+rect 319826 626984 334706 628567
+rect 217881 622868 232603 624926
+rect 428802 679221 439991 691654
+rect 400118 466110 401862 467823
+rect 400146 360139 401890 361852
+rect 420524 659652 424428 664392
+rect 511182 702000 525301 702173
+rect 511182 694000 525000 702000
+rect 525000 694000 525301 702000
+rect 511182 689303 525301 694000
+rect 492836 658010 493638 666470
+rect 474474 649762 477728 657000
+rect 451046 631579 463339 645202
+rect 420512 418232 424392 418550
+rect 444600 418384 444836 418620
+rect 441976 382352 442336 386778
+rect 524748 650604 527329 655766
+rect 493920 633360 494520 633980
+rect 490940 627229 491176 627465
+rect 490763 624338 490999 624574
+rect 490426 586522 490676 589002
+rect 572000 631000 580000 643000
+rect 561644 587038 563946 588286
+rect 581148 587168 581394 587930
+rect 560754 382888 563860 386392
+<< metal5 >>
+rect 511158 702173 525325 702197
+rect 511158 694673 511182 702173
+rect 426493 691654 511182 694673
+rect 426493 679221 428802 691654
+rect 439991 689303 511182 691654
+rect 525301 694673 525325 702173
+rect 525301 689303 525419 694673
+rect 439991 679221 525419 689303
+rect 426493 677090 525419 679221
+rect 165400 666470 498120 666708
+rect 165400 664392 492836 666470
+rect 165400 659652 420524 664392
+rect 424428 659652 492836 664392
+rect 165400 658010 492836 659652
+rect 493638 658010 498120 666470
+rect 165400 657886 498120 658010
+rect 474450 657000 477752 657024
+rect 474450 649762 474474 657000
+rect 477728 656197 477752 657000
+rect 477728 655766 527767 656197
+rect 477728 650604 524748 655766
+rect 527329 650604 527767 655766
+rect 477728 650182 527767 650604
+rect 477728 649762 477752 650182
+rect 474450 649738 477752 649762
+rect 449052 646960 526532 647014
+rect 560200 646960 582000 647000
+rect 449052 645202 582000 646960
+rect 449052 631579 451046 645202
+rect 463339 643000 582000 645202
+rect 463339 633980 572000 643000
+rect 463339 633360 493920 633980
+rect 494520 633360 572000 633980
+rect 463339 631579 572000 633360
+rect 449052 631000 572000 631579
+rect 580000 631000 582000 643000
+rect 449052 630084 582000 631000
+rect 485200 630030 582000 630084
+rect 560200 630000 582000 630030
+rect 331386 628591 491233 628693
+rect 319802 628567 491233 628591
+rect 319802 626984 319826 628567
+rect 334706 627465 491233 628567
+rect 334706 627229 490940 627465
+rect 491176 627229 491233 627465
+rect 334706 627195 491233 627229
+rect 334706 626984 334730 627195
+rect 319802 626960 334730 626984
+rect 217857 624926 232627 624950
+rect 217857 622868 217881 624926
+rect 232603 624611 232627 624926
+rect 232603 624574 491025 624611
+rect 232603 624338 490763 624574
+rect 490999 624338 491025 624574
+rect 232603 622964 491025 624338
+rect 232603 622868 232627 622964
+rect 217857 622844 232627 622868
+rect 489376 589002 581822 589152
+rect 489376 586522 490426 589002
+rect 490676 588286 581822 589002
+rect 490676 587038 561644 588286
+rect 563946 587930 581822 588286
+rect 563946 587168 581148 587930
+rect 581394 587168 581822 587930
+rect 563946 587038 581822 587168
+rect 490676 586522 581822 587038
+rect 489376 586448 581822 586522
+rect 400094 467823 401886 467847
+rect 400094 466110 400118 467823
+rect 401862 466110 401886 467823
+rect 400094 466086 401886 466110
+rect 423776 418620 444862 418654
+rect 423776 418574 444600 418620
+rect 420488 418550 444600 418574
+rect 420488 418232 420512 418550
+rect 424392 418384 444600 418550
+rect 444836 418384 444862 418620
+rect 424392 418266 444862 418384
+rect 424392 418232 424416 418266
+rect 420488 418208 424416 418232
+rect 441342 386778 564420 386914
+rect 441342 382352 441976 386778
+rect 442336 386392 564420 386778
+rect 442336 382888 560754 386392
+rect 563860 382888 564420 386392
+rect 442336 382352 564420 382888
+rect 441342 382166 564420 382352
+rect 399700 361852 401998 362010
+rect 399700 360139 400146 361852
+rect 401890 360139 401998 361852
+rect 399700 360009 401998 360139
+<< comment >>
+rect 584000 323622 584100 350000
+use top  top_0
+timestamp 1666563971
+transform 1 0 443353 0 1 404933
+box -2734 -60442 26330 14093
+use top  top_1
+timestamp 1666563971
+transform 1 0 491794 0 1 619522
+box -2734 -60442 26330 14093
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/mag/XM_Rref.mag b/mag/XM_Rref.mag
index 1b31528..b643c45 100644
--- a/mag/XM_Rref.mag
+++ b/mag/XM_Rref.mag
@@ -1,14 +1,14 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1662826901
+timestamp 1665771957
 << metal1 >>
 rect 1132 20636 1238 21045
 rect 3616 20636 3722 21045
 rect -110 -995 -4 -586
 rect 2374 -995 2480 -586
 use sky130_fd_pr__res_xhigh_po_5p73_UZMRKM  sky130_fd_pr__res_xhigh_po_5p73_UZMRKM_0
-timestamp 1662826682
+timestamp 1665771957
 transform 1 0 1806 0 1 10025
 box -3223 -11198 3223 11198
 << end >>
diff --git a/mag/XM_bjt.mag b/mag/XM_bjt.mag
index b7ea1f7..97d9828 100644
--- a/mag/XM_bjt.mag
+++ b/mag/XM_bjt.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1662737136
+timestamp 1666387681
 << locali >>
 rect 20 4410 3200 4440
 rect 20 3960 3200 3990
@@ -56,7 +56,7 @@
 rect 1550 2195 1700 2200
 use sky130_fd_pr__rf_pnp_05v5_W3p40L3p40  sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0 $PDKPATH/libs.ref/sky130_fd_pr/mag
 array 0 4 644 0 6 644
-timestamp 1657128861
+timestamp 1666199351
 transform 1 0 0 0 1 0
 box 0 0 670 670
 << end >>
diff --git a/mag/XM_bjt_out.mag b/mag/XM_bjt_out.mag
index d13f65e..532d0ca 100644
--- a/mag/XM_bjt_out.mag
+++ b/mag/XM_bjt_out.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1662907566
+timestamp 1666387681
 << locali >>
 rect 300 1600 3000 1650
 rect 300 950 1410 1000
@@ -16,7 +16,7 @@
 rect 1550 895 1700 900
 use sky130_fd_pr__rf_pnp_05v5_W3p40L3p40  sky130_fd_pr__rf_pnp_05v5_W3p40L3p40_0 $PDKPATH/libs.ref/sky130_fd_pr/mag
 array 0 4 644 0 2 644
-timestamp 1657128861
+timestamp 1666199351
 transform 1 0 0 0 1 0
 box 0 0 670 670
 << end >>
diff --git a/mag/cmfb1.mag b/mag/cmfb1.mag
new file mode 100644
index 0000000..a7392ff
--- /dev/null
+++ b/mag/cmfb1.mag
@@ -0,0 +1,289 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666563879
+<< locali >>
+rect -6950 -6890 -6760 -6850
+rect -6860 -6970 -6820 -6890
+<< viali >>
+rect -7150 -5750 -7094 -5694
+rect -6650 -5750 -6594 -5694
+rect -4430 -5720 -4374 -5664
+rect -6870 -7700 -6814 -7644
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal1 >>
+rect -3890 -3090 -3880 -3026
+rect -3816 -3090 -3806 -3026
+rect -6394 -3549 -6161 -3140
+rect -6160 -5430 -5020 -5110
+rect -7010 -5460 -5020 -5430
+rect -7162 -5694 -7082 -5688
+rect -6662 -5694 -6582 -5688
+rect -7162 -5750 -7150 -5694
+rect -7094 -5750 -7082 -5694
+rect -6910 -5750 -6900 -5694
+rect -6844 -5750 -6834 -5694
+rect -6662 -5750 -6650 -5694
+rect -6594 -5750 -6582 -5694
+rect -7162 -5756 -7082 -5750
+rect -6890 -5890 -6850 -5750
+rect -6662 -5756 -6582 -5750
+rect -7010 -5930 -6700 -5890
+rect -7220 -6090 -7200 -6060
+rect -7220 -6130 -7190 -6090
+rect -7220 -6180 -6570 -6130
+rect -7220 -6520 -7190 -6180
+rect -7150 -6490 -7070 -6440
+rect -6620 -6490 -6550 -6440
+rect -7220 -6600 -7200 -6520
+rect -6520 -6580 -6490 -6020
+rect -6438 -6340 -6428 -6288
+rect -7060 -6640 -6640 -6600
+rect -7320 -6800 -7100 -6750
+rect -6890 -7040 -6850 -6640
+rect -6620 -6766 -6550 -6750
+rect -6370 -6766 -6340 -5460
+rect -6160 -5610 -5020 -5460
+rect -4350 -5658 -4300 -3380
+rect -4442 -5664 -4320 -5658
+rect -4442 -5720 -4430 -5664
+rect -4374 -5710 -4320 -5664
+rect -4268 -5710 -4258 -5658
+rect -4374 -5720 -4300 -5710
+rect -4442 -5726 -4300 -5720
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4616 -5896
+rect -6620 -6796 -6340 -6766
+rect -6620 -6800 -6550 -6796
+rect -7040 -7080 -6690 -7040
+rect -7090 -7300 -7080 -7288
+rect -7510 -7340 -7080 -7300
+rect -7028 -7300 -7018 -7288
+rect -6900 -7300 -6890 -7130
+rect -6830 -7300 -6800 -7130
+rect -6670 -7300 -6660 -7288
+rect -7028 -7340 -6660 -7300
+rect -6608 -7300 -6598 -7288
+rect -6608 -7340 -6500 -7300
+rect -6900 -7520 -6890 -7340
+rect -6830 -7520 -6800 -7340
+rect -7100 -7660 -7060 -7570
+rect -6882 -7644 -6802 -7638
+rect -6882 -7660 -6870 -7644
+rect -7200 -7700 -6870 -7660
+rect -6814 -7660 -6802 -7644
+rect -6670 -7660 -6630 -7560
+rect -6814 -7700 -6510 -7660
+rect -6882 -7706 -6802 -7700
+rect -6140 -7760 -5820 -7150
+rect -4700 -7240 -4690 -7188
+rect -4638 -7240 -4628 -7188
+rect -4350 -7510 -4300 -5726
+rect -4680 -7590 -4240 -7550
+rect -4230 -7560 -4190 -3330
+rect -4120 -3580 -4070 -3380
+rect -3880 -3580 -3810 -3090
+rect -4120 -3630 -3810 -3580
+rect -4120 -3970 -4070 -3630
+rect -3880 -3970 -3810 -3630
+rect -4120 -4020 -3800 -3970
+rect -4120 -5670 -4070 -4020
+rect -3880 -5670 -3810 -4020
+rect -4120 -5720 -3800 -5670
+rect -4120 -6570 -4070 -5720
+rect -3880 -6570 -3810 -5720
+rect -4120 -6620 -3800 -6570
+rect -4120 -7410 -4070 -6620
+rect -3880 -7410 -3810 -6620
+rect -3610 -7280 -3560 -3500
+rect -3620 -7298 -3520 -7280
+rect -3620 -7350 -3610 -7298
+rect -3558 -7300 -3520 -7298
+rect -3558 -7350 -3548 -7300
+rect -4120 -7460 -3800 -7410
+rect -4120 -7510 -4070 -7460
+rect -3880 -7540 -3810 -7460
+rect -3610 -7550 -3560 -7350
+rect -3314 -7544 -3244 -3550
+rect -3314 -7600 -3300 -7544
+rect -3244 -7600 -3234 -7544
+rect -5432 -7644 -5352 -7638
+rect -5432 -7700 -5420 -7644
+rect -5364 -7700 -5352 -7644
+rect -5432 -7706 -5352 -7700
+rect -4712 -7644 -4632 -7638
+rect -4712 -7700 -4700 -7644
+rect -4644 -7700 -4632 -7644
+rect -4712 -7706 -4632 -7700
+rect -3592 -7644 -3512 -7638
+rect -3592 -7700 -3580 -7644
+rect -3524 -7700 -3512 -7644
+rect -3592 -7706 -3512 -7700
+<< via1 >>
+rect -3880 -3090 -3816 -3026
+rect -7150 -5750 -7094 -5694
+rect -6900 -5750 -6844 -5694
+rect -6650 -5750 -6594 -5694
+rect -6490 -6340 -6438 -6288
+rect -4430 -5720 -4374 -5664
+rect -4320 -5710 -4268 -5658
+rect -4690 -5960 -4626 -5896
+rect -7080 -7340 -7028 -7288
+rect -6660 -7340 -6608 -7288
+rect -6870 -7700 -6814 -7644
+rect -4690 -7240 -4638 -7188
+rect -3610 -7350 -3558 -7298
+rect -3300 -7600 -3244 -7544
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal2 >>
+rect -3880 -3026 -3816 -3016
+rect -3880 -3100 -3816 -3090
+rect -4320 -5650 -4268 -5648
+rect -7320 -5658 -3970 -5650
+rect -7320 -5664 -4320 -5658
+rect -7320 -5694 -4430 -5664
+rect -7320 -5750 -7150 -5694
+rect -7094 -5750 -6900 -5694
+rect -6844 -5750 -6650 -5694
+rect -6594 -5720 -4430 -5694
+rect -4374 -5710 -4320 -5664
+rect -4268 -5710 -3970 -5658
+rect -4374 -5720 -3970 -5710
+rect -6594 -5750 -3970 -5720
+rect -7320 -5770 -3970 -5750
+rect -4690 -5896 -4626 -5886
+rect -4690 -5970 -4626 -5960
+rect -6490 -6288 -6438 -6278
+rect -6438 -6340 -4820 -6290
+rect -6490 -6350 -6438 -6340
+rect -4870 -7190 -4820 -6340
+rect -4690 -7188 -4638 -7178
+rect -4870 -7240 -4690 -7190
+rect -4690 -7250 -4638 -7240
+rect -7080 -7288 -7028 -7278
+rect -7100 -7340 -7080 -7300
+rect -6660 -7288 -6608 -7278
+rect -7028 -7340 -6660 -7300
+rect -3610 -7298 -3558 -7288
+rect -6608 -7340 -3610 -7300
+rect -7080 -7350 -7028 -7340
+rect -6660 -7350 -6608 -7340
+rect -3558 -7340 -3550 -7300
+rect -3610 -7360 -3558 -7350
+rect -3300 -7544 -3244 -7534
+rect -3300 -7610 -3244 -7600
+rect -6870 -7644 -6814 -7634
+rect -6870 -7710 -6814 -7700
+rect -5420 -7644 -5364 -7634
+rect -5420 -7710 -5364 -7700
+rect -4700 -7644 -4644 -7634
+rect -4700 -7710 -4644 -7700
+rect -3580 -7644 -3524 -7634
+rect -3580 -7710 -3524 -7700
+<< via2 >>
+rect -3880 -3090 -3816 -3026
+rect -4690 -5960 -4626 -5896
+rect -3300 -7600 -3244 -7544
+rect -6870 -7700 -6814 -7644
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal3 >>
+rect -8020 -2160 -2721 17840
+rect -3900 -3026 -3750 -3020
+rect -3900 -3090 -3880 -3026
+rect -3816 -3090 -3750 -3026
+rect -3900 -3100 -3750 -3090
+rect -4700 -5896 -4610 -5830
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4610 -5896
+rect -4700 -5970 -4610 -5960
+rect -3310 -7544 -3234 -7539
+rect -3310 -7600 -3300 -7544
+rect -3244 -7600 -3234 -7544
+rect -7340 -7644 -3170 -7600
+rect -7340 -7700 -6870 -7644
+rect -6814 -7700 -5420 -7644
+rect -5364 -7700 -4700 -7644
+rect -4644 -7700 -3580 -7644
+rect -3524 -7700 -3170 -7644
+rect -7340 -7740 -3170 -7700
+<< via3 >>
+rect -3880 -3090 -3816 -3026
+rect -4690 -5960 -4626 -5896
+<< metal4 >>
+rect -5550 -2960 -4610 -2870
+rect -4700 -5896 -4610 -2960
+rect -2848 -3020 -2748 -2960
+rect -3900 -3026 -2748 -3020
+rect -3900 -3090 -3880 -3026
+rect -3816 -3090 -2748 -3026
+rect -3900 -3100 -2748 -3090
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4610 -5896
+rect -4700 -5970 -4610 -5960
+use sky130_fd_pr__cap_mim_m3_1_L4YDVW  XC4
+timestamp 1662478139
+transform 1 0 -5370 0 1 7640
+box -2650 -10600 2649 10600
+use sky130_fd_pr__nfet_01v8_lvt_EA9ZG2  XM54
+timestamp 1662478139
+transform 1 0 -7131 0 1 -6620
+box -221 -310 221 310
+use sky130_fd_pr__nfet_01v8_lvt_EA9ZG2  XM55
+timestamp 1662478139
+transform 1 0 -6579 0 1 -6620
+box -221 -310 221 310
+use sky130_fd_pr__nfet_01v8_lvt_BSMWRE  XM56
+timestamp 1662478139
+transform 0 1 -6853 -1 0 -7326
+box -396 -519 396 519
+use sky130_fd_pr__nfet_01v8_lvt_F8HAAN  XM57
+timestamp 1662478139
+transform 1 0 -3566 0 1 -5531
+box -396 -2191 396 2191
+use sky130_fd_pr__pfet_01v8_lvt_X3YSY6  XM58
+timestamp 1662478139
+transform 1 0 -6612 0 1 -5991
+box -246 -319 246 319
+use sky130_fd_pr__pfet_01v8_lvt_X3YSY6  XM59
+timestamp 1662478139
+transform 1 0 -7104 0 1 -5991
+box -246 -319 246 319
+use sky130_fd_pr__pfet_01v8_lvt_ER7KZU  XM60
+timestamp 1662478139
+transform 1 0 -4208 0 1 -5441
+box -246 -2281 246 2281
+use sky130_fd_pr__res_high_po_0p35_ZMQPMJ  XR34
+timestamp 1662478139
+transform 1 0 -4655 0 1 -6724
+box -201 -998 201 998
+use sky130_fd_pr__res_xhigh_po_5p73_Q3K92U  XR35
+timestamp 1662478139
+transform 1 0 -5595 0 1 -6532
+box -739 -1190 739 1190
+use sky130_fd_pr__res_xhigh_po_5p73_Q3K92U  XR37
+timestamp 1662478139
+transform 1 0 -5595 0 1 -4152
+box -739 -1190 739 1190
+<< labels >>
+rlabel metal2 -7300 -5650 -7300 -5650 1 vdd
+port 6 n
+rlabel metal3 -7188 -7740 -7188 -7740 5 vss
+port 5 s
+rlabel metal1 -6020 -7760 -6020 -7760 5 vinn
+port 1 s
+rlabel metal1 -7320 -6770 -7320 -6770 7 vref
+port 2 w
+rlabel metal1 -7510 -7320 -7510 -7320 7 vbcm
+port 4 w
+rlabel metal1 -6394 -3420 -6394 -3420 7 vinp
+port 0 w
+rlabel metal1 -7010 -5440 -7010 -5440 7 vcm
+rlabel metal4 -2748 -3070 -2748 -3070 1 vc
+port 3 n
+<< end >>
diff --git a/mag/cmfb1_bu.mag b/mag/cmfb1_bu.mag
new file mode 100644
index 0000000..ce02461
--- /dev/null
+++ b/mag/cmfb1_bu.mag
@@ -0,0 +1,288 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662938211
+<< locali >>
+rect -6950 -6890 -6760 -6850
+rect -6860 -6970 -6820 -6890
+<< viali >>
+rect -7150 -5750 -7094 -5694
+rect -6650 -5750 -6594 -5694
+rect -4430 -5720 -4374 -5664
+rect -6870 -7700 -6814 -7644
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal1 >>
+rect -3890 -3090 -3880 -3026
+rect -3816 -3090 -3806 -3026
+rect -6394 -3549 -6161 -3140
+rect -6160 -5430 -5020 -5110
+rect -7010 -5460 -5020 -5430
+rect -7162 -5694 -7082 -5688
+rect -6662 -5694 -6582 -5688
+rect -7162 -5750 -7150 -5694
+rect -7094 -5750 -7082 -5694
+rect -6910 -5750 -6900 -5694
+rect -6844 -5750 -6834 -5694
+rect -6662 -5750 -6650 -5694
+rect -6594 -5750 -6582 -5694
+rect -7162 -5756 -7082 -5750
+rect -6890 -5890 -6850 -5750
+rect -6662 -5756 -6582 -5750
+rect -7010 -5930 -6700 -5890
+rect -7220 -6090 -7200 -6060
+rect -7220 -6130 -7190 -6090
+rect -7220 -6180 -6570 -6130
+rect -7220 -6520 -7190 -6180
+rect -7150 -6490 -7070 -6440
+rect -6620 -6490 -6550 -6440
+rect -7220 -6600 -7200 -6520
+rect -6520 -6580 -6490 -6020
+rect -6438 -6340 -6428 -6288
+rect -7060 -6640 -6640 -6600
+rect -7320 -6800 -7100 -6750
+rect -6890 -7040 -6850 -6640
+rect -6620 -6766 -6550 -6750
+rect -6370 -6766 -6340 -5460
+rect -6160 -5610 -5020 -5460
+rect -4350 -5658 -4300 -3380
+rect -4442 -5664 -4320 -5658
+rect -4442 -5720 -4430 -5664
+rect -4374 -5710 -4320 -5664
+rect -4268 -5710 -4258 -5658
+rect -4374 -5720 -4300 -5710
+rect -4442 -5726 -4300 -5720
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4616 -5896
+rect -6620 -6796 -6340 -6766
+rect -6620 -6800 -6550 -6796
+rect -7040 -7080 -6690 -7040
+rect -7090 -7300 -7080 -7288
+rect -7510 -7340 -7080 -7300
+rect -7028 -7300 -7018 -7288
+rect -6900 -7300 -6890 -7130
+rect -6830 -7300 -6800 -7130
+rect -6670 -7300 -6660 -7288
+rect -7028 -7340 -6660 -7300
+rect -6608 -7300 -6598 -7288
+rect -6608 -7340 -6500 -7300
+rect -6900 -7520 -6890 -7340
+rect -6830 -7520 -6800 -7340
+rect -7100 -7660 -7060 -7570
+rect -6882 -7644 -6802 -7638
+rect -6882 -7660 -6870 -7644
+rect -7200 -7700 -6870 -7660
+rect -6814 -7660 -6802 -7644
+rect -6670 -7660 -6630 -7560
+rect -6814 -7700 -6510 -7660
+rect -6882 -7706 -6802 -7700
+rect -6140 -7760 -5820 -7150
+rect -4700 -7240 -4690 -7188
+rect -4638 -7240 -4628 -7188
+rect -4350 -7510 -4300 -5726
+rect -4680 -7590 -4240 -7550
+rect -4230 -7560 -4190 -3330
+rect -4120 -3580 -4070 -3380
+rect -3880 -3580 -3810 -3090
+rect -4120 -3630 -3810 -3580
+rect -4120 -3970 -4070 -3630
+rect -3880 -3970 -3810 -3630
+rect -4120 -4020 -3800 -3970
+rect -4120 -5670 -4070 -4020
+rect -3880 -5670 -3810 -4020
+rect -4120 -5720 -3800 -5670
+rect -4120 -6570 -4070 -5720
+rect -3880 -6570 -3810 -5720
+rect -4120 -6620 -3800 -6570
+rect -4120 -7410 -4070 -6620
+rect -3880 -7410 -3810 -6620
+rect -3610 -7280 -3560 -3500
+rect -3620 -7298 -3520 -7280
+rect -3620 -7350 -3610 -7298
+rect -3558 -7300 -3520 -7298
+rect -3558 -7350 -3548 -7300
+rect -4120 -7460 -3800 -7410
+rect -4120 -7510 -4070 -7460
+rect -3880 -7540 -3810 -7460
+rect -3610 -7550 -3560 -7350
+rect -3314 -7544 -3244 -3550
+rect -3314 -7600 -3300 -7544
+rect -3244 -7600 -3234 -7544
+rect -5432 -7644 -5352 -7638
+rect -5432 -7700 -5420 -7644
+rect -5364 -7700 -5352 -7644
+rect -5432 -7706 -5352 -7700
+rect -4712 -7644 -4632 -7638
+rect -4712 -7700 -4700 -7644
+rect -4644 -7700 -4632 -7644
+rect -4712 -7706 -4632 -7700
+rect -3592 -7644 -3512 -7638
+rect -3592 -7700 -3580 -7644
+rect -3524 -7700 -3512 -7644
+rect -3592 -7706 -3512 -7700
+<< via1 >>
+rect -3880 -3090 -3816 -3026
+rect -7150 -5750 -7094 -5694
+rect -6900 -5750 -6844 -5694
+rect -6650 -5750 -6594 -5694
+rect -6490 -6340 -6438 -6288
+rect -4430 -5720 -4374 -5664
+rect -4320 -5710 -4268 -5658
+rect -4690 -5960 -4626 -5896
+rect -7080 -7340 -7028 -7288
+rect -6660 -7340 -6608 -7288
+rect -6870 -7700 -6814 -7644
+rect -4690 -7240 -4638 -7188
+rect -3610 -7350 -3558 -7298
+rect -3300 -7600 -3244 -7544
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal2 >>
+rect -3880 -3026 -3816 -3016
+rect -3880 -3100 -3816 -3090
+rect -4320 -5650 -4268 -5648
+rect -7320 -5658 -3970 -5650
+rect -7320 -5664 -4320 -5658
+rect -7320 -5694 -4430 -5664
+rect -7320 -5750 -7150 -5694
+rect -7094 -5750 -6900 -5694
+rect -6844 -5750 -6650 -5694
+rect -6594 -5720 -4430 -5694
+rect -4374 -5710 -4320 -5664
+rect -4268 -5710 -3970 -5658
+rect -4374 -5720 -3970 -5710
+rect -6594 -5750 -3970 -5720
+rect -7320 -5770 -3970 -5750
+rect -4690 -5896 -4626 -5886
+rect -4690 -5970 -4626 -5960
+rect -6490 -6288 -6438 -6278
+rect -6438 -6340 -4820 -6290
+rect -6490 -6350 -6438 -6340
+rect -4870 -7190 -4820 -6340
+rect -4690 -7188 -4638 -7178
+rect -4870 -7240 -4690 -7190
+rect -4690 -7250 -4638 -7240
+rect -7080 -7288 -7028 -7278
+rect -7100 -7340 -7080 -7300
+rect -6660 -7288 -6608 -7278
+rect -7028 -7340 -6660 -7300
+rect -3610 -7298 -3558 -7288
+rect -6608 -7340 -3610 -7300
+rect -7080 -7350 -7028 -7340
+rect -6660 -7350 -6608 -7340
+rect -3558 -7340 -3550 -7300
+rect -3610 -7360 -3558 -7350
+rect -3300 -7544 -3244 -7534
+rect -3300 -7610 -3244 -7600
+rect -6870 -7644 -6814 -7634
+rect -6870 -7710 -6814 -7700
+rect -5420 -7644 -5364 -7634
+rect -5420 -7710 -5364 -7700
+rect -4700 -7644 -4644 -7634
+rect -4700 -7710 -4644 -7700
+rect -3580 -7644 -3524 -7634
+rect -3580 -7710 -3524 -7700
+<< via2 >>
+rect -3880 -3090 -3816 -3026
+rect -4690 -5960 -4626 -5896
+rect -3300 -7600 -3244 -7544
+rect -6870 -7700 -6814 -7644
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal3 >>
+rect -3900 -3026 -3750 -3020
+rect -3900 -3090 -3880 -3026
+rect -3816 -3090 -3750 -3026
+rect -3900 -3100 -3750 -3090
+rect -4700 -5896 -4610 -5830
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4610 -5896
+rect -4700 -5970 -4610 -5960
+rect -3310 -7544 -3234 -7539
+rect -3310 -7600 -3300 -7544
+rect -3244 -7600 -3234 -7544
+rect -7340 -7644 -3170 -7600
+rect -7340 -7700 -6870 -7644
+rect -6814 -7700 -5420 -7644
+rect -5364 -7700 -4700 -7644
+rect -4644 -7700 -3580 -7644
+rect -3524 -7700 -3170 -7644
+rect -7340 -7740 -3170 -7700
+<< via3 >>
+rect -3880 -3090 -3816 -3026
+rect -4690 -5960 -4626 -5896
+<< metal4 >>
+rect -5550 -2960 -4610 -2870
+rect -4700 -5896 -4610 -2960
+rect -2930 -3020 -2830 -2960
+rect -3900 -3026 -2830 -3020
+rect -3900 -3090 -3880 -3026
+rect -3816 -3090 -2830 -3026
+rect -3900 -3100 -2830 -3090
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4610 -5896
+rect -4700 -5970 -4610 -5960
+use sky130_fd_pr__cap_mim_m3_1_L4YDVW  XC4
+timestamp 1662478139
+transform 1 0 -5450 0 1 7640
+box -2650 -10600 2649 10600
+use sky130_fd_pr__nfet_01v8_lvt_EA9ZG2  XM54
+timestamp 1662478139
+transform 1 0 -7131 0 1 -6620
+box -221 -310 221 310
+use sky130_fd_pr__nfet_01v8_lvt_EA9ZG2  XM55
+timestamp 1662478139
+transform 1 0 -6579 0 1 -6620
+box -221 -310 221 310
+use sky130_fd_pr__nfet_01v8_lvt_BSMWRE  XM56
+timestamp 1662478139
+transform 0 1 -6853 -1 0 -7326
+box -396 -519 396 519
+use sky130_fd_pr__nfet_01v8_lvt_F8HAAN  XM57
+timestamp 1662478139
+transform 1 0 -3566 0 1 -5531
+box -396 -2191 396 2191
+use sky130_fd_pr__pfet_01v8_lvt_X3YSY6  XM58
+timestamp 1662478139
+transform 1 0 -6612 0 1 -5991
+box -246 -319 246 319
+use sky130_fd_pr__pfet_01v8_lvt_X3YSY6  XM59
+timestamp 1662478139
+transform 1 0 -7104 0 1 -5991
+box -246 -319 246 319
+use sky130_fd_pr__pfet_01v8_lvt_ER7KZU  XM60
+timestamp 1662478139
+transform 1 0 -4208 0 1 -5441
+box -246 -2281 246 2281
+use sky130_fd_pr__res_high_po_0p35_ZMQPMJ  XR34
+timestamp 1662478139
+transform 1 0 -4655 0 1 -6724
+box -201 -998 201 998
+use sky130_fd_pr__res_xhigh_po_5p73_Q3K92U  XR35
+timestamp 1662478139
+transform 1 0 -5595 0 1 -6532
+box -739 -1190 739 1190
+use sky130_fd_pr__res_xhigh_po_5p73_Q3K92U  XR37
+timestamp 1662478139
+transform 1 0 -5595 0 1 -4152
+box -739 -1190 739 1190
+<< labels >>
+rlabel metal2 -7300 -5650 -7300 -5650 1 vdd
+port 6 n
+rlabel metal3 -7188 -7740 -7188 -7740 5 vss
+port 5 s
+rlabel metal1 -6020 -7760 -6020 -7760 5 vinn
+port 1 s
+rlabel metal1 -7320 -6770 -7320 -6770 7 vref
+port 2 w
+rlabel metal4 -2830 -3070 -2830 -3070 1 vc
+port 3 n
+rlabel metal1 -7510 -7320 -7510 -7320 7 vbcm
+port 4 w
+rlabel metal1 -6394 -3420 -6394 -3420 7 vinp
+port 0 w
+rlabel metal1 -7010 -5440 -7010 -5440 7 vcm
+<< end >>
diff --git a/mag/cmfb2.mag b/mag/cmfb2.mag
new file mode 100644
index 0000000..2da11ff
--- /dev/null
+++ b/mag/cmfb2.mag
@@ -0,0 +1,289 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666563939
+<< locali >>
+rect -6950 -6890 -6760 -6850
+rect -6860 -6970 -6820 -6890
+<< viali >>
+rect -7150 -5750 -7094 -5694
+rect -6650 -5750 -6594 -5694
+rect -4430 -5720 -4374 -5664
+rect -6870 -7700 -6814 -7644
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal1 >>
+rect -3890 -3090 -3880 -3026
+rect -3816 -3090 -3806 -3026
+rect -6394 -3549 -6161 -3140
+rect -6160 -5430 -5020 -5110
+rect -7010 -5460 -5020 -5430
+rect -7162 -5694 -7082 -5688
+rect -6662 -5694 -6582 -5688
+rect -7162 -5750 -7150 -5694
+rect -7094 -5750 -7082 -5694
+rect -6910 -5750 -6900 -5694
+rect -6844 -5750 -6834 -5694
+rect -6662 -5750 -6650 -5694
+rect -6594 -5750 -6582 -5694
+rect -7162 -5756 -7082 -5750
+rect -6890 -5890 -6850 -5750
+rect -6662 -5756 -6582 -5750
+rect -7010 -5930 -6700 -5890
+rect -7220 -6090 -7200 -6060
+rect -7220 -6130 -7190 -6090
+rect -7220 -6180 -6570 -6130
+rect -7220 -6520 -7190 -6180
+rect -7150 -6490 -7070 -6440
+rect -6620 -6490 -6550 -6440
+rect -7220 -6600 -7200 -6520
+rect -6520 -6580 -6490 -6020
+rect -6438 -6340 -6428 -6288
+rect -7060 -6640 -6640 -6600
+rect -7320 -6800 -7100 -6750
+rect -6890 -7040 -6850 -6640
+rect -6620 -6766 -6550 -6750
+rect -6370 -6766 -6340 -5460
+rect -6160 -5610 -5020 -5460
+rect -4350 -5658 -4300 -3380
+rect -4442 -5664 -4320 -5658
+rect -4442 -5720 -4430 -5664
+rect -4374 -5710 -4320 -5664
+rect -4268 -5710 -4258 -5658
+rect -4374 -5720 -4300 -5710
+rect -4442 -5726 -4300 -5720
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4616 -5896
+rect -6620 -6796 -6340 -6766
+rect -6620 -6800 -6550 -6796
+rect -7040 -7080 -6690 -7040
+rect -7090 -7300 -7080 -7288
+rect -7510 -7340 -7080 -7300
+rect -7028 -7300 -7018 -7288
+rect -6900 -7300 -6890 -7130
+rect -6830 -7300 -6800 -7130
+rect -6670 -7300 -6660 -7288
+rect -7028 -7340 -6660 -7300
+rect -6608 -7300 -6598 -7288
+rect -6608 -7340 -6500 -7300
+rect -6900 -7520 -6890 -7340
+rect -6830 -7520 -6800 -7340
+rect -7100 -7660 -7060 -7570
+rect -6882 -7644 -6802 -7638
+rect -6882 -7660 -6870 -7644
+rect -7200 -7700 -6870 -7660
+rect -6814 -7660 -6802 -7644
+rect -6670 -7660 -6630 -7560
+rect -6814 -7700 -6510 -7660
+rect -6882 -7706 -6802 -7700
+rect -6140 -7760 -5820 -7150
+rect -4700 -7240 -4690 -7188
+rect -4638 -7240 -4628 -7188
+rect -4350 -7510 -4300 -5726
+rect -4680 -7590 -4240 -7550
+rect -4230 -7560 -4190 -3330
+rect -4120 -3580 -4070 -3380
+rect -3880 -3580 -3810 -3090
+rect -4120 -3630 -3810 -3580
+rect -4120 -3970 -4070 -3630
+rect -3880 -3970 -3810 -3630
+rect -4120 -4020 -3800 -3970
+rect -4120 -5670 -4070 -4020
+rect -3880 -5670 -3810 -4020
+rect -4120 -5720 -3800 -5670
+rect -4120 -6570 -4070 -5720
+rect -3880 -6570 -3810 -5720
+rect -4120 -6620 -3800 -6570
+rect -4120 -7410 -4070 -6620
+rect -3880 -7410 -3810 -6620
+rect -3610 -7280 -3560 -3500
+rect -3620 -7298 -3520 -7280
+rect -3620 -7350 -3610 -7298
+rect -3558 -7300 -3520 -7298
+rect -3558 -7350 -3548 -7300
+rect -4120 -7460 -3800 -7410
+rect -4120 -7510 -4070 -7460
+rect -3880 -7540 -3810 -7460
+rect -3610 -7550 -3560 -7350
+rect -3314 -7544 -3244 -3550
+rect -3314 -7600 -3300 -7544
+rect -3244 -7600 -3234 -7544
+rect -5432 -7644 -5352 -7638
+rect -5432 -7700 -5420 -7644
+rect -5364 -7700 -5352 -7644
+rect -5432 -7706 -5352 -7700
+rect -4712 -7644 -4632 -7638
+rect -4712 -7700 -4700 -7644
+rect -4644 -7700 -4632 -7644
+rect -4712 -7706 -4632 -7700
+rect -3592 -7644 -3512 -7638
+rect -3592 -7700 -3580 -7644
+rect -3524 -7700 -3512 -7644
+rect -3592 -7706 -3512 -7700
+<< via1 >>
+rect -3880 -3090 -3816 -3026
+rect -7150 -5750 -7094 -5694
+rect -6900 -5750 -6844 -5694
+rect -6650 -5750 -6594 -5694
+rect -6490 -6340 -6438 -6288
+rect -4430 -5720 -4374 -5664
+rect -4320 -5710 -4268 -5658
+rect -4690 -5960 -4626 -5896
+rect -7080 -7340 -7028 -7288
+rect -6660 -7340 -6608 -7288
+rect -6870 -7700 -6814 -7644
+rect -4690 -7240 -4638 -7188
+rect -3610 -7350 -3558 -7298
+rect -3300 -7600 -3244 -7544
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal2 >>
+rect -3880 -3026 -3816 -3016
+rect -3880 -3100 -3816 -3090
+rect -4320 -5650 -4268 -5648
+rect -7320 -5658 -3970 -5650
+rect -7320 -5664 -4320 -5658
+rect -7320 -5694 -4430 -5664
+rect -7320 -5750 -7150 -5694
+rect -7094 -5750 -6900 -5694
+rect -6844 -5750 -6650 -5694
+rect -6594 -5720 -4430 -5694
+rect -4374 -5710 -4320 -5664
+rect -4268 -5710 -3970 -5658
+rect -4374 -5720 -3970 -5710
+rect -6594 -5750 -3970 -5720
+rect -7320 -5770 -3970 -5750
+rect -4690 -5896 -4626 -5886
+rect -4690 -5970 -4626 -5960
+rect -6490 -6288 -6438 -6278
+rect -6438 -6340 -4820 -6290
+rect -6490 -6350 -6438 -6340
+rect -4870 -7190 -4820 -6340
+rect -4690 -7188 -4638 -7178
+rect -4870 -7240 -4690 -7190
+rect -4690 -7250 -4638 -7240
+rect -7080 -7288 -7028 -7278
+rect -7100 -7340 -7080 -7300
+rect -6660 -7288 -6608 -7278
+rect -7028 -7340 -6660 -7300
+rect -3610 -7298 -3558 -7288
+rect -6608 -7340 -3610 -7300
+rect -7080 -7350 -7028 -7340
+rect -6660 -7350 -6608 -7340
+rect -3558 -7340 -3550 -7300
+rect -3610 -7360 -3558 -7350
+rect -3300 -7544 -3244 -7534
+rect -3300 -7610 -3244 -7600
+rect -6870 -7644 -6814 -7634
+rect -6870 -7710 -6814 -7700
+rect -5420 -7644 -5364 -7634
+rect -5420 -7710 -5364 -7700
+rect -4700 -7644 -4644 -7634
+rect -4700 -7710 -4644 -7700
+rect -3580 -7644 -3524 -7634
+rect -3580 -7710 -3524 -7700
+<< via2 >>
+rect -3880 -3090 -3816 -3026
+rect -4690 -5960 -4626 -5896
+rect -3300 -7600 -3244 -7544
+rect -6870 -7700 -6814 -7644
+rect -5420 -7700 -5364 -7644
+rect -4700 -7700 -4644 -7644
+rect -3580 -7700 -3524 -7644
+<< metal3 >>
+rect -8180 -2860 -2881 17140
+rect -3900 -3026 -3750 -3020
+rect -3900 -3090 -3880 -3026
+rect -3816 -3090 -3750 -3026
+rect -3900 -3100 -3750 -3090
+rect -4700 -5896 -4610 -5830
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4610 -5896
+rect -4700 -5970 -4610 -5960
+rect -3310 -7544 -3234 -7539
+rect -3310 -7600 -3300 -7544
+rect -3244 -7600 -3234 -7544
+rect -7340 -7644 -3170 -7600
+rect -7340 -7700 -6870 -7644
+rect -6814 -7700 -5420 -7644
+rect -5364 -7700 -4700 -7644
+rect -4644 -7700 -3580 -7644
+rect -3524 -7700 -3170 -7644
+rect -7340 -7740 -3170 -7700
+<< via3 >>
+rect -3880 -3090 -3816 -3026
+rect -4690 -5960 -4626 -5896
+<< metal4 >>
+rect -5550 -2960 -4610 -2870
+rect -4700 -5896 -4610 -2960
+rect -3008 -3020 -2908 -2960
+rect -3900 -3026 -2908 -3020
+rect -3900 -3090 -3880 -3026
+rect -3816 -3090 -2908 -3026
+rect -3900 -3100 -2908 -3090
+rect -4700 -5960 -4690 -5896
+rect -4626 -5960 -4610 -5896
+rect -4700 -5970 -4610 -5960
+use sky130_fd_pr__cap_mim_m3_1_L4YDVW  XC4
+timestamp 1662478139
+transform 1 0 -5530 0 1 7640
+box -2650 -10600 2649 10600
+use sky130_fd_pr__nfet_01v8_lvt_EA9ZG2  XM54
+timestamp 1662478139
+transform 1 0 -7131 0 1 -6620
+box -221 -310 221 310
+use sky130_fd_pr__nfet_01v8_lvt_EA9ZG2  XM55
+timestamp 1662478139
+transform 1 0 -6579 0 1 -6620
+box -221 -310 221 310
+use sky130_fd_pr__nfet_01v8_lvt_BSMWRE  XM56
+timestamp 1662478139
+transform 0 1 -6853 -1 0 -7326
+box -396 -519 396 519
+use sky130_fd_pr__nfet_01v8_lvt_F8HAAN  XM57
+timestamp 1662478139
+transform 1 0 -3566 0 1 -5531
+box -396 -2191 396 2191
+use sky130_fd_pr__pfet_01v8_lvt_X3YSY6  XM58
+timestamp 1662478139
+transform 1 0 -6612 0 1 -5991
+box -246 -319 246 319
+use sky130_fd_pr__pfet_01v8_lvt_X3YSY6  XM59
+timestamp 1662478139
+transform 1 0 -7104 0 1 -5991
+box -246 -319 246 319
+use sky130_fd_pr__pfet_01v8_lvt_ER7KZU  XM60
+timestamp 1662478139
+transform 1 0 -4208 0 1 -5441
+box -246 -2281 246 2281
+use sky130_fd_pr__res_high_po_0p35_ZMQPMJ  XR34
+timestamp 1662478139
+transform 1 0 -4655 0 1 -6724
+box -201 -998 201 998
+use sky130_fd_pr__res_xhigh_po_5p73_Q3K92U  XR35
+timestamp 1662478139
+transform 1 0 -5595 0 1 -6532
+box -739 -1190 739 1190
+use sky130_fd_pr__res_xhigh_po_5p73_Q3K92U  XR37
+timestamp 1662478139
+transform 1 0 -5595 0 1 -4152
+box -739 -1190 739 1190
+<< labels >>
+rlabel metal2 -7300 -5650 -7300 -5650 1 vdd
+port 6 n
+rlabel metal3 -7188 -7740 -7188 -7740 5 vss
+port 5 s
+rlabel metal1 -6020 -7760 -6020 -7760 5 vinn
+port 1 s
+rlabel metal1 -7320 -6770 -7320 -6770 7 vref
+port 2 w
+rlabel metal1 -7510 -7320 -7510 -7320 7 vbcm
+port 4 w
+rlabel metal1 -6394 -3420 -6394 -3420 7 vinp
+port 0 w
+rlabel metal1 -7010 -5440 -7010 -5440 7 vcm
+rlabel metal4 -2908 -3070 -2908 -3070 1 vc
+port 3 n
+<< end >>
diff --git a/mag/cons.mag b/mag/cons.mag
new file mode 100644
index 0000000..898fba8
--- /dev/null
+++ b/mag/cons.mag
@@ -0,0 +1,3973 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662412052
+<< pwell >>
+rect 53403 -7310 53408 -7308
+<< ndiff >>
+rect 53403 -7310 53408 -7308
+<< viali >>
+rect 48286 -5236 48458 -5178
+rect 49920 -5280 66568 -5188
+rect 68070 -5236 68242 -5178
+rect 50820 -6540 50880 -6480
+rect 65440 -6540 65500 -6480
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+<< metal1 >>
+rect 48274 -5178 48470 -5172
+rect 46790 -6250 46800 -5230
+rect 47130 -6250 47140 -5230
+rect 48274 -5236 48286 -5178
+rect 48458 -5236 48470 -5178
+rect 68058 -5178 68254 -5172
+rect 48274 -5242 48470 -5236
+rect 49908 -5188 66580 -5182
+rect 49908 -5280 49920 -5188
+rect 66568 -5280 66580 -5188
+rect 68058 -5236 68070 -5178
+rect 68242 -5236 68254 -5178
+rect 68058 -5242 68254 -5236
+rect 49908 -5286 66580 -5280
+rect 48190 -5710 48550 -5300
+rect 49880 -5400 66630 -5370
+rect 49880 -5680 49910 -5400
+rect 50077 -5494 50087 -5442
+rect 50139 -5494 50149 -5442
+rect 50267 -5493 50277 -5441
+rect 50329 -5493 50339 -5441
+rect 50460 -5496 50470 -5444
+rect 50522 -5496 50532 -5444
+rect 50652 -5491 50662 -5439
+rect 50714 -5491 50724 -5439
+rect 50844 -5495 50854 -5443
+rect 50906 -5495 50916 -5443
+rect 51036 -5490 51046 -5438
+rect 51098 -5490 51108 -5438
+rect 51228 -5491 51238 -5439
+rect 51290 -5491 51300 -5439
+rect 51418 -5490 51428 -5438
+rect 51480 -5490 51490 -5438
+rect 51612 -5501 51622 -5449
+rect 51674 -5501 51684 -5449
+rect 51801 -5499 51811 -5447
+rect 51863 -5499 51873 -5447
+rect 51991 -5499 52001 -5447
+rect 52053 -5499 52063 -5447
+rect 52190 -5500 52200 -5448
+rect 52252 -5500 52262 -5448
+rect 52382 -5499 52392 -5447
+rect 52444 -5499 52454 -5447
+rect 52572 -5500 52582 -5448
+rect 52634 -5500 52644 -5448
+rect 52764 -5493 52774 -5441
+rect 52826 -5493 52836 -5441
+rect 52956 -5495 52966 -5443
+rect 53018 -5495 53028 -5443
+rect 53149 -5494 53159 -5442
+rect 53211 -5494 53221 -5442
+rect 53341 -5492 53351 -5440
+rect 53403 -5492 53413 -5440
+rect 53532 -5492 53542 -5440
+rect 53594 -5492 53604 -5440
+rect 53726 -5497 53736 -5445
+rect 53788 -5497 53798 -5445
+rect 53917 -5493 53927 -5441
+rect 53979 -5493 53989 -5441
+rect 54109 -5489 54119 -5437
+rect 54171 -5489 54181 -5437
+rect 54302 -5493 54312 -5441
+rect 54364 -5493 54374 -5441
+rect 54494 -5493 54504 -5441
+rect 54556 -5493 54566 -5441
+rect 54687 -5494 54697 -5442
+rect 54749 -5494 54759 -5442
+rect 54879 -5492 54889 -5440
+rect 54941 -5492 54951 -5440
+rect 55070 -5491 55080 -5439
+rect 55132 -5491 55142 -5439
+rect 55261 -5490 55271 -5438
+rect 55323 -5490 55333 -5438
+rect 55454 -5490 55464 -5438
+rect 55516 -5490 55526 -5438
+rect 55645 -5499 55655 -5447
+rect 55707 -5499 55717 -5447
+rect 55836 -5500 55846 -5448
+rect 55898 -5500 55908 -5448
+rect 56026 -5501 56036 -5449
+rect 56088 -5501 56098 -5449
+rect 56220 -5505 56230 -5453
+rect 56282 -5505 56292 -5453
+rect 56412 -5507 56422 -5455
+rect 56474 -5507 56484 -5455
+rect 56606 -5506 56616 -5454
+rect 56668 -5506 56678 -5454
+rect 56798 -5503 56808 -5451
+rect 56860 -5503 56870 -5451
+rect 56987 -5500 56997 -5448
+rect 57049 -5500 57059 -5448
+rect 57181 -5498 57191 -5446
+rect 57243 -5498 57253 -5446
+rect 57374 -5499 57384 -5447
+rect 57436 -5499 57446 -5447
+rect 57567 -5500 57577 -5448
+rect 57629 -5500 57639 -5448
+rect 57756 -5500 57766 -5448
+rect 57818 -5500 57828 -5448
+rect 57949 -5500 57959 -5448
+rect 58011 -5500 58021 -5448
+rect 58141 -5500 58151 -5448
+rect 58203 -5500 58213 -5448
+rect 58332 -5500 58342 -5448
+rect 58394 -5500 58404 -5448
+rect 58525 -5500 58535 -5448
+rect 58587 -5500 58597 -5448
+rect 58716 -5499 58726 -5447
+rect 58778 -5499 58788 -5447
+rect 58911 -5500 58921 -5448
+rect 58973 -5500 58983 -5448
+rect 59100 -5500 59110 -5448
+rect 59162 -5500 59172 -5448
+rect 59293 -5500 59303 -5448
+rect 59355 -5500 59365 -5448
+rect 59485 -5500 59495 -5448
+rect 59547 -5500 59557 -5448
+rect 59676 -5500 59686 -5448
+rect 59738 -5500 59748 -5448
+rect 59868 -5500 59878 -5448
+rect 59930 -5500 59940 -5448
+rect 60061 -5500 60071 -5448
+rect 60123 -5500 60133 -5448
+rect 60250 -5501 60260 -5449
+rect 60312 -5501 60322 -5449
+rect 60444 -5500 60454 -5448
+rect 60506 -5500 60516 -5448
+rect 60635 -5500 60645 -5448
+rect 60697 -5500 60707 -5448
+rect 60828 -5500 60838 -5448
+rect 60890 -5500 60900 -5448
+rect 61020 -5500 61030 -5448
+rect 61082 -5500 61092 -5448
+rect 61212 -5501 61222 -5449
+rect 61274 -5501 61284 -5449
+rect 61405 -5499 61415 -5447
+rect 61467 -5499 61477 -5447
+rect 61595 -5499 61605 -5447
+rect 61657 -5499 61667 -5447
+rect 61788 -5501 61798 -5449
+rect 61850 -5501 61860 -5449
+rect 61980 -5500 61990 -5448
+rect 62042 -5500 62052 -5448
+rect 62173 -5499 62183 -5447
+rect 62235 -5499 62245 -5447
+rect 62364 -5499 62374 -5447
+rect 62426 -5499 62436 -5447
+rect 62556 -5500 62566 -5448
+rect 62618 -5500 62628 -5448
+rect 62747 -5500 62757 -5448
+rect 62809 -5500 62819 -5448
+rect 62939 -5500 62949 -5448
+rect 63001 -5500 63011 -5448
+rect 63133 -5499 63143 -5447
+rect 63195 -5499 63205 -5447
+rect 63325 -5500 63335 -5448
+rect 63387 -5500 63397 -5448
+rect 63515 -5501 63525 -5449
+rect 63577 -5501 63587 -5449
+rect 63706 -5502 63716 -5450
+rect 63768 -5502 63778 -5450
+rect 63899 -5500 63909 -5448
+rect 63961 -5500 63971 -5448
+rect 64092 -5499 64102 -5447
+rect 64154 -5499 64164 -5447
+rect 64285 -5500 64295 -5448
+rect 64347 -5500 64357 -5448
+rect 64476 -5500 64486 -5448
+rect 64538 -5500 64548 -5448
+rect 64667 -5500 64677 -5448
+rect 64729 -5500 64739 -5448
+rect 64860 -5500 64870 -5448
+rect 64922 -5500 64932 -5448
+rect 65053 -5500 65063 -5448
+rect 65115 -5500 65125 -5448
+rect 65244 -5500 65254 -5448
+rect 65306 -5500 65316 -5448
+rect 65435 -5499 65445 -5447
+rect 65497 -5499 65507 -5447
+rect 65626 -5499 65636 -5447
+rect 65688 -5499 65698 -5447
+rect 65819 -5500 65829 -5448
+rect 65881 -5500 65891 -5448
+rect 66013 -5500 66023 -5448
+rect 66075 -5500 66085 -5448
+rect 66201 -5501 66211 -5449
+rect 66263 -5501 66273 -5449
+rect 66395 -5509 66405 -5457
+rect 66457 -5509 66467 -5457
+rect 49981 -5637 49991 -5585
+rect 50043 -5637 50053 -5585
+rect 50172 -5639 50182 -5587
+rect 50234 -5639 50244 -5587
+rect 50364 -5638 50374 -5586
+rect 50426 -5638 50436 -5586
+rect 50556 -5641 50566 -5589
+rect 50618 -5641 50628 -5589
+rect 50750 -5640 50760 -5588
+rect 50812 -5640 50822 -5588
+rect 50940 -5640 50950 -5588
+rect 51002 -5640 51012 -5588
+rect 51135 -5638 51145 -5586
+rect 51197 -5638 51207 -5586
+rect 51326 -5637 51336 -5585
+rect 51388 -5637 51398 -5585
+rect 51521 -5639 51531 -5587
+rect 51583 -5639 51593 -5587
+rect 51711 -5639 51721 -5587
+rect 51773 -5639 51783 -5587
+rect 51901 -5638 51911 -5586
+rect 51963 -5638 51973 -5586
+rect 52094 -5637 52104 -5585
+rect 52156 -5637 52166 -5585
+rect 52285 -5637 52295 -5585
+rect 52347 -5637 52357 -5585
+rect 52481 -5639 52491 -5587
+rect 52543 -5639 52553 -5587
+rect 52670 -5639 52680 -5587
+rect 52732 -5639 52742 -5587
+rect 52864 -5639 52874 -5587
+rect 52926 -5639 52936 -5587
+rect 53053 -5639 53063 -5587
+rect 53115 -5639 53125 -5587
+rect 53245 -5640 53255 -5588
+rect 53307 -5640 53317 -5588
+rect 53438 -5640 53448 -5588
+rect 53500 -5640 53510 -5588
+rect 53629 -5640 53639 -5588
+rect 53691 -5640 53701 -5588
+rect 53821 -5639 53831 -5587
+rect 53883 -5639 53893 -5587
+rect 54013 -5640 54023 -5588
+rect 54075 -5640 54085 -5588
+rect 54206 -5640 54216 -5588
+rect 54268 -5640 54278 -5588
+rect 54397 -5640 54407 -5588
+rect 54459 -5640 54469 -5588
+rect 54589 -5639 54599 -5587
+rect 54651 -5639 54661 -5587
+rect 54782 -5640 54792 -5588
+rect 54844 -5640 54854 -5588
+rect 54974 -5640 54984 -5588
+rect 55036 -5640 55046 -5588
+rect 55165 -5640 55175 -5588
+rect 55227 -5640 55237 -5588
+rect 55356 -5640 55366 -5588
+rect 55418 -5640 55428 -5588
+rect 55548 -5640 55558 -5588
+rect 55610 -5640 55620 -5588
+rect 55741 -5639 55751 -5587
+rect 55803 -5639 55813 -5587
+rect 55932 -5639 55942 -5587
+rect 55994 -5639 56004 -5587
+rect 56126 -5640 56136 -5588
+rect 56188 -5640 56198 -5588
+rect 56318 -5640 56328 -5588
+rect 56380 -5640 56390 -5588
+rect 56510 -5640 56520 -5588
+rect 56572 -5640 56582 -5588
+rect 56702 -5640 56712 -5588
+rect 56764 -5640 56774 -5588
+rect 56894 -5640 56904 -5588
+rect 56956 -5640 56966 -5588
+rect 57086 -5640 57096 -5588
+rect 57148 -5640 57158 -5588
+rect 57278 -5640 57288 -5588
+rect 57340 -5640 57350 -5588
+rect 57469 -5640 57479 -5588
+rect 57531 -5640 57541 -5588
+rect 57661 -5640 57671 -5588
+rect 57723 -5640 57733 -5588
+rect 57854 -5640 57864 -5588
+rect 57916 -5640 57926 -5588
+rect 58046 -5640 58056 -5588
+rect 58108 -5640 58118 -5588
+rect 58238 -5640 58248 -5588
+rect 58300 -5640 58310 -5588
+rect 58429 -5640 58439 -5588
+rect 58491 -5640 58501 -5588
+rect 58621 -5640 58631 -5588
+rect 58683 -5640 58693 -5588
+rect 58812 -5640 58822 -5588
+rect 58874 -5640 58884 -5588
+rect 59003 -5640 59013 -5588
+rect 59065 -5640 59075 -5588
+rect 59197 -5640 59207 -5588
+rect 59259 -5640 59269 -5588
+rect 59389 -5640 59399 -5588
+rect 59451 -5640 59461 -5588
+rect 59582 -5639 59592 -5587
+rect 59644 -5639 59654 -5587
+rect 59773 -5640 59783 -5588
+rect 59835 -5640 59845 -5588
+rect 59965 -5640 59975 -5588
+rect 60027 -5640 60037 -5588
+rect 60158 -5640 60168 -5588
+rect 60220 -5640 60230 -5588
+rect 60350 -5640 60360 -5588
+rect 60412 -5640 60422 -5588
+rect 60543 -5639 60553 -5587
+rect 60605 -5639 60615 -5587
+rect 60734 -5640 60744 -5588
+rect 60796 -5640 60806 -5588
+rect 60926 -5640 60936 -5588
+rect 60988 -5640 60998 -5588
+rect 61117 -5640 61127 -5588
+rect 61179 -5640 61189 -5588
+rect 61309 -5640 61319 -5588
+rect 61371 -5640 61381 -5588
+rect 61501 -5640 61511 -5588
+rect 61563 -5640 61573 -5588
+rect 61694 -5640 61704 -5588
+rect 61756 -5640 61766 -5588
+rect 61885 -5640 61895 -5588
+rect 61947 -5640 61957 -5588
+rect 62077 -5640 62087 -5588
+rect 62139 -5640 62149 -5588
+rect 62269 -5639 62279 -5587
+rect 62331 -5639 62341 -5587
+rect 62461 -5640 62471 -5588
+rect 62523 -5640 62533 -5588
+rect 62651 -5640 62661 -5588
+rect 62713 -5640 62723 -5588
+rect 62845 -5640 62855 -5588
+rect 62907 -5640 62917 -5588
+rect 63037 -5639 63047 -5587
+rect 63099 -5639 63109 -5587
+rect 63228 -5640 63238 -5588
+rect 63290 -5640 63300 -5588
+rect 63421 -5640 63431 -5588
+rect 63483 -5640 63493 -5588
+rect 63612 -5639 63622 -5587
+rect 63674 -5639 63684 -5587
+rect 63804 -5640 63814 -5588
+rect 63866 -5640 63876 -5588
+rect 63995 -5640 64005 -5588
+rect 64057 -5640 64067 -5588
+rect 64189 -5639 64199 -5587
+rect 64251 -5639 64261 -5587
+rect 64380 -5640 64390 -5588
+rect 64442 -5640 64452 -5588
+rect 64572 -5640 64582 -5588
+rect 64634 -5640 64644 -5588
+rect 64764 -5640 64774 -5588
+rect 64826 -5640 64836 -5588
+rect 64956 -5640 64966 -5588
+rect 65018 -5640 65028 -5588
+rect 65149 -5640 65159 -5588
+rect 65211 -5640 65221 -5588
+rect 65340 -5640 65350 -5588
+rect 65402 -5640 65412 -5588
+rect 65533 -5639 65543 -5587
+rect 65595 -5639 65605 -5587
+rect 65724 -5640 65734 -5588
+rect 65786 -5640 65796 -5588
+rect 65917 -5640 65927 -5588
+rect 65979 -5640 65989 -5588
+rect 66108 -5640 66118 -5588
+rect 66170 -5640 66180 -5588
+rect 66299 -5638 66309 -5586
+rect 66361 -5638 66371 -5586
+rect 66494 -5640 66504 -5588
+rect 66556 -5640 66566 -5588
+rect 66600 -5680 66630 -5400
+rect 49420 -5750 49430 -5698
+rect 49482 -5750 49492 -5698
+rect 49880 -5710 66630 -5680
+rect 66910 -5750 66920 -5698
+rect 66972 -5750 66982 -5698
+rect 68006 -5710 68374 -5302
+rect 51860 -6020 57940 -5990
+rect 50960 -6340 51340 -6280
+rect 51860 -6300 51890 -6020
+rect 52037 -6123 52047 -6071
+rect 52099 -6123 52109 -6071
+rect 52227 -6122 52237 -6070
+rect 52289 -6122 52299 -6070
+rect 52419 -6121 52429 -6069
+rect 52481 -6121 52491 -6069
+rect 52610 -6121 52620 -6069
+rect 52672 -6121 52682 -6069
+rect 52804 -6121 52814 -6069
+rect 52866 -6121 52876 -6069
+rect 52994 -6122 53004 -6070
+rect 53056 -6122 53066 -6070
+rect 53188 -6121 53198 -6069
+rect 53250 -6121 53260 -6069
+rect 53380 -6121 53390 -6069
+rect 53442 -6121 53452 -6069
+rect 53571 -6122 53581 -6070
+rect 53633 -6122 53643 -6070
+rect 53766 -6122 53776 -6070
+rect 53828 -6122 53838 -6070
+rect 53956 -6122 53966 -6070
+rect 54018 -6122 54028 -6070
+rect 54147 -6122 54157 -6070
+rect 54209 -6122 54219 -6070
+rect 54339 -6122 54349 -6070
+rect 54401 -6122 54411 -6070
+rect 54532 -6121 54542 -6069
+rect 54594 -6121 54604 -6069
+rect 54724 -6122 54734 -6070
+rect 54786 -6122 54796 -6070
+rect 54916 -6122 54926 -6070
+rect 54978 -6122 54988 -6070
+rect 55109 -6122 55119 -6070
+rect 55171 -6122 55181 -6070
+rect 55300 -6121 55310 -6069
+rect 55362 -6121 55372 -6069
+rect 55492 -6122 55502 -6070
+rect 55554 -6122 55564 -6070
+rect 55684 -6121 55694 -6069
+rect 55746 -6121 55756 -6069
+rect 55876 -6121 55886 -6069
+rect 55938 -6121 55948 -6069
+rect 56067 -6122 56077 -6070
+rect 56129 -6122 56139 -6070
+rect 56260 -6121 56270 -6069
+rect 56322 -6121 56332 -6069
+rect 56452 -6121 56462 -6069
+rect 56514 -6121 56524 -6069
+rect 56646 -6122 56656 -6070
+rect 56708 -6122 56718 -6070
+rect 56837 -6123 56847 -6071
+rect 56899 -6123 56909 -6071
+rect 57026 -6122 57036 -6070
+rect 57088 -6122 57098 -6070
+rect 57219 -6122 57229 -6070
+rect 57281 -6122 57291 -6070
+rect 57412 -6122 57422 -6070
+rect 57474 -6122 57484 -6070
+rect 57603 -6121 57613 -6069
+rect 57665 -6121 57675 -6069
+rect 57794 -6121 57804 -6069
+rect 57856 -6121 57866 -6069
+rect 51939 -6262 51949 -6210
+rect 52001 -6262 52011 -6210
+rect 52131 -6262 52141 -6210
+rect 52193 -6262 52203 -6210
+rect 52324 -6262 52334 -6210
+rect 52386 -6262 52396 -6210
+rect 52516 -6262 52526 -6210
+rect 52578 -6262 52588 -6210
+rect 52709 -6262 52719 -6210
+rect 52771 -6262 52781 -6210
+rect 52901 -6261 52911 -6209
+rect 52963 -6261 52973 -6209
+rect 53092 -6262 53102 -6210
+rect 53154 -6262 53164 -6210
+rect 53284 -6262 53294 -6210
+rect 53346 -6262 53356 -6210
+rect 53476 -6261 53486 -6209
+rect 53538 -6261 53548 -6209
+rect 53668 -6261 53678 -6209
+rect 53730 -6261 53740 -6209
+rect 53860 -6262 53870 -6210
+rect 53922 -6262 53932 -6210
+rect 54052 -6261 54062 -6209
+rect 54114 -6261 54124 -6209
+rect 54244 -6262 54254 -6210
+rect 54306 -6262 54316 -6210
+rect 54435 -6261 54445 -6209
+rect 54497 -6261 54507 -6209
+rect 54629 -6262 54639 -6210
+rect 54691 -6262 54701 -6210
+rect 54819 -6262 54829 -6210
+rect 54881 -6262 54891 -6210
+rect 55011 -6262 55021 -6210
+rect 55073 -6262 55083 -6210
+rect 55204 -6262 55214 -6210
+rect 55266 -6262 55276 -6210
+rect 55395 -6262 55405 -6210
+rect 55457 -6262 55467 -6210
+rect 55588 -6261 55598 -6209
+rect 55650 -6261 55660 -6209
+rect 55781 -6262 55791 -6210
+rect 55843 -6262 55853 -6210
+rect 55972 -6262 55982 -6210
+rect 56034 -6262 56044 -6210
+rect 56165 -6262 56175 -6210
+rect 56227 -6262 56237 -6210
+rect 56355 -6262 56365 -6210
+rect 56417 -6262 56427 -6210
+rect 56549 -6261 56559 -6209
+rect 56611 -6261 56621 -6209
+rect 56738 -6262 56748 -6210
+rect 56800 -6262 56810 -6210
+rect 56931 -6262 56941 -6210
+rect 56993 -6262 57003 -6210
+rect 57124 -6262 57134 -6210
+rect 57186 -6262 57196 -6210
+rect 57314 -6262 57324 -6210
+rect 57376 -6262 57386 -6210
+rect 57507 -6261 57517 -6209
+rect 57569 -6261 57579 -6209
+rect 57696 -6261 57706 -6209
+rect 57758 -6261 57768 -6209
+rect 57910 -6300 57940 -6020
+rect 51860 -6310 57940 -6300
+rect 51860 -6320 57800 -6310
+rect 51860 -6330 52040 -6320
+rect 50808 -6480 50892 -6474
+rect 50808 -6540 50820 -6480
+rect 50880 -6540 50892 -6480
+rect 50960 -6540 51020 -6340
+rect 51120 -6442 51130 -6390
+rect 51182 -6442 51192 -6390
+rect 51280 -6480 51340 -6340
+rect 52030 -6380 52040 -6330
+rect 52100 -6330 57800 -6320
+rect 52100 -6380 52110 -6330
+rect 57790 -6370 57800 -6330
+rect 57860 -6330 57940 -6310
+rect 58070 -6020 64130 -5990
+rect 58070 -6300 58100 -6020
+rect 58231 -6122 58241 -6070
+rect 58293 -6122 58303 -6070
+rect 58421 -6121 58431 -6069
+rect 58483 -6121 58493 -6069
+rect 58613 -6120 58623 -6068
+rect 58675 -6120 58685 -6068
+rect 58804 -6120 58814 -6068
+rect 58866 -6120 58876 -6068
+rect 58998 -6120 59008 -6068
+rect 59060 -6120 59070 -6068
+rect 59188 -6121 59198 -6069
+rect 59250 -6121 59260 -6069
+rect 59382 -6120 59392 -6068
+rect 59444 -6120 59454 -6068
+rect 59574 -6120 59584 -6068
+rect 59636 -6120 59646 -6068
+rect 59765 -6121 59775 -6069
+rect 59827 -6121 59837 -6069
+rect 59960 -6121 59970 -6069
+rect 60022 -6121 60032 -6069
+rect 60150 -6121 60160 -6069
+rect 60212 -6121 60222 -6069
+rect 60341 -6121 60351 -6069
+rect 60403 -6121 60413 -6069
+rect 60533 -6121 60543 -6069
+rect 60595 -6121 60605 -6069
+rect 60726 -6120 60736 -6068
+rect 60788 -6120 60798 -6068
+rect 60918 -6121 60928 -6069
+rect 60980 -6121 60990 -6069
+rect 61110 -6121 61120 -6069
+rect 61172 -6121 61182 -6069
+rect 61303 -6121 61313 -6069
+rect 61365 -6121 61375 -6069
+rect 61494 -6120 61504 -6068
+rect 61556 -6120 61566 -6068
+rect 61686 -6121 61696 -6069
+rect 61748 -6121 61758 -6069
+rect 61878 -6120 61888 -6068
+rect 61940 -6120 61950 -6068
+rect 62070 -6120 62080 -6068
+rect 62132 -6120 62142 -6068
+rect 62261 -6121 62271 -6069
+rect 62323 -6121 62333 -6069
+rect 62454 -6120 62464 -6068
+rect 62516 -6120 62526 -6068
+rect 62646 -6120 62656 -6068
+rect 62708 -6120 62718 -6068
+rect 62840 -6121 62850 -6069
+rect 62902 -6121 62912 -6069
+rect 63031 -6122 63041 -6070
+rect 63093 -6122 63103 -6070
+rect 63220 -6121 63230 -6069
+rect 63282 -6121 63292 -6069
+rect 63413 -6121 63423 -6069
+rect 63475 -6121 63485 -6069
+rect 63606 -6121 63616 -6069
+rect 63668 -6121 63678 -6069
+rect 63797 -6120 63807 -6068
+rect 63859 -6120 63869 -6068
+rect 63988 -6120 63998 -6068
+rect 64050 -6120 64060 -6068
+rect 58133 -6261 58143 -6209
+rect 58195 -6261 58205 -6209
+rect 58325 -6261 58335 -6209
+rect 58387 -6261 58397 -6209
+rect 58518 -6261 58528 -6209
+rect 58580 -6261 58590 -6209
+rect 58710 -6261 58720 -6209
+rect 58772 -6261 58782 -6209
+rect 58903 -6261 58913 -6209
+rect 58965 -6261 58975 -6209
+rect 59095 -6260 59105 -6208
+rect 59157 -6260 59167 -6208
+rect 59286 -6261 59296 -6209
+rect 59348 -6261 59358 -6209
+rect 59478 -6261 59488 -6209
+rect 59540 -6261 59550 -6209
+rect 59670 -6260 59680 -6208
+rect 59732 -6260 59742 -6208
+rect 59862 -6260 59872 -6208
+rect 59924 -6260 59934 -6208
+rect 60054 -6261 60064 -6209
+rect 60116 -6261 60126 -6209
+rect 60246 -6260 60256 -6208
+rect 60308 -6260 60318 -6208
+rect 60438 -6261 60448 -6209
+rect 60500 -6261 60510 -6209
+rect 60629 -6260 60639 -6208
+rect 60691 -6260 60701 -6208
+rect 60823 -6261 60833 -6209
+rect 60885 -6261 60895 -6209
+rect 61013 -6261 61023 -6209
+rect 61075 -6261 61085 -6209
+rect 61205 -6261 61215 -6209
+rect 61267 -6261 61277 -6209
+rect 61398 -6261 61408 -6209
+rect 61460 -6261 61470 -6209
+rect 61589 -6261 61599 -6209
+rect 61651 -6261 61661 -6209
+rect 61782 -6260 61792 -6208
+rect 61844 -6260 61854 -6208
+rect 61975 -6261 61985 -6209
+rect 62037 -6261 62047 -6209
+rect 62166 -6261 62176 -6209
+rect 62228 -6261 62238 -6209
+rect 62359 -6261 62369 -6209
+rect 62421 -6261 62431 -6209
+rect 62549 -6261 62559 -6209
+rect 62611 -6261 62621 -6209
+rect 62743 -6260 62753 -6208
+rect 62805 -6260 62815 -6208
+rect 62932 -6261 62942 -6209
+rect 62994 -6261 63004 -6209
+rect 63125 -6261 63135 -6209
+rect 63187 -6261 63197 -6209
+rect 63318 -6261 63328 -6209
+rect 63380 -6261 63390 -6209
+rect 63508 -6261 63518 -6209
+rect 63570 -6261 63580 -6209
+rect 63701 -6260 63711 -6208
+rect 63763 -6260 63773 -6208
+rect 63890 -6260 63900 -6208
+rect 63952 -6260 63962 -6208
+rect 64100 -6300 64130 -6020
+rect 69410 -6260 69420 -5240
+rect 69750 -6260 69760 -5240
+rect 58070 -6310 63990 -6300
+rect 58070 -6330 58230 -6310
+rect 57860 -6370 57870 -6330
+rect 58220 -6370 58230 -6330
+rect 58290 -6330 63990 -6310
+rect 58290 -6370 58300 -6330
+rect 63980 -6360 63990 -6330
+rect 64050 -6330 64130 -6300
+rect 64050 -6360 64060 -6330
+rect 64980 -6340 65360 -6280
+rect 64980 -6480 65040 -6340
+rect 65141 -6442 65151 -6390
+rect 65203 -6442 65213 -6390
+rect 51280 -6540 65040 -6480
+rect 65300 -6540 65360 -6340
+rect 65428 -6480 65512 -6474
+rect 65428 -6540 65440 -6480
+rect 65500 -6540 65512 -6480
+rect 50808 -6546 50892 -6540
+rect 65428 -6546 65512 -6540
+rect 51118 -6627 51128 -6575
+rect 51180 -6627 51190 -6575
+rect 51874 -6640 57930 -6610
+rect 46770 -7750 46780 -6730
+rect 47110 -7750 47120 -6730
+rect 51874 -6920 51904 -6640
+rect 52038 -6741 52048 -6689
+rect 52100 -6741 52110 -6689
+rect 52228 -6740 52238 -6688
+rect 52290 -6740 52300 -6688
+rect 52420 -6739 52430 -6687
+rect 52482 -6739 52492 -6687
+rect 52611 -6739 52621 -6687
+rect 52673 -6739 52683 -6687
+rect 52805 -6739 52815 -6687
+rect 52867 -6739 52877 -6687
+rect 52995 -6740 53005 -6688
+rect 53057 -6740 53067 -6688
+rect 53189 -6739 53199 -6687
+rect 53251 -6739 53261 -6687
+rect 53381 -6739 53391 -6687
+rect 53443 -6739 53453 -6687
+rect 53572 -6740 53582 -6688
+rect 53634 -6740 53644 -6688
+rect 53767 -6740 53777 -6688
+rect 53829 -6740 53839 -6688
+rect 53957 -6740 53967 -6688
+rect 54019 -6740 54029 -6688
+rect 54148 -6740 54158 -6688
+rect 54210 -6740 54220 -6688
+rect 54340 -6740 54350 -6688
+rect 54402 -6740 54412 -6688
+rect 54533 -6739 54543 -6687
+rect 54595 -6739 54605 -6687
+rect 54725 -6740 54735 -6688
+rect 54787 -6740 54797 -6688
+rect 54917 -6740 54927 -6688
+rect 54979 -6740 54989 -6688
+rect 55110 -6740 55120 -6688
+rect 55172 -6740 55182 -6688
+rect 55301 -6739 55311 -6687
+rect 55363 -6739 55373 -6687
+rect 55493 -6740 55503 -6688
+rect 55555 -6740 55565 -6688
+rect 55685 -6739 55695 -6687
+rect 55747 -6739 55757 -6687
+rect 55877 -6739 55887 -6687
+rect 55939 -6739 55949 -6687
+rect 56068 -6740 56078 -6688
+rect 56130 -6740 56140 -6688
+rect 56261 -6739 56271 -6687
+rect 56323 -6739 56333 -6687
+rect 56453 -6739 56463 -6687
+rect 56515 -6739 56525 -6687
+rect 56647 -6740 56657 -6688
+rect 56709 -6740 56719 -6688
+rect 56838 -6741 56848 -6689
+rect 56900 -6741 56910 -6689
+rect 57027 -6740 57037 -6688
+rect 57089 -6740 57099 -6688
+rect 57220 -6740 57230 -6688
+rect 57282 -6740 57292 -6688
+rect 57413 -6740 57423 -6688
+rect 57475 -6740 57485 -6688
+rect 57604 -6739 57614 -6687
+rect 57666 -6739 57676 -6687
+rect 57795 -6739 57805 -6687
+rect 57857 -6739 57867 -6687
+rect 51940 -6880 51950 -6828
+rect 52002 -6880 52012 -6828
+rect 52132 -6880 52142 -6828
+rect 52194 -6880 52204 -6828
+rect 52325 -6880 52335 -6828
+rect 52387 -6880 52397 -6828
+rect 52517 -6880 52527 -6828
+rect 52579 -6880 52589 -6828
+rect 52710 -6880 52720 -6828
+rect 52772 -6880 52782 -6828
+rect 52902 -6879 52912 -6827
+rect 52964 -6879 52974 -6827
+rect 53093 -6880 53103 -6828
+rect 53155 -6880 53165 -6828
+rect 53285 -6880 53295 -6828
+rect 53347 -6880 53357 -6828
+rect 53477 -6879 53487 -6827
+rect 53539 -6879 53549 -6827
+rect 53669 -6879 53679 -6827
+rect 53731 -6879 53741 -6827
+rect 53861 -6880 53871 -6828
+rect 53923 -6880 53933 -6828
+rect 54053 -6879 54063 -6827
+rect 54115 -6879 54125 -6827
+rect 54245 -6880 54255 -6828
+rect 54307 -6880 54317 -6828
+rect 54436 -6879 54446 -6827
+rect 54498 -6879 54508 -6827
+rect 54630 -6880 54640 -6828
+rect 54692 -6880 54702 -6828
+rect 54820 -6880 54830 -6828
+rect 54882 -6880 54892 -6828
+rect 55012 -6880 55022 -6828
+rect 55074 -6880 55084 -6828
+rect 55205 -6880 55215 -6828
+rect 55267 -6880 55277 -6828
+rect 55396 -6880 55406 -6828
+rect 55458 -6880 55468 -6828
+rect 55589 -6879 55599 -6827
+rect 55651 -6879 55661 -6827
+rect 55782 -6880 55792 -6828
+rect 55844 -6880 55854 -6828
+rect 55973 -6880 55983 -6828
+rect 56035 -6880 56045 -6828
+rect 56166 -6880 56176 -6828
+rect 56228 -6880 56238 -6828
+rect 56356 -6880 56366 -6828
+rect 56418 -6880 56428 -6828
+rect 56550 -6879 56560 -6827
+rect 56612 -6879 56622 -6827
+rect 56739 -6880 56749 -6828
+rect 56801 -6880 56811 -6828
+rect 56932 -6880 56942 -6828
+rect 56994 -6880 57004 -6828
+rect 57125 -6880 57135 -6828
+rect 57187 -6880 57197 -6828
+rect 57315 -6880 57325 -6828
+rect 57377 -6880 57387 -6828
+rect 57508 -6879 57518 -6827
+rect 57570 -6879 57580 -6827
+rect 57697 -6879 57707 -6827
+rect 57759 -6879 57769 -6827
+rect 57900 -6920 57930 -6640
+rect 51874 -6950 57930 -6920
+rect 58070 -6640 64114 -6610
+rect 65139 -6628 65149 -6576
+rect 65201 -6628 65211 -6576
+rect 58070 -6920 58100 -6640
+rect 58226 -6740 58236 -6688
+rect 58288 -6740 58298 -6688
+rect 58416 -6739 58426 -6687
+rect 58478 -6739 58488 -6687
+rect 58608 -6738 58618 -6686
+rect 58670 -6738 58680 -6686
+rect 58799 -6738 58809 -6686
+rect 58861 -6738 58871 -6686
+rect 58993 -6738 59003 -6686
+rect 59055 -6738 59065 -6686
+rect 59183 -6739 59193 -6687
+rect 59245 -6739 59255 -6687
+rect 59377 -6738 59387 -6686
+rect 59439 -6738 59449 -6686
+rect 59569 -6738 59579 -6686
+rect 59631 -6738 59641 -6686
+rect 59760 -6739 59770 -6687
+rect 59822 -6739 59832 -6687
+rect 59955 -6739 59965 -6687
+rect 60017 -6739 60027 -6687
+rect 60145 -6739 60155 -6687
+rect 60207 -6739 60217 -6687
+rect 60336 -6739 60346 -6687
+rect 60398 -6739 60408 -6687
+rect 60528 -6739 60538 -6687
+rect 60590 -6739 60600 -6687
+rect 60721 -6738 60731 -6686
+rect 60783 -6738 60793 -6686
+rect 60913 -6739 60923 -6687
+rect 60975 -6739 60985 -6687
+rect 61105 -6739 61115 -6687
+rect 61167 -6739 61177 -6687
+rect 61298 -6739 61308 -6687
+rect 61360 -6739 61370 -6687
+rect 61489 -6738 61499 -6686
+rect 61551 -6738 61561 -6686
+rect 61681 -6739 61691 -6687
+rect 61743 -6739 61753 -6687
+rect 61873 -6738 61883 -6686
+rect 61935 -6738 61945 -6686
+rect 62065 -6738 62075 -6686
+rect 62127 -6738 62137 -6686
+rect 62256 -6739 62266 -6687
+rect 62318 -6739 62328 -6687
+rect 62449 -6738 62459 -6686
+rect 62511 -6738 62521 -6686
+rect 62641 -6738 62651 -6686
+rect 62703 -6738 62713 -6686
+rect 62835 -6739 62845 -6687
+rect 62897 -6739 62907 -6687
+rect 63026 -6740 63036 -6688
+rect 63088 -6740 63098 -6688
+rect 63215 -6739 63225 -6687
+rect 63277 -6739 63287 -6687
+rect 63408 -6739 63418 -6687
+rect 63470 -6739 63480 -6687
+rect 63601 -6739 63611 -6687
+rect 63663 -6739 63673 -6687
+rect 63792 -6738 63802 -6686
+rect 63854 -6738 63864 -6686
+rect 63983 -6738 63993 -6686
+rect 64045 -6738 64055 -6686
+rect 58130 -6879 58138 -6827
+rect 58190 -6879 58200 -6827
+rect 58320 -6879 58330 -6827
+rect 58382 -6879 58392 -6827
+rect 58513 -6879 58523 -6827
+rect 58575 -6879 58585 -6827
+rect 58705 -6879 58715 -6827
+rect 58767 -6879 58777 -6827
+rect 58898 -6879 58908 -6827
+rect 58960 -6879 58970 -6827
+rect 59090 -6878 59100 -6826
+rect 59152 -6878 59162 -6826
+rect 59281 -6879 59291 -6827
+rect 59343 -6879 59353 -6827
+rect 59473 -6879 59483 -6827
+rect 59535 -6879 59545 -6827
+rect 59665 -6878 59675 -6826
+rect 59727 -6878 59737 -6826
+rect 59857 -6878 59867 -6826
+rect 59919 -6878 59929 -6826
+rect 60049 -6879 60059 -6827
+rect 60111 -6879 60121 -6827
+rect 60241 -6878 60251 -6826
+rect 60303 -6878 60313 -6826
+rect 60433 -6879 60443 -6827
+rect 60495 -6879 60505 -6827
+rect 60624 -6878 60634 -6826
+rect 60686 -6878 60696 -6826
+rect 60818 -6879 60828 -6827
+rect 60880 -6879 60890 -6827
+rect 61008 -6879 61018 -6827
+rect 61070 -6879 61080 -6827
+rect 61200 -6879 61210 -6827
+rect 61262 -6879 61272 -6827
+rect 61393 -6879 61403 -6827
+rect 61455 -6879 61465 -6827
+rect 61584 -6879 61594 -6827
+rect 61646 -6879 61656 -6827
+rect 61777 -6878 61787 -6826
+rect 61839 -6878 61849 -6826
+rect 61970 -6879 61980 -6827
+rect 62032 -6879 62042 -6827
+rect 62161 -6879 62171 -6827
+rect 62223 -6879 62233 -6827
+rect 62354 -6879 62364 -6827
+rect 62416 -6879 62426 -6827
+rect 62544 -6879 62554 -6827
+rect 62606 -6879 62616 -6827
+rect 62738 -6878 62748 -6826
+rect 62800 -6878 62810 -6826
+rect 62927 -6879 62937 -6827
+rect 62989 -6879 62999 -6827
+rect 63120 -6879 63130 -6827
+rect 63182 -6879 63192 -6827
+rect 63313 -6879 63323 -6827
+rect 63375 -6879 63385 -6827
+rect 63503 -6879 63513 -6827
+rect 63565 -6879 63575 -6827
+rect 63696 -6878 63706 -6826
+rect 63758 -6878 63768 -6826
+rect 63885 -6878 63895 -6826
+rect 63947 -6878 63957 -6826
+rect 64084 -6920 64114 -6640
+rect 58070 -6950 64114 -6920
+rect 48190 -7640 48550 -7240
+rect 49420 -7250 49430 -7198
+rect 49482 -7250 49492 -7198
+rect 49890 -7260 66624 -7230
+rect 66900 -7250 66910 -7198
+rect 66962 -7250 66972 -7198
+rect 49890 -7540 49920 -7260
+rect 50077 -7354 50087 -7302
+rect 50139 -7354 50149 -7302
+rect 50267 -7353 50277 -7301
+rect 50329 -7353 50339 -7301
+rect 50460 -7356 50470 -7304
+rect 50522 -7356 50532 -7304
+rect 50652 -7351 50662 -7299
+rect 50714 -7351 50724 -7299
+rect 50844 -7355 50854 -7303
+rect 50906 -7355 50916 -7303
+rect 51036 -7350 51046 -7298
+rect 51098 -7350 51108 -7298
+rect 51228 -7351 51238 -7299
+rect 51290 -7351 51300 -7299
+rect 51418 -7350 51428 -7298
+rect 51480 -7350 51490 -7298
+rect 51612 -7361 51622 -7309
+rect 51674 -7361 51684 -7309
+rect 51801 -7359 51811 -7307
+rect 51863 -7359 51873 -7307
+rect 51991 -7359 52001 -7307
+rect 52053 -7359 52063 -7307
+rect 52190 -7360 52200 -7308
+rect 52252 -7360 52262 -7308
+rect 52382 -7359 52392 -7307
+rect 52444 -7359 52454 -7307
+rect 52572 -7360 52582 -7308
+rect 52634 -7360 52644 -7308
+rect 52764 -7353 52774 -7301
+rect 52826 -7353 52836 -7301
+rect 52956 -7303 53028 -7298
+rect 52956 -7355 52966 -7303
+rect 53018 -7355 53028 -7303
+rect 53149 -7354 53159 -7302
+rect 53211 -7354 53221 -7302
+rect 53341 -7352 53351 -7300
+rect 53403 -7352 53413 -7300
+rect 53532 -7352 53542 -7300
+rect 53594 -7352 53604 -7300
+rect 53726 -7357 53736 -7305
+rect 53788 -7357 53798 -7305
+rect 53917 -7353 53927 -7301
+rect 53979 -7353 53989 -7301
+rect 54109 -7349 54119 -7297
+rect 54171 -7349 54181 -7297
+rect 54302 -7353 54312 -7301
+rect 54364 -7353 54374 -7301
+rect 54494 -7353 54504 -7301
+rect 54556 -7353 54566 -7301
+rect 54687 -7354 54697 -7302
+rect 54749 -7354 54759 -7302
+rect 54879 -7352 54889 -7300
+rect 54941 -7352 54951 -7300
+rect 55070 -7351 55080 -7299
+rect 55132 -7351 55142 -7299
+rect 55261 -7350 55271 -7298
+rect 55323 -7350 55333 -7298
+rect 55454 -7350 55464 -7298
+rect 55516 -7350 55526 -7298
+rect 55645 -7359 55655 -7307
+rect 55707 -7359 55717 -7307
+rect 55836 -7360 55846 -7308
+rect 55898 -7360 55908 -7308
+rect 56026 -7361 56036 -7309
+rect 56088 -7361 56098 -7309
+rect 56220 -7365 56230 -7313
+rect 56282 -7365 56292 -7313
+rect 56412 -7367 56422 -7315
+rect 56474 -7367 56484 -7315
+rect 56606 -7366 56616 -7314
+rect 56668 -7366 56678 -7314
+rect 56798 -7363 56808 -7311
+rect 56860 -7363 56870 -7311
+rect 56987 -7360 56997 -7308
+rect 57049 -7360 57059 -7308
+rect 57181 -7358 57191 -7306
+rect 57243 -7358 57253 -7306
+rect 57374 -7359 57384 -7307
+rect 57436 -7359 57446 -7307
+rect 57567 -7360 57577 -7308
+rect 57629 -7360 57639 -7308
+rect 57756 -7360 57766 -7308
+rect 57818 -7360 57828 -7308
+rect 57949 -7360 57959 -7308
+rect 58011 -7360 58021 -7308
+rect 58141 -7360 58151 -7308
+rect 58203 -7360 58213 -7308
+rect 58332 -7360 58342 -7308
+rect 58394 -7360 58404 -7308
+rect 58525 -7360 58535 -7308
+rect 58587 -7360 58597 -7308
+rect 58716 -7359 58726 -7307
+rect 58778 -7359 58788 -7307
+rect 58911 -7360 58921 -7308
+rect 58973 -7360 58983 -7308
+rect 59100 -7360 59110 -7308
+rect 59162 -7360 59172 -7308
+rect 59293 -7360 59303 -7308
+rect 59355 -7360 59365 -7308
+rect 59485 -7360 59495 -7308
+rect 59547 -7360 59557 -7308
+rect 59676 -7360 59686 -7308
+rect 59738 -7360 59748 -7308
+rect 59868 -7360 59878 -7308
+rect 59930 -7360 59940 -7308
+rect 60061 -7360 60071 -7308
+rect 60123 -7360 60133 -7308
+rect 60250 -7361 60260 -7309
+rect 60312 -7361 60322 -7309
+rect 60444 -7360 60454 -7308
+rect 60506 -7360 60516 -7308
+rect 60635 -7360 60645 -7308
+rect 60697 -7360 60707 -7308
+rect 60828 -7360 60838 -7308
+rect 60890 -7360 60900 -7308
+rect 61020 -7360 61030 -7308
+rect 61082 -7360 61092 -7308
+rect 61212 -7361 61222 -7309
+rect 61274 -7361 61284 -7309
+rect 61405 -7359 61415 -7307
+rect 61467 -7359 61477 -7307
+rect 61595 -7359 61605 -7307
+rect 61657 -7359 61667 -7307
+rect 61788 -7361 61798 -7309
+rect 61850 -7361 61860 -7309
+rect 61980 -7360 61990 -7308
+rect 62042 -7360 62052 -7308
+rect 62173 -7359 62183 -7307
+rect 62235 -7359 62245 -7307
+rect 62364 -7359 62374 -7307
+rect 62426 -7359 62436 -7307
+rect 62556 -7360 62566 -7308
+rect 62618 -7360 62628 -7308
+rect 62747 -7360 62757 -7308
+rect 62809 -7360 62819 -7308
+rect 62939 -7360 62949 -7308
+rect 63001 -7360 63011 -7308
+rect 63133 -7359 63143 -7307
+rect 63195 -7359 63205 -7307
+rect 63325 -7360 63335 -7308
+rect 63387 -7360 63397 -7308
+rect 63515 -7361 63525 -7309
+rect 63577 -7361 63587 -7309
+rect 63706 -7362 63716 -7310
+rect 63768 -7362 63778 -7310
+rect 63899 -7360 63909 -7308
+rect 63961 -7360 63971 -7308
+rect 64092 -7359 64102 -7307
+rect 64154 -7359 64164 -7307
+rect 64285 -7360 64295 -7308
+rect 64347 -7360 64357 -7308
+rect 64476 -7360 64486 -7308
+rect 64538 -7360 64548 -7308
+rect 64667 -7360 64677 -7308
+rect 64729 -7360 64739 -7308
+rect 64860 -7360 64870 -7308
+rect 64922 -7360 64932 -7308
+rect 65053 -7360 65063 -7308
+rect 65115 -7360 65125 -7308
+rect 65244 -7360 65254 -7308
+rect 65306 -7360 65316 -7308
+rect 65435 -7359 65445 -7307
+rect 65497 -7359 65507 -7307
+rect 65626 -7359 65636 -7307
+rect 65688 -7359 65698 -7307
+rect 65819 -7360 65829 -7308
+rect 65881 -7360 65891 -7308
+rect 66013 -7360 66023 -7308
+rect 66075 -7360 66085 -7308
+rect 66201 -7361 66211 -7309
+rect 66263 -7361 66273 -7309
+rect 66395 -7369 66405 -7317
+rect 66457 -7369 66467 -7317
+rect 49981 -7497 49991 -7445
+rect 50043 -7497 50053 -7445
+rect 50172 -7499 50182 -7447
+rect 50234 -7499 50244 -7447
+rect 50364 -7498 50374 -7446
+rect 50426 -7498 50436 -7446
+rect 50556 -7501 50566 -7449
+rect 50618 -7501 50628 -7449
+rect 50750 -7500 50760 -7448
+rect 50812 -7500 50822 -7448
+rect 50940 -7500 50950 -7448
+rect 51002 -7500 51012 -7448
+rect 51135 -7498 51145 -7446
+rect 51197 -7498 51207 -7446
+rect 51326 -7497 51336 -7445
+rect 51388 -7497 51398 -7445
+rect 51521 -7499 51531 -7447
+rect 51583 -7499 51593 -7447
+rect 51711 -7499 51721 -7447
+rect 51773 -7499 51783 -7447
+rect 51901 -7498 51911 -7446
+rect 51963 -7498 51973 -7446
+rect 52094 -7497 52104 -7445
+rect 52156 -7497 52166 -7445
+rect 52285 -7497 52295 -7445
+rect 52347 -7497 52357 -7445
+rect 52481 -7499 52491 -7447
+rect 52543 -7499 52553 -7447
+rect 52670 -7499 52680 -7447
+rect 52732 -7499 52742 -7447
+rect 52864 -7499 52874 -7447
+rect 52926 -7499 52936 -7447
+rect 53053 -7499 53063 -7447
+rect 53115 -7499 53125 -7447
+rect 53245 -7500 53255 -7448
+rect 53307 -7500 53317 -7448
+rect 53438 -7500 53448 -7448
+rect 53500 -7500 53510 -7448
+rect 53629 -7500 53639 -7448
+rect 53691 -7500 53701 -7448
+rect 53821 -7499 53831 -7447
+rect 53883 -7499 53893 -7447
+rect 54013 -7500 54023 -7448
+rect 54075 -7500 54085 -7448
+rect 54206 -7500 54216 -7448
+rect 54268 -7500 54278 -7448
+rect 54397 -7500 54407 -7448
+rect 54459 -7500 54469 -7448
+rect 54589 -7499 54599 -7447
+rect 54651 -7499 54661 -7447
+rect 54782 -7500 54792 -7448
+rect 54844 -7500 54854 -7448
+rect 54974 -7500 54984 -7448
+rect 55036 -7500 55046 -7448
+rect 55165 -7500 55175 -7448
+rect 55227 -7500 55237 -7448
+rect 55356 -7500 55366 -7448
+rect 55418 -7500 55428 -7448
+rect 55548 -7500 55558 -7448
+rect 55610 -7500 55620 -7448
+rect 55741 -7499 55751 -7447
+rect 55803 -7499 55813 -7447
+rect 55932 -7499 55942 -7447
+rect 55994 -7499 56004 -7447
+rect 56126 -7500 56136 -7448
+rect 56188 -7500 56198 -7448
+rect 56318 -7500 56328 -7448
+rect 56380 -7500 56390 -7448
+rect 56510 -7500 56520 -7448
+rect 56572 -7500 56582 -7448
+rect 56702 -7500 56712 -7448
+rect 56764 -7500 56774 -7448
+rect 56894 -7500 56904 -7448
+rect 56956 -7500 56966 -7448
+rect 57086 -7500 57096 -7448
+rect 57148 -7500 57158 -7448
+rect 57278 -7500 57288 -7448
+rect 57340 -7500 57350 -7448
+rect 57469 -7500 57479 -7448
+rect 57531 -7500 57541 -7448
+rect 57661 -7500 57671 -7448
+rect 57723 -7500 57733 -7448
+rect 57854 -7500 57864 -7448
+rect 57916 -7500 57926 -7448
+rect 58046 -7500 58056 -7448
+rect 58108 -7500 58118 -7448
+rect 58238 -7500 58248 -7448
+rect 58300 -7500 58310 -7448
+rect 58429 -7500 58439 -7448
+rect 58491 -7500 58501 -7448
+rect 58621 -7500 58631 -7448
+rect 58683 -7500 58693 -7448
+rect 58812 -7500 58822 -7448
+rect 58874 -7500 58884 -7448
+rect 59003 -7500 59013 -7448
+rect 59065 -7500 59075 -7448
+rect 59197 -7500 59207 -7448
+rect 59259 -7500 59269 -7448
+rect 59389 -7500 59399 -7448
+rect 59451 -7500 59461 -7448
+rect 59582 -7499 59592 -7447
+rect 59644 -7499 59654 -7447
+rect 59773 -7500 59783 -7448
+rect 59835 -7500 59845 -7448
+rect 59965 -7500 59975 -7448
+rect 60027 -7500 60037 -7448
+rect 60158 -7500 60168 -7448
+rect 60220 -7500 60230 -7448
+rect 60350 -7500 60360 -7448
+rect 60412 -7500 60422 -7448
+rect 60543 -7499 60553 -7447
+rect 60605 -7499 60615 -7447
+rect 60734 -7500 60744 -7448
+rect 60796 -7500 60806 -7448
+rect 60926 -7500 60936 -7448
+rect 60988 -7500 60998 -7448
+rect 61117 -7500 61127 -7448
+rect 61179 -7500 61189 -7448
+rect 61309 -7500 61319 -7448
+rect 61371 -7500 61381 -7448
+rect 61501 -7500 61511 -7448
+rect 61563 -7500 61573 -7448
+rect 61694 -7500 61704 -7448
+rect 61756 -7500 61766 -7448
+rect 61885 -7500 61895 -7448
+rect 61947 -7500 61957 -7448
+rect 62077 -7500 62087 -7448
+rect 62139 -7500 62149 -7448
+rect 62269 -7499 62279 -7447
+rect 62331 -7499 62341 -7447
+rect 62461 -7500 62471 -7448
+rect 62523 -7500 62533 -7448
+rect 62651 -7500 62661 -7448
+rect 62713 -7500 62723 -7448
+rect 62845 -7500 62855 -7448
+rect 62907 -7500 62917 -7448
+rect 63037 -7499 63047 -7447
+rect 63099 -7499 63109 -7447
+rect 63228 -7500 63238 -7448
+rect 63290 -7500 63300 -7448
+rect 63421 -7500 63431 -7448
+rect 63483 -7500 63493 -7448
+rect 63612 -7499 63622 -7447
+rect 63674 -7499 63684 -7447
+rect 63804 -7500 63814 -7448
+rect 63866 -7500 63876 -7448
+rect 63995 -7500 64005 -7448
+rect 64057 -7500 64067 -7448
+rect 64189 -7499 64199 -7447
+rect 64251 -7499 64261 -7447
+rect 64380 -7500 64390 -7448
+rect 64442 -7500 64452 -7448
+rect 64572 -7500 64582 -7448
+rect 64634 -7500 64644 -7448
+rect 64764 -7500 64774 -7448
+rect 64826 -7500 64836 -7448
+rect 64956 -7500 64966 -7448
+rect 65018 -7500 65028 -7448
+rect 65149 -7500 65159 -7448
+rect 65211 -7500 65221 -7448
+rect 65340 -7500 65350 -7448
+rect 65402 -7500 65412 -7448
+rect 65533 -7499 65543 -7447
+rect 65595 -7499 65605 -7447
+rect 65724 -7500 65734 -7448
+rect 65786 -7500 65796 -7448
+rect 65917 -7500 65927 -7448
+rect 65979 -7500 65989 -7448
+rect 66108 -7500 66118 -7448
+rect 66170 -7500 66180 -7448
+rect 66299 -7498 66309 -7446
+rect 66361 -7498 66371 -7446
+rect 66494 -7500 66504 -7448
+rect 66556 -7500 66566 -7448
+rect 66594 -7540 66624 -7260
+rect 49890 -7570 66624 -7540
+rect 68006 -7642 68374 -7234
+rect 49928 -7664 66600 -7658
+rect 48262 -7706 48458 -7700
+rect 48262 -7764 48274 -7706
+rect 48446 -7764 48458 -7706
+rect 49928 -7756 49940 -7664
+rect 66588 -7756 66600 -7664
+rect 49928 -7762 66600 -7756
+rect 68108 -7710 68304 -7704
+rect 48262 -7770 48458 -7764
+rect 68108 -7768 68120 -7710
+rect 68292 -7768 68304 -7710
+rect 69420 -7720 69430 -6700
+rect 69760 -7720 69770 -6700
+rect 68108 -7774 68304 -7768
+<< via1 >>
+rect 46800 -6250 47130 -5230
+rect 48286 -5236 48458 -5178
+rect 49920 -5280 66568 -5188
+rect 68070 -5236 68242 -5178
+rect 50087 -5494 50139 -5442
+rect 50277 -5493 50329 -5441
+rect 50470 -5496 50522 -5444
+rect 50662 -5491 50714 -5439
+rect 50854 -5495 50906 -5443
+rect 51046 -5490 51098 -5438
+rect 51238 -5491 51290 -5439
+rect 51428 -5490 51480 -5438
+rect 51622 -5501 51674 -5449
+rect 51811 -5499 51863 -5447
+rect 52001 -5499 52053 -5447
+rect 52200 -5500 52252 -5448
+rect 52392 -5499 52444 -5447
+rect 52582 -5500 52634 -5448
+rect 52774 -5493 52826 -5441
+rect 52966 -5495 53018 -5443
+rect 53159 -5494 53211 -5442
+rect 53351 -5492 53403 -5440
+rect 53542 -5492 53594 -5440
+rect 53736 -5497 53788 -5445
+rect 53927 -5493 53979 -5441
+rect 54119 -5489 54171 -5437
+rect 54312 -5493 54364 -5441
+rect 54504 -5493 54556 -5441
+rect 54697 -5494 54749 -5442
+rect 54889 -5492 54941 -5440
+rect 55080 -5491 55132 -5439
+rect 55271 -5490 55323 -5438
+rect 55464 -5490 55516 -5438
+rect 55655 -5499 55707 -5447
+rect 55846 -5500 55898 -5448
+rect 56036 -5501 56088 -5449
+rect 56230 -5505 56282 -5453
+rect 56422 -5507 56474 -5455
+rect 56616 -5506 56668 -5454
+rect 56808 -5503 56860 -5451
+rect 56997 -5500 57049 -5448
+rect 57191 -5498 57243 -5446
+rect 57384 -5499 57436 -5447
+rect 57577 -5500 57629 -5448
+rect 57766 -5500 57818 -5448
+rect 57959 -5500 58011 -5448
+rect 58151 -5500 58203 -5448
+rect 58342 -5500 58394 -5448
+rect 58535 -5500 58587 -5448
+rect 58726 -5499 58778 -5447
+rect 58921 -5500 58973 -5448
+rect 59110 -5500 59162 -5448
+rect 59303 -5500 59355 -5448
+rect 59495 -5500 59547 -5448
+rect 59686 -5500 59738 -5448
+rect 59878 -5500 59930 -5448
+rect 60071 -5500 60123 -5448
+rect 60260 -5501 60312 -5449
+rect 60454 -5500 60506 -5448
+rect 60645 -5500 60697 -5448
+rect 60838 -5500 60890 -5448
+rect 61030 -5500 61082 -5448
+rect 61222 -5501 61274 -5449
+rect 61415 -5499 61467 -5447
+rect 61605 -5499 61657 -5447
+rect 61798 -5501 61850 -5449
+rect 61990 -5500 62042 -5448
+rect 62183 -5499 62235 -5447
+rect 62374 -5499 62426 -5447
+rect 62566 -5500 62618 -5448
+rect 62757 -5500 62809 -5448
+rect 62949 -5500 63001 -5448
+rect 63143 -5499 63195 -5447
+rect 63335 -5500 63387 -5448
+rect 63525 -5501 63577 -5449
+rect 63716 -5502 63768 -5450
+rect 63909 -5500 63961 -5448
+rect 64102 -5499 64154 -5447
+rect 64295 -5500 64347 -5448
+rect 64486 -5500 64538 -5448
+rect 64677 -5500 64729 -5448
+rect 64870 -5500 64922 -5448
+rect 65063 -5500 65115 -5448
+rect 65254 -5500 65306 -5448
+rect 65445 -5499 65497 -5447
+rect 65636 -5499 65688 -5447
+rect 65829 -5500 65881 -5448
+rect 66023 -5500 66075 -5448
+rect 66211 -5501 66263 -5449
+rect 66405 -5509 66457 -5457
+rect 49991 -5637 50043 -5585
+rect 50182 -5639 50234 -5587
+rect 50374 -5638 50426 -5586
+rect 50566 -5641 50618 -5589
+rect 50760 -5640 50812 -5588
+rect 50950 -5640 51002 -5588
+rect 51145 -5638 51197 -5586
+rect 51336 -5637 51388 -5585
+rect 51531 -5639 51583 -5587
+rect 51721 -5639 51773 -5587
+rect 51911 -5638 51963 -5586
+rect 52104 -5637 52156 -5585
+rect 52295 -5637 52347 -5585
+rect 52491 -5639 52543 -5587
+rect 52680 -5639 52732 -5587
+rect 52874 -5639 52926 -5587
+rect 53063 -5639 53115 -5587
+rect 53255 -5640 53307 -5588
+rect 53448 -5640 53500 -5588
+rect 53639 -5640 53691 -5588
+rect 53831 -5639 53883 -5587
+rect 54023 -5640 54075 -5588
+rect 54216 -5640 54268 -5588
+rect 54407 -5640 54459 -5588
+rect 54599 -5639 54651 -5587
+rect 54792 -5640 54844 -5588
+rect 54984 -5640 55036 -5588
+rect 55175 -5640 55227 -5588
+rect 55366 -5640 55418 -5588
+rect 55558 -5640 55610 -5588
+rect 55751 -5639 55803 -5587
+rect 55942 -5639 55994 -5587
+rect 56136 -5640 56188 -5588
+rect 56328 -5640 56380 -5588
+rect 56520 -5640 56572 -5588
+rect 56712 -5640 56764 -5588
+rect 56904 -5640 56956 -5588
+rect 57096 -5640 57148 -5588
+rect 57288 -5640 57340 -5588
+rect 57479 -5640 57531 -5588
+rect 57671 -5640 57723 -5588
+rect 57864 -5640 57916 -5588
+rect 58056 -5640 58108 -5588
+rect 58248 -5640 58300 -5588
+rect 58439 -5640 58491 -5588
+rect 58631 -5640 58683 -5588
+rect 58822 -5640 58874 -5588
+rect 59013 -5640 59065 -5588
+rect 59207 -5640 59259 -5588
+rect 59399 -5640 59451 -5588
+rect 59592 -5639 59644 -5587
+rect 59783 -5640 59835 -5588
+rect 59975 -5640 60027 -5588
+rect 60168 -5640 60220 -5588
+rect 60360 -5640 60412 -5588
+rect 60553 -5639 60605 -5587
+rect 60744 -5640 60796 -5588
+rect 60936 -5640 60988 -5588
+rect 61127 -5640 61179 -5588
+rect 61319 -5640 61371 -5588
+rect 61511 -5640 61563 -5588
+rect 61704 -5640 61756 -5588
+rect 61895 -5640 61947 -5588
+rect 62087 -5640 62139 -5588
+rect 62279 -5639 62331 -5587
+rect 62471 -5640 62523 -5588
+rect 62661 -5640 62713 -5588
+rect 62855 -5640 62907 -5588
+rect 63047 -5639 63099 -5587
+rect 63238 -5640 63290 -5588
+rect 63431 -5640 63483 -5588
+rect 63622 -5639 63674 -5587
+rect 63814 -5640 63866 -5588
+rect 64005 -5640 64057 -5588
+rect 64199 -5639 64251 -5587
+rect 64390 -5640 64442 -5588
+rect 64582 -5640 64634 -5588
+rect 64774 -5640 64826 -5588
+rect 64966 -5640 65018 -5588
+rect 65159 -5640 65211 -5588
+rect 65350 -5640 65402 -5588
+rect 65543 -5639 65595 -5587
+rect 65734 -5640 65786 -5588
+rect 65927 -5640 65979 -5588
+rect 66118 -5640 66170 -5588
+rect 66309 -5638 66361 -5586
+rect 66504 -5640 66556 -5588
+rect 49430 -5750 49482 -5698
+rect 66920 -5750 66972 -5698
+rect 52047 -6123 52099 -6071
+rect 52237 -6122 52289 -6070
+rect 52429 -6121 52481 -6069
+rect 52620 -6121 52672 -6069
+rect 52814 -6121 52866 -6069
+rect 53004 -6122 53056 -6070
+rect 53198 -6121 53250 -6069
+rect 53390 -6121 53442 -6069
+rect 53581 -6122 53633 -6070
+rect 53776 -6122 53828 -6070
+rect 53966 -6122 54018 -6070
+rect 54157 -6122 54209 -6070
+rect 54349 -6122 54401 -6070
+rect 54542 -6121 54594 -6069
+rect 54734 -6122 54786 -6070
+rect 54926 -6122 54978 -6070
+rect 55119 -6122 55171 -6070
+rect 55310 -6121 55362 -6069
+rect 55502 -6122 55554 -6070
+rect 55694 -6121 55746 -6069
+rect 55886 -6121 55938 -6069
+rect 56077 -6122 56129 -6070
+rect 56270 -6121 56322 -6069
+rect 56462 -6121 56514 -6069
+rect 56656 -6122 56708 -6070
+rect 56847 -6123 56899 -6071
+rect 57036 -6122 57088 -6070
+rect 57229 -6122 57281 -6070
+rect 57422 -6122 57474 -6070
+rect 57613 -6121 57665 -6069
+rect 57804 -6121 57856 -6069
+rect 51949 -6262 52001 -6210
+rect 52141 -6262 52193 -6210
+rect 52334 -6262 52386 -6210
+rect 52526 -6262 52578 -6210
+rect 52719 -6262 52771 -6210
+rect 52911 -6261 52963 -6209
+rect 53102 -6262 53154 -6210
+rect 53294 -6262 53346 -6210
+rect 53486 -6261 53538 -6209
+rect 53678 -6261 53730 -6209
+rect 53870 -6262 53922 -6210
+rect 54062 -6261 54114 -6209
+rect 54254 -6262 54306 -6210
+rect 54445 -6261 54497 -6209
+rect 54639 -6262 54691 -6210
+rect 54829 -6262 54881 -6210
+rect 55021 -6262 55073 -6210
+rect 55214 -6262 55266 -6210
+rect 55405 -6262 55457 -6210
+rect 55598 -6261 55650 -6209
+rect 55791 -6262 55843 -6210
+rect 55982 -6262 56034 -6210
+rect 56175 -6262 56227 -6210
+rect 56365 -6262 56417 -6210
+rect 56559 -6261 56611 -6209
+rect 56748 -6262 56800 -6210
+rect 56941 -6262 56993 -6210
+rect 57134 -6262 57186 -6210
+rect 57324 -6262 57376 -6210
+rect 57517 -6261 57569 -6209
+rect 57706 -6261 57758 -6209
+rect 50820 -6540 50880 -6480
+rect 51130 -6442 51182 -6390
+rect 52040 -6380 52100 -6320
+rect 57800 -6370 57860 -6310
+rect 58241 -6122 58293 -6070
+rect 58431 -6121 58483 -6069
+rect 58623 -6120 58675 -6068
+rect 58814 -6120 58866 -6068
+rect 59008 -6120 59060 -6068
+rect 59198 -6121 59250 -6069
+rect 59392 -6120 59444 -6068
+rect 59584 -6120 59636 -6068
+rect 59775 -6121 59827 -6069
+rect 59970 -6121 60022 -6069
+rect 60160 -6121 60212 -6069
+rect 60351 -6121 60403 -6069
+rect 60543 -6121 60595 -6069
+rect 60736 -6120 60788 -6068
+rect 60928 -6121 60980 -6069
+rect 61120 -6121 61172 -6069
+rect 61313 -6121 61365 -6069
+rect 61504 -6120 61556 -6068
+rect 61696 -6121 61748 -6069
+rect 61888 -6120 61940 -6068
+rect 62080 -6120 62132 -6068
+rect 62271 -6121 62323 -6069
+rect 62464 -6120 62516 -6068
+rect 62656 -6120 62708 -6068
+rect 62850 -6121 62902 -6069
+rect 63041 -6122 63093 -6070
+rect 63230 -6121 63282 -6069
+rect 63423 -6121 63475 -6069
+rect 63616 -6121 63668 -6069
+rect 63807 -6120 63859 -6068
+rect 63998 -6120 64050 -6068
+rect 58143 -6261 58195 -6209
+rect 58335 -6261 58387 -6209
+rect 58528 -6261 58580 -6209
+rect 58720 -6261 58772 -6209
+rect 58913 -6261 58965 -6209
+rect 59105 -6260 59157 -6208
+rect 59296 -6261 59348 -6209
+rect 59488 -6261 59540 -6209
+rect 59680 -6260 59732 -6208
+rect 59872 -6260 59924 -6208
+rect 60064 -6261 60116 -6209
+rect 60256 -6260 60308 -6208
+rect 60448 -6261 60500 -6209
+rect 60639 -6260 60691 -6208
+rect 60833 -6261 60885 -6209
+rect 61023 -6261 61075 -6209
+rect 61215 -6261 61267 -6209
+rect 61408 -6261 61460 -6209
+rect 61599 -6261 61651 -6209
+rect 61792 -6260 61844 -6208
+rect 61985 -6261 62037 -6209
+rect 62176 -6261 62228 -6209
+rect 62369 -6261 62421 -6209
+rect 62559 -6261 62611 -6209
+rect 62753 -6260 62805 -6208
+rect 62942 -6261 62994 -6209
+rect 63135 -6261 63187 -6209
+rect 63328 -6261 63380 -6209
+rect 63518 -6261 63570 -6209
+rect 63711 -6260 63763 -6208
+rect 63900 -6260 63952 -6208
+rect 69420 -6260 69750 -5240
+rect 58230 -6370 58290 -6310
+rect 63990 -6360 64050 -6300
+rect 65151 -6442 65203 -6390
+rect 65440 -6540 65500 -6480
+rect 51128 -6627 51180 -6575
+rect 46780 -7750 47110 -6730
+rect 52048 -6741 52100 -6689
+rect 52238 -6740 52290 -6688
+rect 52430 -6739 52482 -6687
+rect 52621 -6739 52673 -6687
+rect 52815 -6739 52867 -6687
+rect 53005 -6740 53057 -6688
+rect 53199 -6739 53251 -6687
+rect 53391 -6739 53443 -6687
+rect 53582 -6740 53634 -6688
+rect 53777 -6740 53829 -6688
+rect 53967 -6740 54019 -6688
+rect 54158 -6740 54210 -6688
+rect 54350 -6740 54402 -6688
+rect 54543 -6739 54595 -6687
+rect 54735 -6740 54787 -6688
+rect 54927 -6740 54979 -6688
+rect 55120 -6740 55172 -6688
+rect 55311 -6739 55363 -6687
+rect 55503 -6740 55555 -6688
+rect 55695 -6739 55747 -6687
+rect 55887 -6739 55939 -6687
+rect 56078 -6740 56130 -6688
+rect 56271 -6739 56323 -6687
+rect 56463 -6739 56515 -6687
+rect 56657 -6740 56709 -6688
+rect 56848 -6741 56900 -6689
+rect 57037 -6740 57089 -6688
+rect 57230 -6740 57282 -6688
+rect 57423 -6740 57475 -6688
+rect 57614 -6739 57666 -6687
+rect 57805 -6739 57857 -6687
+rect 51950 -6880 52002 -6828
+rect 52142 -6880 52194 -6828
+rect 52335 -6880 52387 -6828
+rect 52527 -6880 52579 -6828
+rect 52720 -6880 52772 -6828
+rect 52912 -6879 52964 -6827
+rect 53103 -6880 53155 -6828
+rect 53295 -6880 53347 -6828
+rect 53487 -6879 53539 -6827
+rect 53679 -6879 53731 -6827
+rect 53871 -6880 53923 -6828
+rect 54063 -6879 54115 -6827
+rect 54255 -6880 54307 -6828
+rect 54446 -6879 54498 -6827
+rect 54640 -6880 54692 -6828
+rect 54830 -6880 54882 -6828
+rect 55022 -6880 55074 -6828
+rect 55215 -6880 55267 -6828
+rect 55406 -6880 55458 -6828
+rect 55599 -6879 55651 -6827
+rect 55792 -6880 55844 -6828
+rect 55983 -6880 56035 -6828
+rect 56176 -6880 56228 -6828
+rect 56366 -6880 56418 -6828
+rect 56560 -6879 56612 -6827
+rect 56749 -6880 56801 -6828
+rect 56942 -6880 56994 -6828
+rect 57135 -6880 57187 -6828
+rect 57325 -6880 57377 -6828
+rect 57518 -6879 57570 -6827
+rect 57707 -6879 57759 -6827
+rect 65149 -6628 65201 -6576
+rect 58236 -6740 58288 -6688
+rect 58426 -6739 58478 -6687
+rect 58618 -6738 58670 -6686
+rect 58809 -6738 58861 -6686
+rect 59003 -6738 59055 -6686
+rect 59193 -6739 59245 -6687
+rect 59387 -6738 59439 -6686
+rect 59579 -6738 59631 -6686
+rect 59770 -6739 59822 -6687
+rect 59965 -6739 60017 -6687
+rect 60155 -6739 60207 -6687
+rect 60346 -6739 60398 -6687
+rect 60538 -6739 60590 -6687
+rect 60731 -6738 60783 -6686
+rect 60923 -6739 60975 -6687
+rect 61115 -6739 61167 -6687
+rect 61308 -6739 61360 -6687
+rect 61499 -6738 61551 -6686
+rect 61691 -6739 61743 -6687
+rect 61883 -6738 61935 -6686
+rect 62075 -6738 62127 -6686
+rect 62266 -6739 62318 -6687
+rect 62459 -6738 62511 -6686
+rect 62651 -6738 62703 -6686
+rect 62845 -6739 62897 -6687
+rect 63036 -6740 63088 -6688
+rect 63225 -6739 63277 -6687
+rect 63418 -6739 63470 -6687
+rect 63611 -6739 63663 -6687
+rect 63802 -6738 63854 -6686
+rect 63993 -6738 64045 -6686
+rect 58138 -6879 58190 -6827
+rect 58330 -6879 58382 -6827
+rect 58523 -6879 58575 -6827
+rect 58715 -6879 58767 -6827
+rect 58908 -6879 58960 -6827
+rect 59100 -6878 59152 -6826
+rect 59291 -6879 59343 -6827
+rect 59483 -6879 59535 -6827
+rect 59675 -6878 59727 -6826
+rect 59867 -6878 59919 -6826
+rect 60059 -6879 60111 -6827
+rect 60251 -6878 60303 -6826
+rect 60443 -6879 60495 -6827
+rect 60634 -6878 60686 -6826
+rect 60828 -6879 60880 -6827
+rect 61018 -6879 61070 -6827
+rect 61210 -6879 61262 -6827
+rect 61403 -6879 61455 -6827
+rect 61594 -6879 61646 -6827
+rect 61787 -6878 61839 -6826
+rect 61980 -6879 62032 -6827
+rect 62171 -6879 62223 -6827
+rect 62364 -6879 62416 -6827
+rect 62554 -6879 62606 -6827
+rect 62748 -6878 62800 -6826
+rect 62937 -6879 62989 -6827
+rect 63130 -6879 63182 -6827
+rect 63323 -6879 63375 -6827
+rect 63513 -6879 63565 -6827
+rect 63706 -6878 63758 -6826
+rect 63895 -6878 63947 -6826
+rect 49430 -7250 49482 -7198
+rect 66910 -7250 66962 -7198
+rect 50087 -7354 50139 -7302
+rect 50277 -7353 50329 -7301
+rect 50470 -7356 50522 -7304
+rect 50662 -7351 50714 -7299
+rect 50854 -7355 50906 -7303
+rect 51046 -7350 51098 -7298
+rect 51238 -7351 51290 -7299
+rect 51428 -7350 51480 -7298
+rect 51622 -7361 51674 -7309
+rect 51811 -7359 51863 -7307
+rect 52001 -7359 52053 -7307
+rect 52200 -7360 52252 -7308
+rect 52392 -7359 52444 -7307
+rect 52582 -7360 52634 -7308
+rect 52774 -7353 52826 -7301
+rect 52966 -7355 53018 -7303
+rect 53159 -7354 53211 -7302
+rect 53351 -7352 53403 -7300
+rect 53542 -7352 53594 -7300
+rect 53736 -7357 53788 -7305
+rect 53927 -7353 53979 -7301
+rect 54119 -7349 54171 -7297
+rect 54312 -7353 54364 -7301
+rect 54504 -7353 54556 -7301
+rect 54697 -7354 54749 -7302
+rect 54889 -7352 54941 -7300
+rect 55080 -7351 55132 -7299
+rect 55271 -7350 55323 -7298
+rect 55464 -7350 55516 -7298
+rect 55655 -7359 55707 -7307
+rect 55846 -7360 55898 -7308
+rect 56036 -7361 56088 -7309
+rect 56230 -7365 56282 -7313
+rect 56422 -7367 56474 -7315
+rect 56616 -7366 56668 -7314
+rect 56808 -7363 56860 -7311
+rect 56997 -7360 57049 -7308
+rect 57191 -7358 57243 -7306
+rect 57384 -7359 57436 -7307
+rect 57577 -7360 57629 -7308
+rect 57766 -7360 57818 -7308
+rect 57959 -7360 58011 -7308
+rect 58151 -7360 58203 -7308
+rect 58342 -7360 58394 -7308
+rect 58535 -7360 58587 -7308
+rect 58726 -7359 58778 -7307
+rect 58921 -7360 58973 -7308
+rect 59110 -7360 59162 -7308
+rect 59303 -7360 59355 -7308
+rect 59495 -7360 59547 -7308
+rect 59686 -7360 59738 -7308
+rect 59878 -7360 59930 -7308
+rect 60071 -7360 60123 -7308
+rect 60260 -7361 60312 -7309
+rect 60454 -7360 60506 -7308
+rect 60645 -7360 60697 -7308
+rect 60838 -7360 60890 -7308
+rect 61030 -7360 61082 -7308
+rect 61222 -7361 61274 -7309
+rect 61415 -7359 61467 -7307
+rect 61605 -7359 61657 -7307
+rect 61798 -7361 61850 -7309
+rect 61990 -7360 62042 -7308
+rect 62183 -7359 62235 -7307
+rect 62374 -7359 62426 -7307
+rect 62566 -7360 62618 -7308
+rect 62757 -7360 62809 -7308
+rect 62949 -7360 63001 -7308
+rect 63143 -7359 63195 -7307
+rect 63335 -7360 63387 -7308
+rect 63525 -7361 63577 -7309
+rect 63716 -7362 63768 -7310
+rect 63909 -7360 63961 -7308
+rect 64102 -7359 64154 -7307
+rect 64295 -7360 64347 -7308
+rect 64486 -7360 64538 -7308
+rect 64677 -7360 64729 -7308
+rect 64870 -7360 64922 -7308
+rect 65063 -7360 65115 -7308
+rect 65254 -7360 65306 -7308
+rect 65445 -7359 65497 -7307
+rect 65636 -7359 65688 -7307
+rect 65829 -7360 65881 -7308
+rect 66023 -7360 66075 -7308
+rect 66211 -7361 66263 -7309
+rect 66405 -7369 66457 -7317
+rect 49991 -7497 50043 -7445
+rect 50182 -7499 50234 -7447
+rect 50374 -7498 50426 -7446
+rect 50566 -7501 50618 -7449
+rect 50760 -7500 50812 -7448
+rect 50950 -7500 51002 -7448
+rect 51145 -7498 51197 -7446
+rect 51336 -7497 51388 -7445
+rect 51531 -7499 51583 -7447
+rect 51721 -7499 51773 -7447
+rect 51911 -7498 51963 -7446
+rect 52104 -7497 52156 -7445
+rect 52295 -7497 52347 -7445
+rect 52491 -7499 52543 -7447
+rect 52680 -7499 52732 -7447
+rect 52874 -7499 52926 -7447
+rect 53063 -7499 53115 -7447
+rect 53255 -7500 53307 -7448
+rect 53448 -7500 53500 -7448
+rect 53639 -7500 53691 -7448
+rect 53831 -7499 53883 -7447
+rect 54023 -7500 54075 -7448
+rect 54216 -7500 54268 -7448
+rect 54407 -7500 54459 -7448
+rect 54599 -7499 54651 -7447
+rect 54792 -7500 54844 -7448
+rect 54984 -7500 55036 -7448
+rect 55175 -7500 55227 -7448
+rect 55366 -7500 55418 -7448
+rect 55558 -7500 55610 -7448
+rect 55751 -7499 55803 -7447
+rect 55942 -7499 55994 -7447
+rect 56136 -7500 56188 -7448
+rect 56328 -7500 56380 -7448
+rect 56520 -7500 56572 -7448
+rect 56712 -7500 56764 -7448
+rect 56904 -7500 56956 -7448
+rect 57096 -7500 57148 -7448
+rect 57288 -7500 57340 -7448
+rect 57479 -7500 57531 -7448
+rect 57671 -7500 57723 -7448
+rect 57864 -7500 57916 -7448
+rect 58056 -7500 58108 -7448
+rect 58248 -7500 58300 -7448
+rect 58439 -7500 58491 -7448
+rect 58631 -7500 58683 -7448
+rect 58822 -7500 58874 -7448
+rect 59013 -7500 59065 -7448
+rect 59207 -7500 59259 -7448
+rect 59399 -7500 59451 -7448
+rect 59592 -7499 59644 -7447
+rect 59783 -7500 59835 -7448
+rect 59975 -7500 60027 -7448
+rect 60168 -7500 60220 -7448
+rect 60360 -7500 60412 -7448
+rect 60553 -7499 60605 -7447
+rect 60744 -7500 60796 -7448
+rect 60936 -7500 60988 -7448
+rect 61127 -7500 61179 -7448
+rect 61319 -7500 61371 -7448
+rect 61511 -7500 61563 -7448
+rect 61704 -7500 61756 -7448
+rect 61895 -7500 61947 -7448
+rect 62087 -7500 62139 -7448
+rect 62279 -7499 62331 -7447
+rect 62471 -7500 62523 -7448
+rect 62661 -7500 62713 -7448
+rect 62855 -7500 62907 -7448
+rect 63047 -7499 63099 -7447
+rect 63238 -7500 63290 -7448
+rect 63431 -7500 63483 -7448
+rect 63622 -7499 63674 -7447
+rect 63814 -7500 63866 -7448
+rect 64005 -7500 64057 -7448
+rect 64199 -7499 64251 -7447
+rect 64390 -7500 64442 -7448
+rect 64582 -7500 64634 -7448
+rect 64774 -7500 64826 -7448
+rect 64966 -7500 65018 -7448
+rect 65159 -7500 65211 -7448
+rect 65350 -7500 65402 -7448
+rect 65543 -7499 65595 -7447
+rect 65734 -7500 65786 -7448
+rect 65927 -7500 65979 -7448
+rect 66118 -7500 66170 -7448
+rect 66309 -7498 66361 -7446
+rect 66504 -7500 66556 -7448
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+rect 69430 -7720 69760 -6700
+<< metal2 >>
+rect 46740 -5130 69810 -4740
+rect 46740 -5230 47180 -5130
+rect 46740 -6250 46800 -5230
+rect 47130 -6250 47180 -5230
+rect 48286 -5178 48458 -5168
+rect 68070 -5178 68242 -5168
+rect 48286 -5246 48458 -5236
+rect 49920 -5188 66568 -5178
+rect 68070 -5246 68242 -5236
+rect 69370 -5240 69810 -5130
+rect 49920 -5290 66568 -5280
+rect 50090 -5432 50160 -5290
+rect 50087 -5440 50160 -5432
+rect 50277 -5440 50329 -5431
+rect 50470 -5440 50522 -5434
+rect 50662 -5439 50714 -5430
+rect 50087 -5441 50662 -5440
+rect 50087 -5442 50277 -5441
+rect 50139 -5470 50277 -5442
+rect 50087 -5504 50139 -5494
+rect 50329 -5444 50662 -5441
+rect 50329 -5470 50470 -5444
+rect 50277 -5503 50329 -5493
+rect 50522 -5470 50662 -5444
+rect 50470 -5506 50522 -5496
+rect 50854 -5440 50906 -5433
+rect 51046 -5438 51098 -5430
+rect 50714 -5443 51046 -5440
+rect 50714 -5470 50854 -5443
+rect 50662 -5501 50714 -5491
+rect 50906 -5470 51046 -5443
+rect 50854 -5505 50906 -5495
+rect 51238 -5439 51290 -5430
+rect 51098 -5470 51238 -5440
+rect 51046 -5500 51098 -5490
+rect 51428 -5438 51480 -5430
+rect 51290 -5470 51428 -5440
+rect 51238 -5501 51290 -5491
+rect 51622 -5440 51674 -5439
+rect 51811 -5440 51863 -5437
+rect 52001 -5440 52053 -5437
+rect 52200 -5440 52252 -5438
+rect 52392 -5440 52444 -5437
+rect 52582 -5440 52634 -5438
+rect 52774 -5440 52826 -5431
+rect 52966 -5440 53018 -5433
+rect 53159 -5440 53211 -5432
+rect 53351 -5440 53403 -5430
+rect 53542 -5440 53594 -5430
+rect 53736 -5440 53788 -5435
+rect 53927 -5440 53979 -5431
+rect 54119 -5437 54171 -5430
+rect 51480 -5441 53351 -5440
+rect 51480 -5447 52774 -5441
+rect 51480 -5449 51811 -5447
+rect 51480 -5470 51622 -5449
+rect 51428 -5500 51480 -5490
+rect 51674 -5470 51811 -5449
+rect 51622 -5511 51674 -5501
+rect 51863 -5470 52001 -5447
+rect 51811 -5509 51863 -5499
+rect 52053 -5448 52392 -5447
+rect 52053 -5470 52200 -5448
+rect 52001 -5509 52053 -5499
+rect 52252 -5470 52392 -5448
+rect 52200 -5510 52252 -5500
+rect 52444 -5448 52774 -5447
+rect 52444 -5470 52582 -5448
+rect 52392 -5509 52444 -5499
+rect 52634 -5470 52774 -5448
+rect 52582 -5510 52634 -5500
+rect 52826 -5442 53351 -5441
+rect 52826 -5443 53159 -5442
+rect 52826 -5470 52966 -5443
+rect 52774 -5503 52826 -5493
+rect 53018 -5470 53159 -5443
+rect 52966 -5505 53018 -5495
+rect 53211 -5470 53351 -5442
+rect 53159 -5504 53211 -5494
+rect 53403 -5470 53542 -5440
+rect 53351 -5502 53403 -5492
+rect 53594 -5441 54119 -5440
+rect 53594 -5445 53927 -5441
+rect 53594 -5470 53736 -5445
+rect 53542 -5502 53594 -5492
+rect 53788 -5470 53927 -5445
+rect 53736 -5507 53788 -5497
+rect 53979 -5470 54119 -5441
+rect 53927 -5503 53979 -5493
+rect 54312 -5440 54364 -5431
+rect 54504 -5440 54556 -5431
+rect 54697 -5440 54749 -5432
+rect 54889 -5440 54941 -5430
+rect 55080 -5439 55132 -5430
+rect 54171 -5441 54889 -5440
+rect 54171 -5470 54312 -5441
+rect 54119 -5499 54171 -5489
+rect 54364 -5470 54504 -5441
+rect 54312 -5503 54364 -5493
+rect 54556 -5442 54889 -5441
+rect 54556 -5470 54697 -5442
+rect 54504 -5503 54556 -5493
+rect 54749 -5470 54889 -5442
+rect 54697 -5504 54749 -5494
+rect 54941 -5470 55080 -5440
+rect 54889 -5502 54941 -5492
+rect 55271 -5438 55323 -5430
+rect 55132 -5470 55271 -5440
+rect 55080 -5501 55132 -5491
+rect 55464 -5438 55516 -5430
+rect 55323 -5470 55464 -5440
+rect 55271 -5500 55323 -5490
+rect 55655 -5440 55707 -5437
+rect 55846 -5440 55898 -5438
+rect 56036 -5440 56088 -5439
+rect 56997 -5440 57049 -5438
+rect 57191 -5440 57243 -5436
+rect 57384 -5440 57436 -5437
+rect 57577 -5440 57629 -5438
+rect 57766 -5440 57818 -5438
+rect 57959 -5440 58011 -5438
+rect 58151 -5440 58203 -5438
+rect 58342 -5440 58394 -5438
+rect 58535 -5440 58587 -5438
+rect 58726 -5440 58778 -5437
+rect 58921 -5440 58973 -5438
+rect 59110 -5440 59162 -5438
+rect 59303 -5440 59355 -5438
+rect 59495 -5440 59547 -5438
+rect 59686 -5440 59738 -5438
+rect 59878 -5440 59930 -5438
+rect 60071 -5440 60123 -5438
+rect 60260 -5440 60312 -5439
+rect 60454 -5440 60506 -5438
+rect 60645 -5440 60697 -5438
+rect 60838 -5440 60890 -5438
+rect 61030 -5440 61082 -5438
+rect 61222 -5440 61274 -5439
+rect 61415 -5440 61467 -5437
+rect 61605 -5440 61657 -5437
+rect 61798 -5440 61850 -5439
+rect 61990 -5440 62042 -5438
+rect 62183 -5440 62235 -5437
+rect 62374 -5440 62426 -5437
+rect 62566 -5440 62618 -5438
+rect 62757 -5440 62809 -5438
+rect 62949 -5440 63001 -5438
+rect 63143 -5440 63195 -5437
+rect 63335 -5440 63387 -5438
+rect 63525 -5440 63577 -5439
+rect 63909 -5440 63961 -5438
+rect 64102 -5440 64154 -5437
+rect 64295 -5440 64347 -5438
+rect 64486 -5440 64538 -5438
+rect 64677 -5440 64729 -5438
+rect 64870 -5440 64922 -5438
+rect 65063 -5440 65115 -5438
+rect 65254 -5440 65306 -5438
+rect 65445 -5440 65497 -5437
+rect 65636 -5440 65688 -5437
+rect 65829 -5440 65881 -5438
+rect 66023 -5440 66075 -5438
+rect 66211 -5440 66263 -5439
+rect 66400 -5440 66470 -5290
+rect 55516 -5446 66470 -5440
+rect 55516 -5447 57191 -5446
+rect 55516 -5470 55655 -5447
+rect 55464 -5500 55516 -5490
+rect 55707 -5448 57191 -5447
+rect 55707 -5470 55846 -5448
+rect 55655 -5509 55707 -5499
+rect 55898 -5449 56997 -5448
+rect 55898 -5470 56036 -5449
+rect 55846 -5510 55898 -5500
+rect 56088 -5451 56997 -5449
+rect 56088 -5453 56808 -5451
+rect 56088 -5470 56230 -5453
+rect 56036 -5511 56088 -5501
+rect 56282 -5454 56808 -5453
+rect 56282 -5455 56616 -5454
+rect 56282 -5470 56422 -5455
+rect 56230 -5515 56282 -5505
+rect 56474 -5470 56616 -5455
+rect 56422 -5517 56474 -5507
+rect 56668 -5470 56808 -5454
+rect 56616 -5516 56668 -5506
+rect 56860 -5470 56997 -5451
+rect 56808 -5513 56860 -5503
+rect 57049 -5470 57191 -5448
+rect 56997 -5510 57049 -5500
+rect 57243 -5447 66470 -5446
+rect 57243 -5470 57384 -5447
+rect 57191 -5508 57243 -5498
+rect 57436 -5448 58726 -5447
+rect 57436 -5470 57577 -5448
+rect 57384 -5509 57436 -5499
+rect 57629 -5470 57766 -5448
+rect 57577 -5510 57629 -5500
+rect 57818 -5470 57959 -5448
+rect 57766 -5510 57818 -5500
+rect 58011 -5470 58151 -5448
+rect 57959 -5510 58011 -5500
+rect 58203 -5470 58342 -5448
+rect 58151 -5510 58203 -5500
+rect 58394 -5470 58535 -5448
+rect 58342 -5510 58394 -5500
+rect 58587 -5470 58726 -5448
+rect 58535 -5510 58587 -5500
+rect 58778 -5448 61415 -5447
+rect 58778 -5470 58921 -5448
+rect 58726 -5509 58778 -5499
+rect 58973 -5470 59110 -5448
+rect 58921 -5510 58973 -5500
+rect 59162 -5470 59303 -5448
+rect 59110 -5510 59162 -5500
+rect 59355 -5470 59495 -5448
+rect 59303 -5510 59355 -5500
+rect 59547 -5470 59686 -5448
+rect 59495 -5510 59547 -5500
+rect 59738 -5470 59878 -5448
+rect 59686 -5510 59738 -5500
+rect 59930 -5470 60071 -5448
+rect 59878 -5510 59930 -5500
+rect 60123 -5449 60454 -5448
+rect 60123 -5470 60260 -5449
+rect 60071 -5510 60123 -5500
+rect 60312 -5470 60454 -5449
+rect 60260 -5511 60312 -5501
+rect 60506 -5470 60645 -5448
+rect 60454 -5510 60506 -5500
+rect 60697 -5470 60838 -5448
+rect 60645 -5510 60697 -5500
+rect 60890 -5470 61030 -5448
+rect 60838 -5510 60890 -5500
+rect 61082 -5449 61415 -5448
+rect 61082 -5470 61222 -5449
+rect 61030 -5510 61082 -5500
+rect 61274 -5470 61415 -5449
+rect 61222 -5511 61274 -5501
+rect 61467 -5470 61605 -5447
+rect 61415 -5509 61467 -5499
+rect 61657 -5448 62183 -5447
+rect 61657 -5449 61990 -5448
+rect 61657 -5470 61798 -5449
+rect 61605 -5509 61657 -5499
+rect 61850 -5470 61990 -5449
+rect 61798 -5511 61850 -5501
+rect 62042 -5470 62183 -5448
+rect 61990 -5510 62042 -5500
+rect 62235 -5470 62374 -5447
+rect 62183 -5509 62235 -5499
+rect 62426 -5448 63143 -5447
+rect 62426 -5470 62566 -5448
+rect 62374 -5509 62426 -5499
+rect 62618 -5470 62757 -5448
+rect 62566 -5510 62618 -5500
+rect 62809 -5470 62949 -5448
+rect 62757 -5510 62809 -5500
+rect 63001 -5470 63143 -5448
+rect 62949 -5510 63001 -5500
+rect 63195 -5448 64102 -5447
+rect 63195 -5470 63335 -5448
+rect 63143 -5509 63195 -5499
+rect 63387 -5449 63909 -5448
+rect 63387 -5470 63525 -5449
+rect 63335 -5510 63387 -5500
+rect 63577 -5450 63909 -5449
+rect 63577 -5470 63716 -5450
+rect 63525 -5511 63577 -5501
+rect 63768 -5470 63909 -5450
+rect 63716 -5512 63768 -5502
+rect 63961 -5470 64102 -5448
+rect 63909 -5510 63961 -5500
+rect 64154 -5448 65445 -5447
+rect 64154 -5470 64295 -5448
+rect 64102 -5509 64154 -5499
+rect 64347 -5470 64486 -5448
+rect 64295 -5510 64347 -5500
+rect 64538 -5470 64677 -5448
+rect 64486 -5510 64538 -5500
+rect 64729 -5470 64870 -5448
+rect 64677 -5510 64729 -5500
+rect 64922 -5470 65063 -5448
+rect 64870 -5510 64922 -5500
+rect 65115 -5470 65254 -5448
+rect 65063 -5510 65115 -5500
+rect 65306 -5470 65445 -5448
+rect 65254 -5510 65306 -5500
+rect 65497 -5470 65636 -5447
+rect 65445 -5509 65497 -5499
+rect 65688 -5448 66470 -5447
+rect 65688 -5470 65829 -5448
+rect 65636 -5509 65688 -5499
+rect 65881 -5470 66023 -5448
+rect 65829 -5510 65881 -5500
+rect 66075 -5449 66470 -5448
+rect 66075 -5470 66211 -5449
+rect 66023 -5510 66075 -5500
+rect 66263 -5457 66470 -5449
+rect 66263 -5470 66405 -5457
+rect 66211 -5511 66263 -5501
+rect 66457 -5470 66470 -5457
+rect 66405 -5519 66457 -5509
+rect 49991 -5585 50043 -5575
+rect 50182 -5587 50234 -5577
+rect 50043 -5630 50182 -5600
+rect 49991 -5647 50043 -5637
+rect 50374 -5586 50426 -5576
+rect 50234 -5630 50374 -5600
+rect 50182 -5649 50234 -5639
+rect 50566 -5589 50618 -5579
+rect 50426 -5630 50566 -5600
+rect 50374 -5648 50426 -5638
+rect 50760 -5588 50812 -5578
+rect 50618 -5630 50760 -5600
+rect 50566 -5651 50618 -5641
+rect 50950 -5588 51002 -5578
+rect 50812 -5630 50950 -5600
+rect 50760 -5650 50812 -5640
+rect 51145 -5586 51197 -5576
+rect 51002 -5630 51145 -5600
+rect 50950 -5650 51002 -5640
+rect 51336 -5585 51388 -5575
+rect 51197 -5630 51336 -5600
+rect 51145 -5648 51197 -5638
+rect 51531 -5587 51583 -5577
+rect 51388 -5630 51531 -5600
+rect 51336 -5647 51388 -5637
+rect 51721 -5587 51773 -5577
+rect 51583 -5630 51721 -5600
+rect 51531 -5649 51583 -5639
+rect 51911 -5586 51963 -5576
+rect 51773 -5630 51911 -5600
+rect 51721 -5649 51773 -5639
+rect 52104 -5585 52156 -5575
+rect 51963 -5630 52104 -5600
+rect 51911 -5648 51963 -5638
+rect 52050 -5637 52104 -5630
+rect 52295 -5585 52347 -5575
+rect 52156 -5630 52295 -5600
+rect 52050 -5647 52156 -5637
+rect 52491 -5587 52543 -5577
+rect 52347 -5630 52491 -5600
+rect 52295 -5647 52347 -5637
+rect 52430 -5639 52491 -5630
+rect 52680 -5587 52732 -5577
+rect 52543 -5630 52680 -5600
+rect 49430 -5698 49482 -5688
+rect 49430 -5760 49482 -5750
+rect 46740 -6730 47180 -6250
+rect 49440 -6220 49470 -5760
+rect 52050 -6060 52110 -5647
+rect 52430 -5649 52543 -5639
+rect 52874 -5587 52926 -5577
+rect 52732 -5630 52874 -5600
+rect 52680 -5649 52732 -5639
+rect 52820 -5639 52874 -5630
+rect 53063 -5587 53115 -5577
+rect 52926 -5630 53063 -5600
+rect 52820 -5649 52926 -5639
+rect 53255 -5588 53307 -5578
+rect 53115 -5630 53255 -5600
+rect 53063 -5649 53115 -5639
+rect 53200 -5640 53255 -5630
+rect 53448 -5588 53500 -5578
+rect 53307 -5630 53448 -5600
+rect 52430 -6059 52490 -5649
+rect 52820 -6059 52880 -5649
+rect 53200 -5650 53307 -5640
+rect 53639 -5588 53691 -5578
+rect 53500 -5630 53639 -5600
+rect 53448 -5650 53500 -5640
+rect 53580 -5640 53639 -5630
+rect 53831 -5587 53883 -5577
+rect 53691 -5630 53831 -5600
+rect 53580 -5650 53691 -5640
+rect 54023 -5588 54075 -5578
+rect 53883 -5630 54023 -5600
+rect 53831 -5649 53883 -5639
+rect 53970 -5640 54023 -5630
+rect 54216 -5588 54268 -5578
+rect 54075 -5630 54216 -5600
+rect 53970 -5650 54075 -5640
+rect 54407 -5588 54459 -5578
+rect 54268 -5630 54407 -5600
+rect 54216 -5650 54268 -5640
+rect 54350 -5640 54407 -5630
+rect 54599 -5587 54651 -5577
+rect 54459 -5630 54599 -5600
+rect 54350 -5650 54459 -5640
+rect 54792 -5588 54844 -5578
+rect 54651 -5630 54792 -5600
+rect 54599 -5649 54651 -5639
+rect 54740 -5640 54792 -5630
+rect 54984 -5588 55036 -5578
+rect 54844 -5630 54984 -5600
+rect 54740 -5650 54844 -5640
+rect 55175 -5588 55227 -5578
+rect 55036 -5630 55175 -5600
+rect 54984 -5650 55036 -5640
+rect 55120 -5640 55175 -5630
+rect 55366 -5588 55418 -5578
+rect 55227 -5630 55366 -5600
+rect 55120 -5650 55227 -5640
+rect 55558 -5588 55610 -5578
+rect 55418 -5630 55558 -5600
+rect 55366 -5650 55418 -5640
+rect 55500 -5640 55558 -5630
+rect 55751 -5587 55803 -5577
+rect 55610 -5630 55751 -5600
+rect 55500 -5650 55610 -5640
+rect 55942 -5587 55994 -5577
+rect 55803 -5630 55942 -5600
+rect 55751 -5649 55803 -5639
+rect 55890 -5639 55942 -5630
+rect 56136 -5588 56188 -5578
+rect 55994 -5630 56136 -5600
+rect 55890 -5649 55994 -5639
+rect 56328 -5588 56380 -5578
+rect 56188 -5630 56328 -5600
+rect 53200 -6059 53260 -5650
+rect 52429 -6060 52490 -6059
+rect 52620 -6060 52672 -6059
+rect 52814 -6060 52880 -6059
+rect 53198 -6060 53260 -6059
+rect 53390 -6060 53442 -6059
+rect 53580 -6060 53640 -5650
+rect 53970 -6060 54030 -5650
+rect 54350 -6060 54410 -5650
+rect 54542 -6060 54594 -6059
+rect 54740 -6060 54800 -5650
+rect 55120 -6060 55180 -5650
+rect 55310 -6060 55362 -6059
+rect 55500 -6060 55560 -5650
+rect 55890 -6059 55950 -5649
+rect 56136 -5650 56188 -5640
+rect 56270 -5640 56328 -5630
+rect 56520 -5588 56572 -5578
+rect 56380 -5630 56520 -5600
+rect 56270 -5650 56380 -5640
+rect 56712 -5588 56764 -5578
+rect 56572 -5630 56712 -5600
+rect 56520 -5650 56572 -5640
+rect 56660 -5640 56712 -5630
+rect 56904 -5588 56956 -5578
+rect 56764 -5630 56904 -5600
+rect 56660 -5650 56764 -5640
+rect 57096 -5588 57148 -5578
+rect 56956 -5630 57096 -5600
+rect 56904 -5650 56956 -5640
+rect 57040 -5640 57096 -5630
+rect 57288 -5588 57340 -5578
+rect 57148 -5630 57288 -5600
+rect 57040 -5650 57148 -5640
+rect 57479 -5588 57531 -5578
+rect 57340 -5630 57479 -5600
+rect 57288 -5650 57340 -5640
+rect 57420 -5640 57479 -5630
+rect 57671 -5588 57723 -5578
+rect 57531 -5630 57671 -5600
+rect 57420 -5650 57531 -5640
+rect 57864 -5588 57916 -5578
+rect 57723 -5630 57864 -5600
+rect 57671 -5650 57723 -5640
+rect 57810 -5640 57864 -5630
+rect 58056 -5588 58108 -5578
+rect 57916 -5630 58056 -5600
+rect 57810 -5650 57916 -5640
+rect 58248 -5588 58300 -5578
+rect 58108 -5630 58248 -5600
+rect 58056 -5650 58108 -5640
+rect 58240 -5640 58248 -5630
+rect 58439 -5588 58491 -5578
+rect 58300 -5630 58439 -5600
+rect 55694 -6060 55746 -6059
+rect 55886 -6060 55950 -6059
+rect 56270 -6060 56330 -5650
+rect 56462 -6060 56514 -6059
+rect 56660 -6060 56720 -5650
+rect 57040 -6060 57100 -5650
+rect 57420 -6060 57480 -5650
+rect 57810 -6059 57870 -5650
+rect 57613 -6060 57665 -6059
+rect 57804 -6060 57870 -6059
+rect 58240 -6060 58300 -5640
+rect 58631 -5588 58683 -5578
+rect 58491 -5630 58631 -5600
+rect 58439 -5650 58491 -5640
+rect 58620 -5640 58631 -5630
+rect 58822 -5588 58874 -5578
+rect 58683 -5630 58822 -5600
+rect 58620 -5650 58683 -5640
+rect 59013 -5588 59065 -5578
+rect 58874 -5630 59013 -5600
+rect 58822 -5650 58874 -5640
+rect 59010 -5640 59013 -5630
+rect 59207 -5588 59259 -5578
+rect 59065 -5630 59207 -5600
+rect 59065 -5640 59070 -5630
+rect 52050 -6061 58300 -6060
+rect 58431 -6061 58483 -6059
+rect 58620 -6061 58680 -5650
+rect 59010 -6058 59070 -5640
+rect 59399 -5588 59451 -5578
+rect 59259 -5630 59399 -5600
+rect 59207 -5650 59259 -5640
+rect 59592 -5587 59644 -5577
+rect 59451 -5630 59592 -5600
+rect 59451 -5640 59460 -5630
+rect 59399 -5650 59460 -5640
+rect 59783 -5588 59835 -5578
+rect 59644 -5630 59783 -5600
+rect 59592 -5649 59644 -5639
+rect 59780 -5640 59783 -5630
+rect 59975 -5588 60027 -5578
+rect 59835 -5630 59975 -5600
+rect 59835 -5640 59840 -5630
+rect 59400 -6058 59460 -5650
+rect 58814 -6061 58866 -6058
+rect 59008 -6061 59070 -6058
+rect 59198 -6061 59250 -6059
+rect 59392 -6061 59460 -6058
+rect 59584 -6061 59636 -6058
+rect 59780 -6059 59840 -5640
+rect 60168 -5588 60220 -5578
+rect 60027 -5630 60168 -5600
+rect 59975 -5650 60027 -5640
+rect 60160 -5640 60168 -5630
+rect 60360 -5588 60412 -5578
+rect 60220 -5630 60360 -5600
+rect 59775 -6061 59840 -6059
+rect 59970 -6061 60022 -6059
+rect 60160 -6061 60220 -5640
+rect 60553 -5587 60605 -5577
+rect 60412 -5630 60553 -5600
+rect 60360 -5650 60412 -5640
+rect 60540 -5639 60553 -5630
+rect 60744 -5588 60796 -5578
+rect 60605 -5630 60744 -5600
+rect 60540 -5649 60605 -5639
+rect 60936 -5588 60988 -5578
+rect 60796 -5630 60936 -5600
+rect 60351 -6061 60403 -6059
+rect 60540 -6061 60600 -5649
+rect 60744 -5650 60796 -5640
+rect 60930 -5640 60936 -5630
+rect 61127 -5588 61179 -5578
+rect 60988 -5630 61127 -5600
+rect 60988 -5640 60990 -5630
+rect 60736 -6061 60788 -6058
+rect 60930 -6059 60990 -5640
+rect 61319 -5588 61371 -5578
+rect 61179 -5630 61319 -5600
+rect 61127 -5650 61179 -5640
+rect 61511 -5588 61563 -5578
+rect 61371 -5630 61511 -5600
+rect 61371 -5640 61380 -5630
+rect 61319 -5650 61380 -5640
+rect 61704 -5588 61756 -5578
+rect 61563 -5630 61704 -5600
+rect 61511 -5650 61563 -5640
+rect 61700 -5640 61704 -5630
+rect 61895 -5588 61947 -5578
+rect 61756 -5630 61895 -5600
+rect 61756 -5640 61760 -5630
+rect 61320 -6059 61380 -5650
+rect 60928 -6061 60990 -6059
+rect 61120 -6061 61172 -6059
+rect 61313 -6061 61380 -6059
+rect 61504 -6061 61556 -6058
+rect 61700 -6059 61760 -5640
+rect 62087 -5588 62139 -5578
+rect 61947 -5630 62087 -5600
+rect 61895 -5650 61947 -5640
+rect 62080 -5640 62087 -5630
+rect 62279 -5587 62331 -5577
+rect 62139 -5630 62279 -5600
+rect 62139 -5640 62140 -5630
+rect 61696 -6061 61760 -6059
+rect 61888 -6061 61940 -6058
+rect 62080 -6061 62140 -5640
+rect 62471 -5588 62523 -5578
+rect 62331 -5630 62471 -5600
+rect 62279 -5649 62331 -5639
+rect 62470 -5640 62471 -5630
+rect 62661 -5588 62713 -5578
+rect 62523 -5630 62661 -5600
+rect 62523 -5640 62530 -5630
+rect 62470 -6058 62530 -5640
+rect 62855 -5588 62907 -5578
+rect 62713 -5630 62855 -5600
+rect 62661 -5650 62713 -5640
+rect 62850 -5640 62855 -5630
+rect 63047 -5587 63099 -5577
+rect 62907 -5630 63047 -5600
+rect 62907 -5640 62910 -5630
+rect 62271 -6061 62323 -6059
+rect 62464 -6061 62530 -6058
+rect 62656 -6061 62708 -6058
+rect 62850 -6061 62910 -5640
+rect 63238 -5588 63290 -5578
+rect 63099 -5630 63238 -5600
+rect 63047 -5649 63099 -5639
+rect 63230 -5640 63238 -5630
+rect 63431 -5588 63483 -5578
+rect 63290 -5630 63431 -5600
+rect 63041 -6061 63093 -6060
+rect 63230 -6061 63290 -5640
+rect 63622 -5587 63674 -5577
+rect 63483 -5630 63622 -5600
+rect 63431 -5650 63483 -5640
+rect 63620 -5639 63622 -5630
+rect 63814 -5588 63866 -5578
+rect 63674 -5630 63814 -5600
+rect 63674 -5639 63680 -5630
+rect 63620 -6059 63680 -5639
+rect 64005 -5588 64057 -5578
+rect 63866 -5630 64005 -5600
+rect 63814 -5650 63866 -5640
+rect 64000 -5640 64005 -5630
+rect 64199 -5587 64251 -5577
+rect 64057 -5630 64199 -5600
+rect 64057 -5640 64060 -5630
+rect 64000 -6058 64060 -5640
+rect 64390 -5588 64442 -5578
+rect 64251 -5630 64390 -5600
+rect 64199 -5649 64251 -5639
+rect 64582 -5588 64634 -5578
+rect 64442 -5630 64582 -5600
+rect 64390 -5650 64442 -5640
+rect 64774 -5588 64826 -5578
+rect 64634 -5630 64774 -5600
+rect 64582 -5650 64634 -5640
+rect 64966 -5588 65018 -5578
+rect 64826 -5630 64966 -5600
+rect 64774 -5650 64826 -5640
+rect 65159 -5588 65211 -5578
+rect 65018 -5630 65159 -5600
+rect 64966 -5650 65018 -5640
+rect 65350 -5588 65402 -5578
+rect 65211 -5630 65350 -5600
+rect 65159 -5650 65211 -5640
+rect 65543 -5587 65595 -5577
+rect 65402 -5630 65543 -5600
+rect 65350 -5650 65402 -5640
+rect 65734 -5588 65786 -5578
+rect 65595 -5630 65734 -5600
+rect 65543 -5649 65595 -5639
+rect 65927 -5588 65979 -5578
+rect 65786 -5630 65927 -5600
+rect 65734 -5650 65786 -5640
+rect 66118 -5588 66170 -5578
+rect 65979 -5630 66118 -5600
+rect 65927 -5650 65979 -5640
+rect 66309 -5586 66361 -5576
+rect 66170 -5630 66309 -5600
+rect 66118 -5650 66170 -5640
+rect 66504 -5588 66556 -5578
+rect 66361 -5630 66504 -5600
+rect 66309 -5648 66361 -5638
+rect 66504 -5650 66556 -5640
+rect 66920 -5698 66972 -5688
+rect 66920 -5760 66972 -5750
+rect 63423 -6061 63475 -6059
+rect 63616 -6061 63680 -6059
+rect 63807 -6061 63859 -6058
+rect 63998 -6061 64060 -6058
+rect 52047 -6068 64060 -6061
+rect 52047 -6069 58623 -6068
+rect 52047 -6070 52429 -6069
+rect 52047 -6071 52237 -6070
+rect 52099 -6092 52237 -6071
+rect 52047 -6133 52099 -6123
+rect 52289 -6092 52429 -6070
+rect 52237 -6132 52289 -6122
+rect 52481 -6092 52620 -6069
+rect 52429 -6131 52481 -6121
+rect 52672 -6092 52814 -6069
+rect 52620 -6131 52672 -6121
+rect 52866 -6070 53198 -6069
+rect 52866 -6092 53004 -6070
+rect 52814 -6131 52866 -6121
+rect 53056 -6092 53198 -6070
+rect 53004 -6132 53056 -6122
+rect 53250 -6092 53390 -6069
+rect 53198 -6131 53250 -6121
+rect 53442 -6070 54542 -6069
+rect 53442 -6092 53581 -6070
+rect 53390 -6131 53442 -6121
+rect 53633 -6092 53776 -6070
+rect 53581 -6132 53633 -6122
+rect 53828 -6092 53966 -6070
+rect 53776 -6132 53828 -6122
+rect 54018 -6092 54157 -6070
+rect 53966 -6132 54018 -6122
+rect 54209 -6092 54349 -6070
+rect 54157 -6132 54209 -6122
+rect 54401 -6092 54542 -6070
+rect 54349 -6132 54401 -6122
+rect 54594 -6070 55310 -6069
+rect 54594 -6092 54734 -6070
+rect 54542 -6131 54594 -6121
+rect 54786 -6092 54926 -6070
+rect 54734 -6132 54786 -6122
+rect 54978 -6092 55119 -6070
+rect 54926 -6132 54978 -6122
+rect 55171 -6092 55310 -6070
+rect 55119 -6132 55171 -6122
+rect 55362 -6070 55694 -6069
+rect 55362 -6092 55502 -6070
+rect 55310 -6131 55362 -6121
+rect 55554 -6092 55694 -6070
+rect 55502 -6132 55554 -6122
+rect 55746 -6092 55886 -6069
+rect 55694 -6131 55746 -6121
+rect 55938 -6070 56270 -6069
+rect 55938 -6092 56077 -6070
+rect 55886 -6131 55938 -6121
+rect 56129 -6092 56270 -6070
+rect 56077 -6132 56129 -6122
+rect 56322 -6092 56462 -6069
+rect 56270 -6131 56322 -6121
+rect 56514 -6070 57613 -6069
+rect 56514 -6092 56656 -6070
+rect 56462 -6131 56514 -6121
+rect 56708 -6071 57036 -6070
+rect 56708 -6092 56847 -6071
+rect 56656 -6132 56708 -6122
+rect 56899 -6092 57036 -6071
+rect 56847 -6133 56899 -6123
+rect 57088 -6092 57229 -6070
+rect 57036 -6132 57088 -6122
+rect 57281 -6092 57422 -6070
+rect 57229 -6132 57281 -6122
+rect 57474 -6092 57613 -6070
+rect 57422 -6132 57474 -6122
+rect 57665 -6092 57804 -6069
+rect 57613 -6131 57665 -6121
+rect 57856 -6070 58431 -6069
+rect 57856 -6090 58241 -6070
+rect 57804 -6131 57856 -6121
+rect 58293 -6091 58431 -6070
+rect 58241 -6132 58293 -6122
+rect 58483 -6091 58623 -6069
+rect 58431 -6131 58483 -6121
+rect 58675 -6091 58814 -6068
+rect 58623 -6130 58675 -6120
+rect 58866 -6091 59008 -6068
+rect 58814 -6130 58866 -6120
+rect 59060 -6069 59392 -6068
+rect 59060 -6091 59198 -6069
+rect 59008 -6130 59060 -6120
+rect 59250 -6091 59392 -6069
+rect 59198 -6131 59250 -6121
+rect 59444 -6091 59584 -6068
+rect 59392 -6130 59444 -6120
+rect 59636 -6069 60736 -6068
+rect 59636 -6091 59775 -6069
+rect 59584 -6130 59636 -6120
+rect 59827 -6091 59970 -6069
+rect 59775 -6131 59827 -6121
+rect 60022 -6091 60160 -6069
+rect 59970 -6131 60022 -6121
+rect 60212 -6091 60351 -6069
+rect 60160 -6131 60212 -6121
+rect 60403 -6091 60543 -6069
+rect 60351 -6131 60403 -6121
+rect 60595 -6091 60736 -6069
+rect 60543 -6131 60595 -6121
+rect 60788 -6069 61504 -6068
+rect 60788 -6091 60928 -6069
+rect 60736 -6130 60788 -6120
+rect 60980 -6091 61120 -6069
+rect 60928 -6131 60980 -6121
+rect 61172 -6091 61313 -6069
+rect 61120 -6131 61172 -6121
+rect 61365 -6091 61504 -6069
+rect 61313 -6131 61365 -6121
+rect 61556 -6069 61888 -6068
+rect 61556 -6091 61696 -6069
+rect 61504 -6130 61556 -6120
+rect 61748 -6091 61888 -6069
+rect 61696 -6131 61748 -6121
+rect 61940 -6091 62080 -6068
+rect 61888 -6130 61940 -6120
+rect 62132 -6069 62464 -6068
+rect 62132 -6091 62271 -6069
+rect 62080 -6130 62132 -6120
+rect 62323 -6091 62464 -6069
+rect 62271 -6131 62323 -6121
+rect 62516 -6091 62656 -6068
+rect 62464 -6130 62516 -6120
+rect 62708 -6069 63807 -6068
+rect 62708 -6091 62850 -6069
+rect 62656 -6130 62708 -6120
+rect 62902 -6070 63230 -6069
+rect 62902 -6091 63041 -6070
+rect 62850 -6131 62902 -6121
+rect 63093 -6091 63230 -6070
+rect 63041 -6132 63093 -6122
+rect 63282 -6091 63423 -6069
+rect 63230 -6131 63282 -6121
+rect 63475 -6091 63616 -6069
+rect 63423 -6131 63475 -6121
+rect 63668 -6091 63807 -6069
+rect 63616 -6131 63668 -6121
+rect 63859 -6091 63998 -6068
+rect 63807 -6130 63859 -6120
+rect 64050 -6070 64060 -6068
+rect 63998 -6130 64050 -6120
+rect 51949 -6210 52001 -6200
+rect 49440 -6260 51949 -6220
+rect 51140 -6380 51180 -6260
+rect 52141 -6210 52193 -6200
+rect 52001 -6252 52141 -6222
+rect 51949 -6272 52001 -6262
+rect 52334 -6210 52386 -6200
+rect 52193 -6252 52334 -6222
+rect 52141 -6272 52193 -6262
+rect 52526 -6210 52578 -6200
+rect 52386 -6252 52526 -6222
+rect 52334 -6272 52386 -6262
+rect 52719 -6210 52771 -6200
+rect 52578 -6252 52719 -6222
+rect 52526 -6272 52578 -6262
+rect 52911 -6209 52963 -6199
+rect 52771 -6252 52911 -6222
+rect 52719 -6272 52771 -6262
+rect 53102 -6210 53154 -6200
+rect 52963 -6252 53102 -6222
+rect 52911 -6271 52963 -6261
+rect 53294 -6210 53346 -6200
+rect 53154 -6252 53294 -6222
+rect 53102 -6272 53154 -6262
+rect 53486 -6209 53538 -6199
+rect 53346 -6252 53486 -6222
+rect 53294 -6272 53346 -6262
+rect 53678 -6209 53730 -6199
+rect 53538 -6252 53678 -6222
+rect 53486 -6271 53538 -6261
+rect 53870 -6210 53922 -6200
+rect 53730 -6252 53870 -6222
+rect 53678 -6271 53730 -6261
+rect 54062 -6209 54114 -6199
+rect 53922 -6252 54062 -6222
+rect 53870 -6272 53922 -6262
+rect 54254 -6210 54306 -6200
+rect 54114 -6252 54254 -6222
+rect 54062 -6271 54114 -6261
+rect 54445 -6209 54497 -6199
+rect 54306 -6252 54445 -6222
+rect 54254 -6272 54306 -6262
+rect 54639 -6210 54691 -6200
+rect 54497 -6252 54639 -6222
+rect 54445 -6271 54497 -6261
+rect 54829 -6210 54881 -6200
+rect 54691 -6252 54829 -6222
+rect 54639 -6272 54691 -6262
+rect 55021 -6210 55073 -6200
+rect 54881 -6252 55021 -6222
+rect 54829 -6272 54881 -6262
+rect 55214 -6210 55266 -6200
+rect 55073 -6252 55214 -6222
+rect 55021 -6272 55073 -6262
+rect 55405 -6210 55457 -6200
+rect 55266 -6252 55405 -6222
+rect 55214 -6272 55266 -6262
+rect 55598 -6209 55650 -6199
+rect 55457 -6252 55598 -6222
+rect 55405 -6272 55457 -6262
+rect 55791 -6210 55843 -6200
+rect 55650 -6252 55791 -6222
+rect 55598 -6271 55650 -6261
+rect 55982 -6210 56034 -6200
+rect 55843 -6252 55982 -6222
+rect 55791 -6272 55843 -6262
+rect 56175 -6210 56227 -6200
+rect 56034 -6252 56175 -6222
+rect 55982 -6272 56034 -6262
+rect 56365 -6210 56417 -6200
+rect 56227 -6252 56365 -6222
+rect 56175 -6272 56227 -6262
+rect 56559 -6209 56611 -6199
+rect 56417 -6252 56559 -6222
+rect 56365 -6272 56417 -6262
+rect 56748 -6210 56800 -6200
+rect 56611 -6252 56748 -6222
+rect 56559 -6271 56611 -6261
+rect 56941 -6210 56993 -6200
+rect 56800 -6252 56941 -6222
+rect 56748 -6272 56800 -6262
+rect 57134 -6210 57186 -6200
+rect 56993 -6252 57134 -6222
+rect 56941 -6272 56993 -6262
+rect 57324 -6210 57376 -6200
+rect 57186 -6252 57324 -6222
+rect 57134 -6272 57186 -6262
+rect 57517 -6209 57569 -6199
+rect 57376 -6252 57517 -6222
+rect 57324 -6272 57376 -6262
+rect 57706 -6209 57758 -6199
+rect 57569 -6252 57706 -6222
+rect 57517 -6271 57569 -6261
+rect 57706 -6271 57758 -6261
+rect 58143 -6209 58195 -6199
+rect 58335 -6209 58387 -6199
+rect 58195 -6251 58335 -6221
+rect 58143 -6271 58195 -6261
+rect 58528 -6209 58580 -6199
+rect 58387 -6251 58528 -6221
+rect 58335 -6271 58387 -6261
+rect 58720 -6209 58772 -6199
+rect 58580 -6251 58720 -6221
+rect 58528 -6271 58580 -6261
+rect 58913 -6209 58965 -6199
+rect 58772 -6251 58913 -6221
+rect 58720 -6271 58772 -6261
+rect 59105 -6208 59157 -6198
+rect 58965 -6251 59105 -6221
+rect 58913 -6271 58965 -6261
+rect 59296 -6209 59348 -6199
+rect 59157 -6251 59296 -6221
+rect 59105 -6270 59157 -6260
+rect 59488 -6209 59540 -6199
+rect 59348 -6251 59488 -6221
+rect 59296 -6271 59348 -6261
+rect 59680 -6208 59732 -6198
+rect 59540 -6251 59680 -6221
+rect 59488 -6271 59540 -6261
+rect 59872 -6208 59924 -6198
+rect 59732 -6251 59872 -6221
+rect 59680 -6270 59732 -6260
+rect 60064 -6209 60116 -6199
+rect 59924 -6251 60064 -6221
+rect 59872 -6270 59924 -6260
+rect 60256 -6208 60308 -6198
+rect 60116 -6251 60256 -6221
+rect 60064 -6271 60116 -6261
+rect 60448 -6209 60500 -6199
+rect 60308 -6251 60448 -6221
+rect 60256 -6270 60308 -6260
+rect 60639 -6208 60691 -6198
+rect 60500 -6251 60639 -6221
+rect 60448 -6271 60500 -6261
+rect 60833 -6209 60885 -6199
+rect 60691 -6251 60833 -6221
+rect 60639 -6270 60691 -6260
+rect 61023 -6209 61075 -6199
+rect 60885 -6251 61023 -6221
+rect 60833 -6271 60885 -6261
+rect 61215 -6209 61267 -6199
+rect 61075 -6251 61215 -6221
+rect 61023 -6271 61075 -6261
+rect 61408 -6209 61460 -6199
+rect 61267 -6251 61408 -6221
+rect 61215 -6271 61267 -6261
+rect 61599 -6209 61651 -6199
+rect 61460 -6251 61599 -6221
+rect 61408 -6271 61460 -6261
+rect 61792 -6208 61844 -6198
+rect 61651 -6251 61792 -6221
+rect 61599 -6271 61651 -6261
+rect 61985 -6209 62037 -6199
+rect 61844 -6251 61985 -6221
+rect 61792 -6270 61844 -6260
+rect 62176 -6209 62228 -6199
+rect 62037 -6251 62176 -6221
+rect 61985 -6271 62037 -6261
+rect 62369 -6209 62421 -6199
+rect 62228 -6251 62369 -6221
+rect 62176 -6271 62228 -6261
+rect 62559 -6209 62611 -6199
+rect 62421 -6251 62559 -6221
+rect 62369 -6271 62421 -6261
+rect 62753 -6208 62805 -6198
+rect 62611 -6251 62753 -6221
+rect 62559 -6271 62611 -6261
+rect 62942 -6209 62994 -6199
+rect 62805 -6251 62942 -6221
+rect 62753 -6270 62805 -6260
+rect 63135 -6209 63187 -6199
+rect 62994 -6251 63135 -6221
+rect 62942 -6271 62994 -6261
+rect 63328 -6209 63380 -6199
+rect 63187 -6251 63328 -6221
+rect 63135 -6271 63187 -6261
+rect 63518 -6209 63570 -6199
+rect 63380 -6251 63518 -6221
+rect 63328 -6271 63380 -6261
+rect 63711 -6208 63763 -6198
+rect 63570 -6251 63711 -6221
+rect 63518 -6271 63570 -6261
+rect 63900 -6208 63952 -6198
+rect 63763 -6251 63900 -6221
+rect 63711 -6270 63763 -6260
+rect 66930 -6220 66960 -5760
+rect 63952 -6250 66960 -6220
+rect 63900 -6270 63952 -6260
+rect 63990 -6300 64050 -6290
+rect 57800 -6310 57860 -6300
+rect 52040 -6320 52100 -6310
+rect 51130 -6390 51182 -6380
+rect 51130 -6452 51182 -6442
+rect 50820 -6480 50880 -6470
+rect 50820 -6550 50880 -6540
+rect 51128 -6575 51180 -6565
+rect 51128 -6637 51180 -6627
+rect 51140 -6680 51180 -6637
+rect 52040 -6680 52100 -6380
+rect 52238 -6680 52290 -6678
+rect 52430 -6680 52482 -6677
+rect 52621 -6680 52673 -6677
+rect 52815 -6680 52867 -6677
+rect 53005 -6680 53057 -6678
+rect 53199 -6680 53251 -6677
+rect 53391 -6680 53443 -6677
+rect 53582 -6680 53634 -6678
+rect 53777 -6680 53829 -6678
+rect 53967 -6680 54019 -6678
+rect 54158 -6680 54210 -6678
+rect 54350 -6680 54402 -6678
+rect 54543 -6680 54595 -6677
+rect 54735 -6680 54787 -6678
+rect 54927 -6680 54979 -6678
+rect 55120 -6680 55172 -6678
+rect 55311 -6680 55363 -6677
+rect 55503 -6680 55555 -6678
+rect 55695 -6680 55747 -6677
+rect 55887 -6680 55939 -6677
+rect 56078 -6680 56130 -6678
+rect 56271 -6680 56323 -6677
+rect 56463 -6680 56515 -6677
+rect 56657 -6680 56709 -6678
+rect 56848 -6680 56900 -6679
+rect 57037 -6680 57089 -6678
+rect 57230 -6680 57282 -6678
+rect 57423 -6680 57475 -6678
+rect 57614 -6680 57666 -6677
+rect 57800 -6680 57860 -6370
+rect 46740 -7750 46780 -6730
+rect 47110 -7750 47180 -6730
+rect 49440 -6687 57860 -6680
+rect 49440 -6688 52430 -6687
+rect 49440 -6689 52238 -6688
+rect 49440 -6720 52048 -6689
+rect 49440 -7188 49470 -6720
+rect 52100 -6710 52238 -6689
+rect 52048 -6751 52100 -6741
+rect 52290 -6710 52430 -6688
+rect 52238 -6750 52290 -6740
+rect 52482 -6710 52621 -6687
+rect 52430 -6749 52482 -6739
+rect 52673 -6710 52815 -6687
+rect 52621 -6749 52673 -6739
+rect 52867 -6688 53199 -6687
+rect 52867 -6710 53005 -6688
+rect 52815 -6749 52867 -6739
+rect 53057 -6710 53199 -6688
+rect 53005 -6750 53057 -6740
+rect 53251 -6710 53391 -6687
+rect 53199 -6749 53251 -6739
+rect 53443 -6688 54543 -6687
+rect 53443 -6710 53582 -6688
+rect 53391 -6749 53443 -6739
+rect 53634 -6710 53777 -6688
+rect 53582 -6750 53634 -6740
+rect 53829 -6710 53967 -6688
+rect 53777 -6750 53829 -6740
+rect 54019 -6710 54158 -6688
+rect 53967 -6750 54019 -6740
+rect 54210 -6710 54350 -6688
+rect 54158 -6750 54210 -6740
+rect 54402 -6710 54543 -6688
+rect 54350 -6750 54402 -6740
+rect 54595 -6688 55311 -6687
+rect 54595 -6710 54735 -6688
+rect 54543 -6749 54595 -6739
+rect 54787 -6710 54927 -6688
+rect 54735 -6750 54787 -6740
+rect 54979 -6710 55120 -6688
+rect 54927 -6750 54979 -6740
+rect 55172 -6710 55311 -6688
+rect 55120 -6750 55172 -6740
+rect 55363 -6688 55695 -6687
+rect 55363 -6710 55503 -6688
+rect 55311 -6749 55363 -6739
+rect 55555 -6710 55695 -6688
+rect 55503 -6750 55555 -6740
+rect 55747 -6710 55887 -6687
+rect 55695 -6749 55747 -6739
+rect 55939 -6688 56271 -6687
+rect 55939 -6710 56078 -6688
+rect 55887 -6749 55939 -6739
+rect 56130 -6710 56271 -6688
+rect 56078 -6750 56130 -6740
+rect 56323 -6710 56463 -6687
+rect 56271 -6749 56323 -6739
+rect 56515 -6688 57614 -6687
+rect 56515 -6710 56657 -6688
+rect 56463 -6749 56515 -6739
+rect 56709 -6689 57037 -6688
+rect 56709 -6710 56848 -6689
+rect 56657 -6750 56709 -6740
+rect 56900 -6710 57037 -6689
+rect 56848 -6751 56900 -6741
+rect 57089 -6710 57230 -6688
+rect 57037 -6750 57089 -6740
+rect 57282 -6710 57423 -6688
+rect 57230 -6750 57282 -6740
+rect 57475 -6710 57614 -6688
+rect 57423 -6750 57475 -6740
+rect 57666 -6710 57805 -6687
+rect 57614 -6749 57666 -6739
+rect 57857 -6690 57860 -6687
+rect 58230 -6310 58290 -6300
+rect 58230 -6679 58290 -6370
+rect 58426 -6679 58478 -6677
+rect 58618 -6679 58670 -6676
+rect 58809 -6679 58861 -6676
+rect 59003 -6679 59055 -6676
+rect 59193 -6679 59245 -6677
+rect 59387 -6679 59439 -6676
+rect 59579 -6679 59631 -6676
+rect 59770 -6679 59822 -6677
+rect 59965 -6679 60017 -6677
+rect 60155 -6679 60207 -6677
+rect 60346 -6679 60398 -6677
+rect 60538 -6679 60590 -6677
+rect 60731 -6679 60783 -6676
+rect 60923 -6679 60975 -6677
+rect 61115 -6679 61167 -6677
+rect 61308 -6679 61360 -6677
+rect 61499 -6679 61551 -6676
+rect 61691 -6679 61743 -6677
+rect 61883 -6679 61935 -6676
+rect 62075 -6679 62127 -6676
+rect 62266 -6679 62318 -6677
+rect 62459 -6679 62511 -6676
+rect 62651 -6679 62703 -6676
+rect 62845 -6679 62897 -6677
+rect 63036 -6679 63088 -6678
+rect 63225 -6679 63277 -6677
+rect 63418 -6679 63470 -6677
+rect 63611 -6679 63663 -6677
+rect 63802 -6679 63854 -6676
+rect 63990 -6679 64050 -6360
+rect 65160 -6380 65200 -6250
+rect 69370 -6260 69420 -5240
+rect 69750 -6260 69810 -5240
+rect 65151 -6390 65203 -6380
+rect 65151 -6452 65203 -6442
+rect 65440 -6480 65500 -6470
+rect 65440 -6550 65500 -6540
+rect 65149 -6576 65201 -6566
+rect 65149 -6638 65201 -6628
+rect 58230 -6680 64050 -6679
+rect 65160 -6680 65200 -6638
+rect 58230 -6686 66950 -6680
+rect 58230 -6687 58618 -6686
+rect 58230 -6688 58426 -6687
+rect 58230 -6690 58236 -6688
+rect 57805 -6749 57857 -6739
+rect 58288 -6709 58426 -6688
+rect 58236 -6750 58288 -6740
+rect 58478 -6709 58618 -6687
+rect 58426 -6749 58478 -6739
+rect 58670 -6709 58809 -6686
+rect 58618 -6748 58670 -6738
+rect 58861 -6709 59003 -6686
+rect 58809 -6748 58861 -6738
+rect 59055 -6687 59387 -6686
+rect 59055 -6709 59193 -6687
+rect 59003 -6748 59055 -6738
+rect 59245 -6709 59387 -6687
+rect 59193 -6749 59245 -6739
+rect 59439 -6709 59579 -6686
+rect 59387 -6748 59439 -6738
+rect 59631 -6687 60731 -6686
+rect 59631 -6709 59770 -6687
+rect 59579 -6748 59631 -6738
+rect 59822 -6709 59965 -6687
+rect 59770 -6749 59822 -6739
+rect 60017 -6709 60155 -6687
+rect 59965 -6749 60017 -6739
+rect 60207 -6709 60346 -6687
+rect 60155 -6749 60207 -6739
+rect 60398 -6709 60538 -6687
+rect 60346 -6749 60398 -6739
+rect 60590 -6709 60731 -6687
+rect 60538 -6749 60590 -6739
+rect 60783 -6687 61499 -6686
+rect 60783 -6709 60923 -6687
+rect 60731 -6748 60783 -6738
+rect 60975 -6709 61115 -6687
+rect 60923 -6749 60975 -6739
+rect 61167 -6709 61308 -6687
+rect 61115 -6749 61167 -6739
+rect 61360 -6709 61499 -6687
+rect 61308 -6749 61360 -6739
+rect 61551 -6687 61883 -6686
+rect 61551 -6709 61691 -6687
+rect 61499 -6748 61551 -6738
+rect 61743 -6709 61883 -6687
+rect 61691 -6749 61743 -6739
+rect 61935 -6709 62075 -6686
+rect 61883 -6748 61935 -6738
+rect 62127 -6687 62459 -6686
+rect 62127 -6709 62266 -6687
+rect 62075 -6748 62127 -6738
+rect 62318 -6709 62459 -6687
+rect 62266 -6749 62318 -6739
+rect 62511 -6709 62651 -6686
+rect 62459 -6748 62511 -6738
+rect 62703 -6687 63802 -6686
+rect 62703 -6709 62845 -6687
+rect 62651 -6748 62703 -6738
+rect 62897 -6688 63225 -6687
+rect 62897 -6709 63036 -6688
+rect 62845 -6749 62897 -6739
+rect 63088 -6709 63225 -6688
+rect 63036 -6750 63088 -6740
+rect 63277 -6709 63418 -6687
+rect 63225 -6749 63277 -6739
+rect 63470 -6709 63611 -6687
+rect 63418 -6749 63470 -6739
+rect 63663 -6709 63802 -6687
+rect 63611 -6749 63663 -6739
+rect 63854 -6709 63993 -6686
+rect 63802 -6748 63854 -6738
+rect 64045 -6710 66950 -6686
+rect 63993 -6748 64045 -6738
+rect 51950 -6826 63950 -6810
+rect 51950 -6827 59100 -6826
+rect 51950 -6828 52912 -6827
+rect 52002 -6880 52142 -6828
+rect 52194 -6880 52335 -6828
+rect 52387 -6880 52527 -6828
+rect 52579 -6880 52720 -6828
+rect 52772 -6879 52912 -6828
+rect 52964 -6828 53487 -6827
+rect 52964 -6879 53103 -6828
+rect 52772 -6880 53103 -6879
+rect 53155 -6880 53295 -6828
+rect 53347 -6879 53487 -6828
+rect 53539 -6879 53679 -6827
+rect 53731 -6828 54063 -6827
+rect 53731 -6879 53871 -6828
+rect 53347 -6880 53871 -6879
+rect 53923 -6879 54063 -6828
+rect 54115 -6828 54446 -6827
+rect 54115 -6879 54255 -6828
+rect 53923 -6880 54255 -6879
+rect 54307 -6879 54446 -6828
+rect 54498 -6828 55599 -6827
+rect 54498 -6879 54640 -6828
+rect 54307 -6880 54640 -6879
+rect 54692 -6880 54830 -6828
+rect 54882 -6880 55022 -6828
+rect 55074 -6880 55215 -6828
+rect 55267 -6880 55406 -6828
+rect 55458 -6879 55599 -6828
+rect 55651 -6828 56560 -6827
+rect 55651 -6879 55792 -6828
+rect 55458 -6880 55792 -6879
+rect 55844 -6880 55983 -6828
+rect 56035 -6880 56176 -6828
+rect 56228 -6880 56366 -6828
+rect 56418 -6879 56560 -6828
+rect 56612 -6828 57518 -6827
+rect 56612 -6879 56749 -6828
+rect 56418 -6880 56749 -6879
+rect 56801 -6880 56942 -6828
+rect 56994 -6880 57135 -6828
+rect 57187 -6880 57325 -6828
+rect 57377 -6879 57518 -6828
+rect 57570 -6879 57707 -6827
+rect 57759 -6879 58138 -6827
+rect 58190 -6879 58330 -6827
+rect 58382 -6879 58523 -6827
+rect 58575 -6879 58715 -6827
+rect 58767 -6879 58908 -6827
+rect 58960 -6878 59100 -6827
+rect 59152 -6827 59675 -6826
+rect 59152 -6878 59291 -6827
+rect 58960 -6879 59291 -6878
+rect 59343 -6879 59483 -6827
+rect 59535 -6878 59675 -6827
+rect 59727 -6878 59867 -6826
+rect 59919 -6827 60251 -6826
+rect 59919 -6878 60059 -6827
+rect 59535 -6879 60059 -6878
+rect 60111 -6878 60251 -6827
+rect 60303 -6827 60634 -6826
+rect 60303 -6878 60443 -6827
+rect 60111 -6879 60443 -6878
+rect 60495 -6878 60634 -6827
+rect 60686 -6827 61787 -6826
+rect 60686 -6878 60828 -6827
+rect 60495 -6879 60828 -6878
+rect 60880 -6879 61018 -6827
+rect 61070 -6879 61210 -6827
+rect 61262 -6879 61403 -6827
+rect 61455 -6879 61594 -6827
+rect 61646 -6878 61787 -6827
+rect 61839 -6827 62748 -6826
+rect 61839 -6878 61980 -6827
+rect 61646 -6879 61980 -6878
+rect 62032 -6879 62171 -6827
+rect 62223 -6879 62364 -6827
+rect 62416 -6879 62554 -6827
+rect 62606 -6878 62748 -6827
+rect 62800 -6827 63706 -6826
+rect 62800 -6878 62937 -6827
+rect 62606 -6879 62937 -6878
+rect 62989 -6879 63130 -6827
+rect 63182 -6879 63323 -6827
+rect 63375 -6879 63513 -6827
+rect 63565 -6878 63706 -6827
+rect 63758 -6878 63895 -6826
+rect 63947 -6878 63950 -6826
+rect 63565 -6879 63950 -6878
+rect 57377 -6880 63950 -6879
+rect 51950 -6890 63950 -6880
+rect 49430 -7198 49482 -7188
+rect 49430 -7260 49482 -7250
+rect 50087 -7300 50139 -7292
+rect 50277 -7300 50329 -7291
+rect 50470 -7300 50522 -7294
+rect 50662 -7299 50714 -7289
+rect 50087 -7301 50662 -7300
+rect 50087 -7302 50277 -7301
+rect 50139 -7330 50277 -7302
+rect 50087 -7364 50139 -7354
+rect 50329 -7304 50662 -7301
+rect 50329 -7330 50470 -7304
+rect 50277 -7363 50329 -7353
+rect 50522 -7330 50662 -7304
+rect 50470 -7366 50522 -7356
+rect 50854 -7300 50906 -7293
+rect 51046 -7298 51098 -7288
+rect 50714 -7303 51046 -7300
+rect 50714 -7330 50854 -7303
+rect 50662 -7361 50714 -7351
+rect 50906 -7330 51046 -7303
+rect 50854 -7365 50906 -7355
+rect 51238 -7299 51290 -7289
+rect 51098 -7330 51238 -7300
+rect 51046 -7360 51098 -7350
+rect 51428 -7298 51480 -7288
+rect 51290 -7330 51428 -7300
+rect 51238 -7361 51290 -7351
+rect 51622 -7300 51674 -7299
+rect 51811 -7300 51863 -7297
+rect 52000 -7300 52060 -6890
+rect 52200 -7300 52252 -7298
+rect 52390 -7300 52450 -6890
+rect 52582 -7300 52634 -7298
+rect 52774 -7300 52826 -7291
+rect 52960 -7300 53020 -6890
+rect 53159 -7300 53211 -7292
+rect 53350 -7300 53410 -6890
+rect 53542 -7300 53594 -7290
+rect 53730 -7300 53790 -6890
+rect 54120 -7287 54180 -6890
+rect 53927 -7300 53979 -7291
+rect 54119 -7297 54180 -7287
+rect 54510 -7291 54570 -6890
+rect 54890 -7290 54950 -6890
+rect 55260 -7288 55320 -6890
+rect 51480 -7301 53351 -7300
+rect 51480 -7307 52774 -7301
+rect 51480 -7309 51811 -7307
+rect 51480 -7330 51622 -7309
+rect 51428 -7360 51480 -7350
+rect 51674 -7330 51811 -7309
+rect 51622 -7371 51674 -7361
+rect 51863 -7330 52001 -7307
+rect 51811 -7369 51863 -7359
+rect 52053 -7308 52392 -7307
+rect 52053 -7330 52200 -7308
+rect 52001 -7369 52053 -7359
+rect 52252 -7330 52392 -7308
+rect 52200 -7370 52252 -7360
+rect 52444 -7308 52774 -7307
+rect 52444 -7330 52582 -7308
+rect 52392 -7369 52444 -7359
+rect 52634 -7330 52774 -7308
+rect 52582 -7370 52634 -7360
+rect 52826 -7302 53351 -7301
+rect 52826 -7303 53159 -7302
+rect 52826 -7330 52966 -7303
+rect 52774 -7363 52826 -7353
+rect 53018 -7330 53159 -7303
+rect 52966 -7365 53018 -7355
+rect 53211 -7330 53351 -7302
+rect 53159 -7364 53211 -7354
+rect 53403 -7330 53542 -7300
+rect 53351 -7362 53403 -7352
+rect 53594 -7301 54119 -7300
+rect 53594 -7305 53927 -7301
+rect 53594 -7330 53736 -7305
+rect 53542 -7362 53594 -7352
+rect 53788 -7330 53927 -7305
+rect 53736 -7367 53788 -7357
+rect 53979 -7330 54119 -7301
+rect 53927 -7363 53979 -7353
+rect 54171 -7300 54180 -7297
+rect 54312 -7300 54364 -7291
+rect 54504 -7300 54570 -7291
+rect 54697 -7300 54749 -7292
+rect 54889 -7300 54950 -7290
+rect 55080 -7299 55132 -7289
+rect 54171 -7301 54889 -7300
+rect 54171 -7330 54312 -7301
+rect 54119 -7359 54171 -7349
+rect 54364 -7330 54504 -7301
+rect 54312 -7363 54364 -7353
+rect 54556 -7302 54889 -7301
+rect 54556 -7330 54697 -7302
+rect 54504 -7363 54556 -7353
+rect 54749 -7330 54889 -7302
+rect 54697 -7364 54749 -7354
+rect 54941 -7330 55080 -7300
+rect 54889 -7362 54941 -7352
+rect 55260 -7298 55323 -7288
+rect 55260 -7300 55271 -7298
+rect 55132 -7330 55271 -7300
+rect 55080 -7361 55132 -7351
+rect 55464 -7298 55516 -7288
+rect 55323 -7330 55464 -7300
+rect 55271 -7360 55323 -7350
+rect 55650 -7300 55710 -6890
+rect 55846 -7300 55898 -7298
+rect 56030 -7300 56090 -6890
+rect 56410 -7300 56470 -6890
+rect 56810 -7300 56870 -6890
+rect 57180 -7296 57240 -6890
+rect 56997 -7300 57049 -7298
+rect 57180 -7300 57243 -7296
+rect 57384 -7300 57436 -7297
+rect 57570 -7300 57630 -6890
+rect 57960 -7298 58020 -6890
+rect 57766 -7300 57818 -7298
+rect 57959 -7300 58020 -7298
+rect 58151 -7300 58203 -7298
+rect 58340 -7300 58400 -6890
+rect 58730 -7297 58790 -6890
+rect 58535 -7300 58587 -7298
+rect 58726 -7300 58790 -7297
+rect 58921 -7300 58973 -7298
+rect 59110 -7300 59170 -6890
+rect 59303 -7300 59355 -7298
+rect 59490 -7300 59550 -6890
+rect 59880 -7298 59940 -6890
+rect 59686 -7300 59738 -7298
+rect 59878 -7300 59940 -7298
+rect 60071 -7300 60123 -7298
+rect 60260 -7300 60320 -6890
+rect 60454 -7300 60506 -7298
+rect 60640 -7300 60700 -6890
+rect 60838 -7300 60890 -7298
+rect 61030 -7300 61090 -6890
+rect 61420 -7297 61480 -6890
+rect 61222 -7300 61274 -7299
+rect 61415 -7300 61480 -7297
+rect 61605 -7300 61657 -7297
+rect 61800 -7299 61860 -6890
+rect 61798 -7300 61860 -7299
+rect 61990 -7300 62042 -7298
+rect 62180 -7300 62240 -6890
+rect 62374 -7300 62426 -7297
+rect 62570 -7298 62630 -6890
+rect 62950 -7298 63010 -6890
+rect 62566 -7300 62630 -7298
+rect 62757 -7300 62809 -7298
+rect 62949 -7300 63010 -7298
+rect 63143 -7300 63195 -7297
+rect 63340 -7298 63400 -6890
+rect 63335 -7300 63400 -7298
+rect 63525 -7300 63577 -7299
+rect 63720 -7300 63780 -6890
+rect 66920 -7188 66950 -6710
+rect 69370 -6700 69810 -6260
+rect 66910 -7198 66962 -7188
+rect 66910 -7260 66962 -7250
+rect 63909 -7300 63961 -7298
+rect 64102 -7300 64154 -7297
+rect 64295 -7300 64347 -7298
+rect 64486 -7300 64538 -7298
+rect 64677 -7300 64729 -7298
+rect 64870 -7300 64922 -7298
+rect 65063 -7300 65115 -7298
+rect 65254 -7300 65306 -7298
+rect 65445 -7300 65497 -7297
+rect 65636 -7300 65688 -7297
+rect 65829 -7300 65881 -7298
+rect 66023 -7300 66075 -7298
+rect 66211 -7300 66263 -7299
+rect 55516 -7306 66470 -7300
+rect 55516 -7307 57191 -7306
+rect 55516 -7330 55655 -7307
+rect 55464 -7360 55516 -7350
+rect 55707 -7308 57191 -7307
+rect 55707 -7330 55846 -7308
+rect 55655 -7369 55707 -7359
+rect 55898 -7309 56997 -7308
+rect 55898 -7330 56036 -7309
+rect 55846 -7370 55898 -7360
+rect 56088 -7311 56997 -7309
+rect 56088 -7313 56808 -7311
+rect 56088 -7330 56230 -7313
+rect 56036 -7371 56088 -7361
+rect 56282 -7314 56808 -7313
+rect 56282 -7315 56616 -7314
+rect 56282 -7330 56422 -7315
+rect 56230 -7375 56282 -7365
+rect 56474 -7330 56616 -7315
+rect 56422 -7377 56474 -7367
+rect 56668 -7330 56808 -7314
+rect 56616 -7376 56668 -7366
+rect 56860 -7330 56997 -7311
+rect 56808 -7373 56860 -7363
+rect 57049 -7330 57191 -7308
+rect 56997 -7370 57049 -7360
+rect 57243 -7307 66470 -7306
+rect 57243 -7330 57384 -7307
+rect 57191 -7368 57243 -7358
+rect 57436 -7308 58726 -7307
+rect 57436 -7330 57577 -7308
+rect 57384 -7369 57436 -7359
+rect 57629 -7330 57766 -7308
+rect 57577 -7370 57629 -7360
+rect 57818 -7330 57959 -7308
+rect 57766 -7370 57818 -7360
+rect 58011 -7330 58151 -7308
+rect 57959 -7370 58011 -7360
+rect 58203 -7330 58342 -7308
+rect 58151 -7370 58203 -7360
+rect 58394 -7330 58535 -7308
+rect 58342 -7370 58394 -7360
+rect 58587 -7330 58726 -7308
+rect 58535 -7370 58587 -7360
+rect 58778 -7308 61415 -7307
+rect 58778 -7330 58921 -7308
+rect 58726 -7369 58778 -7359
+rect 58973 -7330 59110 -7308
+rect 58921 -7370 58973 -7360
+rect 59162 -7330 59303 -7308
+rect 59110 -7370 59162 -7360
+rect 59355 -7330 59495 -7308
+rect 59303 -7370 59355 -7360
+rect 59547 -7330 59686 -7308
+rect 59495 -7370 59547 -7360
+rect 59738 -7330 59878 -7308
+rect 59686 -7370 59738 -7360
+rect 59930 -7330 60071 -7308
+rect 59878 -7370 59930 -7360
+rect 60123 -7309 60454 -7308
+rect 60123 -7330 60260 -7309
+rect 60071 -7370 60123 -7360
+rect 60312 -7330 60454 -7309
+rect 60260 -7371 60312 -7361
+rect 60506 -7330 60645 -7308
+rect 60454 -7370 60506 -7360
+rect 60697 -7330 60838 -7308
+rect 60645 -7370 60697 -7360
+rect 60890 -7330 61030 -7308
+rect 60838 -7370 60890 -7360
+rect 61082 -7309 61415 -7308
+rect 61082 -7330 61222 -7309
+rect 61030 -7370 61082 -7360
+rect 61274 -7330 61415 -7309
+rect 61222 -7371 61274 -7361
+rect 61467 -7330 61605 -7307
+rect 61415 -7369 61467 -7359
+rect 61657 -7308 62183 -7307
+rect 61657 -7309 61990 -7308
+rect 61657 -7330 61798 -7309
+rect 61605 -7369 61657 -7359
+rect 61850 -7330 61990 -7309
+rect 61798 -7371 61850 -7361
+rect 62042 -7330 62183 -7308
+rect 61990 -7370 62042 -7360
+rect 62235 -7330 62374 -7307
+rect 62183 -7369 62235 -7359
+rect 62426 -7308 63143 -7307
+rect 62426 -7330 62566 -7308
+rect 62374 -7369 62426 -7359
+rect 62618 -7330 62757 -7308
+rect 62566 -7370 62618 -7360
+rect 62809 -7330 62949 -7308
+rect 62757 -7370 62809 -7360
+rect 63001 -7330 63143 -7308
+rect 62949 -7370 63001 -7360
+rect 63195 -7308 64102 -7307
+rect 63195 -7330 63335 -7308
+rect 63143 -7369 63195 -7359
+rect 63387 -7309 63909 -7308
+rect 63387 -7330 63525 -7309
+rect 63335 -7370 63387 -7360
+rect 63577 -7310 63909 -7309
+rect 63577 -7330 63716 -7310
+rect 63525 -7371 63577 -7361
+rect 63768 -7330 63909 -7310
+rect 63716 -7372 63768 -7362
+rect 63961 -7330 64102 -7308
+rect 63909 -7370 63961 -7360
+rect 64154 -7308 65445 -7307
+rect 64154 -7330 64295 -7308
+rect 64102 -7369 64154 -7359
+rect 64347 -7330 64486 -7308
+rect 64295 -7370 64347 -7360
+rect 64538 -7330 64677 -7308
+rect 64486 -7370 64538 -7360
+rect 64729 -7330 64870 -7308
+rect 64677 -7370 64729 -7360
+rect 64922 -7330 65063 -7308
+rect 64870 -7370 64922 -7360
+rect 65115 -7330 65254 -7308
+rect 65063 -7370 65115 -7360
+rect 65306 -7330 65445 -7308
+rect 65254 -7370 65306 -7360
+rect 65497 -7330 65636 -7307
+rect 65445 -7369 65497 -7359
+rect 65688 -7308 66470 -7307
+rect 65688 -7330 65829 -7308
+rect 65636 -7369 65688 -7359
+rect 65881 -7330 66023 -7308
+rect 65829 -7370 65881 -7360
+rect 66075 -7309 66470 -7308
+rect 66075 -7330 66211 -7309
+rect 66023 -7370 66075 -7360
+rect 66263 -7317 66470 -7309
+rect 66263 -7330 66405 -7317
+rect 66211 -7371 66263 -7361
+rect 66457 -7330 66470 -7317
+rect 66405 -7379 66457 -7369
+rect 49991 -7445 50043 -7435
+rect 50182 -7447 50234 -7437
+rect 50043 -7490 50182 -7460
+rect 50043 -7497 50070 -7490
+rect 49991 -7507 50070 -7497
+rect 50000 -7654 50070 -7507
+rect 50374 -7446 50426 -7436
+rect 50234 -7490 50374 -7460
+rect 50182 -7509 50234 -7499
+rect 50566 -7449 50618 -7439
+rect 50426 -7490 50566 -7460
+rect 50374 -7508 50426 -7498
+rect 50760 -7448 50812 -7438
+rect 50618 -7490 50760 -7460
+rect 50566 -7511 50618 -7501
+rect 50950 -7448 51002 -7438
+rect 50812 -7490 50950 -7460
+rect 50760 -7510 50812 -7500
+rect 51145 -7446 51197 -7436
+rect 51002 -7490 51145 -7460
+rect 50950 -7510 51002 -7500
+rect 51336 -7445 51388 -7435
+rect 51197 -7490 51336 -7460
+rect 51145 -7508 51197 -7498
+rect 51531 -7447 51583 -7437
+rect 51388 -7490 51531 -7460
+rect 51336 -7507 51388 -7497
+rect 51721 -7447 51773 -7437
+rect 51583 -7490 51721 -7460
+rect 51531 -7509 51583 -7499
+rect 51911 -7446 51963 -7436
+rect 51773 -7490 51911 -7460
+rect 51721 -7509 51773 -7499
+rect 52104 -7445 52156 -7435
+rect 51963 -7490 52104 -7460
+rect 51911 -7508 51963 -7498
+rect 52295 -7445 52347 -7435
+rect 52156 -7490 52295 -7460
+rect 52104 -7507 52156 -7497
+rect 52491 -7447 52543 -7437
+rect 52347 -7490 52491 -7460
+rect 52295 -7507 52347 -7497
+rect 52680 -7447 52732 -7437
+rect 52543 -7490 52680 -7460
+rect 52491 -7509 52543 -7499
+rect 52874 -7447 52926 -7437
+rect 52732 -7490 52874 -7460
+rect 52680 -7509 52732 -7499
+rect 53063 -7447 53115 -7437
+rect 52926 -7490 53063 -7460
+rect 52874 -7509 52926 -7499
+rect 53255 -7448 53307 -7438
+rect 53115 -7490 53255 -7460
+rect 53063 -7509 53115 -7499
+rect 53448 -7448 53500 -7438
+rect 53307 -7490 53448 -7460
+rect 53255 -7510 53307 -7500
+rect 53639 -7448 53691 -7438
+rect 53500 -7490 53639 -7460
+rect 53448 -7510 53500 -7500
+rect 53831 -7447 53883 -7437
+rect 53691 -7490 53831 -7460
+rect 53639 -7510 53691 -7500
+rect 54023 -7448 54075 -7438
+rect 53883 -7490 54023 -7460
+rect 53831 -7509 53883 -7499
+rect 54216 -7448 54268 -7438
+rect 54075 -7490 54216 -7460
+rect 54023 -7510 54075 -7500
+rect 54407 -7448 54459 -7438
+rect 54268 -7490 54407 -7460
+rect 54216 -7510 54268 -7500
+rect 54599 -7447 54651 -7437
+rect 54459 -7490 54599 -7460
+rect 54407 -7510 54459 -7500
+rect 54792 -7448 54844 -7438
+rect 54651 -7490 54792 -7460
+rect 54599 -7509 54651 -7499
+rect 54984 -7448 55036 -7438
+rect 54844 -7490 54984 -7460
+rect 54792 -7510 54844 -7500
+rect 55175 -7448 55227 -7438
+rect 55036 -7490 55175 -7460
+rect 54984 -7510 55036 -7500
+rect 55366 -7448 55418 -7438
+rect 55227 -7490 55366 -7460
+rect 55175 -7510 55227 -7500
+rect 55558 -7448 55610 -7438
+rect 55418 -7490 55558 -7460
+rect 55366 -7510 55418 -7500
+rect 55751 -7447 55803 -7437
+rect 55610 -7490 55751 -7460
+rect 55558 -7510 55610 -7500
+rect 55942 -7447 55994 -7437
+rect 55803 -7490 55942 -7460
+rect 55751 -7509 55803 -7499
+rect 56136 -7448 56188 -7438
+rect 55994 -7490 56136 -7460
+rect 55942 -7509 55994 -7499
+rect 56328 -7448 56380 -7438
+rect 56188 -7490 56328 -7460
+rect 56136 -7510 56188 -7500
+rect 56520 -7448 56572 -7438
+rect 56380 -7490 56520 -7460
+rect 56328 -7510 56380 -7500
+rect 56712 -7448 56764 -7438
+rect 56572 -7490 56712 -7460
+rect 56520 -7510 56572 -7500
+rect 56904 -7448 56956 -7438
+rect 56764 -7490 56904 -7460
+rect 56712 -7510 56764 -7500
+rect 57096 -7448 57148 -7438
+rect 56956 -7490 57096 -7460
+rect 56904 -7510 56956 -7500
+rect 57288 -7448 57340 -7438
+rect 57148 -7490 57288 -7460
+rect 57096 -7510 57148 -7500
+rect 57479 -7448 57531 -7438
+rect 57340 -7490 57479 -7460
+rect 57288 -7510 57340 -7500
+rect 57671 -7448 57723 -7438
+rect 57531 -7490 57671 -7460
+rect 57479 -7510 57531 -7500
+rect 57864 -7448 57916 -7438
+rect 57723 -7490 57864 -7460
+rect 57671 -7510 57723 -7500
+rect 58056 -7448 58108 -7438
+rect 57916 -7490 58056 -7460
+rect 57864 -7510 57916 -7500
+rect 58248 -7448 58300 -7438
+rect 58108 -7490 58248 -7460
+rect 58056 -7510 58108 -7500
+rect 58439 -7448 58491 -7438
+rect 58300 -7490 58439 -7460
+rect 58248 -7510 58300 -7500
+rect 58631 -7448 58683 -7438
+rect 58491 -7490 58631 -7460
+rect 58439 -7510 58491 -7500
+rect 58822 -7448 58874 -7438
+rect 58683 -7490 58822 -7460
+rect 58631 -7510 58683 -7500
+rect 59013 -7448 59065 -7438
+rect 58874 -7490 59013 -7460
+rect 58822 -7510 58874 -7500
+rect 59207 -7448 59259 -7438
+rect 59065 -7490 59207 -7460
+rect 59013 -7510 59065 -7500
+rect 59399 -7448 59451 -7438
+rect 59259 -7490 59399 -7460
+rect 59207 -7510 59259 -7500
+rect 59592 -7447 59644 -7437
+rect 59451 -7490 59592 -7460
+rect 59399 -7510 59451 -7500
+rect 59783 -7448 59835 -7438
+rect 59644 -7490 59783 -7460
+rect 59592 -7509 59644 -7499
+rect 59975 -7448 60027 -7438
+rect 59835 -7490 59975 -7460
+rect 59783 -7510 59835 -7500
+rect 60168 -7448 60220 -7438
+rect 60027 -7490 60168 -7460
+rect 59975 -7510 60027 -7500
+rect 60360 -7448 60412 -7438
+rect 60220 -7490 60360 -7460
+rect 60168 -7510 60220 -7500
+rect 60553 -7447 60605 -7437
+rect 60412 -7490 60553 -7460
+rect 60360 -7510 60412 -7500
+rect 60744 -7448 60796 -7438
+rect 60605 -7490 60744 -7460
+rect 60553 -7509 60605 -7499
+rect 60936 -7448 60988 -7438
+rect 60796 -7490 60936 -7460
+rect 60744 -7510 60796 -7500
+rect 61127 -7448 61179 -7438
+rect 60988 -7490 61127 -7460
+rect 60936 -7510 60988 -7500
+rect 61319 -7448 61371 -7438
+rect 61179 -7490 61319 -7460
+rect 61127 -7510 61179 -7500
+rect 61511 -7448 61563 -7438
+rect 61371 -7490 61511 -7460
+rect 61319 -7510 61371 -7500
+rect 61704 -7448 61756 -7438
+rect 61563 -7490 61704 -7460
+rect 61511 -7510 61563 -7500
+rect 61895 -7448 61947 -7438
+rect 61756 -7490 61895 -7460
+rect 61704 -7510 61756 -7500
+rect 62087 -7448 62139 -7438
+rect 61947 -7490 62087 -7460
+rect 61895 -7510 61947 -7500
+rect 62279 -7447 62331 -7437
+rect 62139 -7490 62279 -7460
+rect 62087 -7510 62139 -7500
+rect 62471 -7448 62523 -7438
+rect 62331 -7490 62471 -7460
+rect 62279 -7509 62331 -7499
+rect 62661 -7448 62713 -7438
+rect 62523 -7490 62661 -7460
+rect 62471 -7510 62523 -7500
+rect 62855 -7448 62907 -7438
+rect 62713 -7490 62855 -7460
+rect 62661 -7510 62713 -7500
+rect 63047 -7447 63099 -7437
+rect 62907 -7490 63047 -7460
+rect 62855 -7510 62907 -7500
+rect 63238 -7448 63290 -7438
+rect 63099 -7490 63238 -7460
+rect 63047 -7509 63099 -7499
+rect 63431 -7448 63483 -7438
+rect 63290 -7490 63431 -7460
+rect 63238 -7510 63290 -7500
+rect 63622 -7447 63674 -7437
+rect 63483 -7490 63622 -7460
+rect 63431 -7510 63483 -7500
+rect 63814 -7448 63866 -7438
+rect 63674 -7490 63814 -7460
+rect 63622 -7509 63674 -7499
+rect 64005 -7448 64057 -7438
+rect 63866 -7490 64005 -7460
+rect 63814 -7510 63866 -7500
+rect 64199 -7447 64251 -7437
+rect 64057 -7490 64199 -7460
+rect 64005 -7510 64057 -7500
+rect 64390 -7448 64442 -7438
+rect 64251 -7490 64390 -7460
+rect 64199 -7509 64251 -7499
+rect 64582 -7448 64634 -7438
+rect 64442 -7490 64582 -7460
+rect 64390 -7510 64442 -7500
+rect 64774 -7448 64826 -7438
+rect 64634 -7490 64774 -7460
+rect 64582 -7510 64634 -7500
+rect 64966 -7448 65018 -7438
+rect 64826 -7490 64966 -7460
+rect 64774 -7510 64826 -7500
+rect 65159 -7448 65211 -7438
+rect 65018 -7490 65159 -7460
+rect 64966 -7510 65018 -7500
+rect 65350 -7448 65402 -7438
+rect 65211 -7490 65350 -7460
+rect 65159 -7510 65211 -7500
+rect 65543 -7447 65595 -7437
+rect 65402 -7490 65543 -7460
+rect 65350 -7510 65402 -7500
+rect 65734 -7448 65786 -7438
+rect 65595 -7490 65734 -7460
+rect 65543 -7509 65595 -7499
+rect 65927 -7448 65979 -7438
+rect 65786 -7490 65927 -7460
+rect 65734 -7510 65786 -7500
+rect 66118 -7448 66170 -7438
+rect 65979 -7490 66118 -7460
+rect 65927 -7510 65979 -7500
+rect 66309 -7446 66361 -7436
+rect 66170 -7490 66309 -7460
+rect 66118 -7510 66170 -7500
+rect 66504 -7448 66556 -7438
+rect 66361 -7490 66504 -7460
+rect 66309 -7508 66361 -7498
+rect 66440 -7500 66504 -7490
+rect 66440 -7510 66556 -7500
+rect 66440 -7654 66510 -7510
+rect 49940 -7664 66588 -7654
+rect 46740 -7808 47180 -7750
+rect 48274 -7706 48446 -7696
+rect 48274 -7774 48446 -7764
+rect 49940 -7766 66588 -7756
+rect 68120 -7710 68292 -7700
+rect 68120 -7778 68292 -7768
+rect 69370 -7720 69430 -6700
+rect 69760 -7720 69810 -6700
+rect 69370 -7808 69810 -7720
+rect 46740 -8198 69810 -7808
+<< via2 >>
+rect 48286 -5236 48444 -5178
+rect 49920 -5280 66554 -5188
+rect 68070 -5236 68228 -5178
+rect 50820 -6540 50876 -6480
+rect 65440 -6540 65496 -6480
+rect 48274 -7764 48432 -7706
+rect 49940 -7756 66574 -7664
+rect 68120 -7768 68278 -7710
+<< metal3 >>
+rect 62104 -5160 62384 -5150
+rect 67454 -5160 67734 -5150
+rect 46340 -5178 69982 -5160
+rect 46340 -5236 48286 -5178
+rect 48444 -5188 68070 -5178
+rect 48444 -5236 49920 -5188
+rect 46340 -5280 49920 -5236
+rect 66554 -5236 68070 -5188
+rect 68228 -5236 69982 -5178
+rect 66554 -5280 69982 -5236
+rect 46340 -5438 69982 -5280
+rect 49104 -6370 49384 -5438
+rect 52114 -6370 52394 -5438
+rect 55614 -6370 55894 -5438
+rect 62104 -6370 62384 -5438
+rect 64654 -6370 64934 -5438
+rect 67454 -6370 67734 -5438
+rect 46324 -6480 69966 -6370
+rect 46324 -6540 50820 -6480
+rect 50876 -6540 65440 -6480
+rect 65496 -6540 69966 -6480
+rect 46324 -6648 69966 -6540
+rect 49104 -7510 49384 -6648
+rect 52114 -7510 52394 -6648
+rect 55614 -7510 55894 -6648
+rect 62104 -7510 62384 -6648
+rect 64654 -7510 64934 -6648
+rect 67454 -7510 67734 -6648
+rect 46350 -7664 69992 -7510
+rect 46350 -7706 49940 -7664
+rect 46350 -7764 48274 -7706
+rect 48432 -7756 49940 -7706
+rect 66574 -7710 69992 -7664
+rect 66574 -7756 68120 -7710
+rect 48432 -7764 68120 -7756
+rect 46350 -7768 68120 -7764
+rect 68278 -7768 69992 -7710
+rect 46350 -7788 69992 -7768
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM20
+timestamp 1662412052
+transform 1 0 54905 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM21
+timestamp 1662412052
+transform 1 0 54905 0 1 -6160
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM22
+timestamp 1662412052
+transform 1 0 61095 0 1 -6160
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM23
+timestamp 1662412052
+transform 1 0 61095 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM24
+timestamp 1662412052
+transform 1 0 58273 0 1 -5540
+box -8423 -310 8423 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM25
+timestamp 1662412052
+transform 1 0 58273 0 1 -7398
+box -8423 -310 8423 310
+use sky130_fd_pr__nfet_01v8_lvt_648S5X  XM35
+timestamp 1662412052
+transform 0 1 51148 -1 0 -6509
+box -211 -310 211 310
+use sky130_fd_pr__nfet_01v8_lvt_648S5X  XM36
+timestamp 1662412052
+transform 0 1 65169 -1 0 -6509
+box -211 -310 211 310
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR19
+timestamp 1662412052
+transform 0 1 47476 -1 0 -7211
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR20
+timestamp 1662412052
+transform 0 1 47476 -1 0 -5727
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_HS9RHN  XR21
+timestamp 1662412052
+transform 1 0 49111 0 1 -6472
+box -739 -1348 739 1348
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR22
+timestamp 1662412052
+transform 0 1 69074 -1 0 -5731
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR23
+timestamp 1662412052
+transform 0 1 69074 -1 0 -7211
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_HS9RHN  XR24
+timestamp 1662412052
+transform 1 0 67439 0 1 -6472
+box -739 -1348 739 1348
+<< labels >>
+rlabel metal3 46330 -6470 46330 -6470 7 vss
+port 1 w
+rlabel metal2 46740 -5010 46740 -5010 7 vdd
+port 2 w
+rlabel metal2 51160 -6220 51160 -6220 1 voutp
+port 3 n
+rlabel metal2 65180 -6220 65180 -6220 1 voutn
+port 4 n
+rlabel metal2 50660 -6720 50660 -6720 5 vd21
+port 5 s
+rlabel metal2 65770 -6710 65770 -6710 1 vd22
+port 6 n
+rlabel metal1 50960 -6310 50960 -6310 1 vcsw
+port 9 n
+rlabel metal1 57930 -6930 57930 -6930 3 vinp
+port 10 e
+rlabel metal1 58070 -6930 58070 -6930 1 vinn
+port 11 n
+rlabel metal1 49890 -7550 49890 -7550 7 vc1
+port 7 w
+rlabel metal1 49880 -5390 49880 -5390 7 vc2
+port 8 w
+<< end >>
diff --git a/mag/cons1.mag b/mag/cons1.mag
new file mode 100644
index 0000000..4738580
--- /dev/null
+++ b/mag/cons1.mag
@@ -0,0 +1,3920 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662961975
+<< pwell >>
+rect 53403 -7310 53408 -7308
+<< ndiff >>
+rect 53403 -7310 53408 -7308
+<< locali >>
+rect 48302 -6542 48426 -6396
+rect 68132 -6542 68246 -6400
+<< viali >>
+rect 48286 -5236 48458 -5178
+rect 49920 -5280 66568 -5188
+rect 68070 -5236 68242 -5178
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+<< metal1 >>
+rect 48274 -5178 48470 -5172
+rect 47250 -6264 47260 -5190
+rect 47620 -6264 47630 -5190
+rect 48274 -5236 48286 -5178
+rect 48458 -5236 48470 -5178
+rect 68058 -5178 68254 -5172
+rect 48274 -5242 48470 -5236
+rect 49908 -5188 66580 -5182
+rect 49908 -5280 49920 -5188
+rect 66568 -5280 66580 -5188
+rect 68058 -5236 68070 -5178
+rect 68242 -5236 68254 -5178
+rect 68058 -5242 68254 -5236
+rect 49908 -5286 66580 -5280
+rect 48190 -5686 48550 -5300
+rect 48188 -6296 48550 -5686
+rect 49880 -5400 66630 -5370
+rect 49880 -5680 49910 -5400
+rect 50077 -5494 50087 -5442
+rect 50139 -5494 50149 -5442
+rect 50267 -5493 50277 -5441
+rect 50329 -5493 50339 -5441
+rect 50460 -5496 50470 -5444
+rect 50522 -5496 50532 -5444
+rect 50652 -5491 50662 -5439
+rect 50714 -5491 50724 -5439
+rect 50844 -5495 50854 -5443
+rect 50906 -5495 50916 -5443
+rect 51036 -5490 51046 -5438
+rect 51098 -5490 51108 -5438
+rect 51228 -5491 51238 -5439
+rect 51290 -5491 51300 -5439
+rect 51418 -5490 51428 -5438
+rect 51480 -5490 51490 -5438
+rect 51612 -5501 51622 -5449
+rect 51674 -5501 51684 -5449
+rect 51801 -5499 51811 -5447
+rect 51863 -5499 51873 -5447
+rect 51991 -5499 52001 -5447
+rect 52053 -5499 52063 -5447
+rect 52190 -5500 52200 -5448
+rect 52252 -5500 52262 -5448
+rect 52382 -5499 52392 -5447
+rect 52444 -5499 52454 -5447
+rect 52572 -5500 52582 -5448
+rect 52634 -5500 52644 -5448
+rect 52764 -5493 52774 -5441
+rect 52826 -5493 52836 -5441
+rect 52956 -5495 52966 -5443
+rect 53018 -5495 53028 -5443
+rect 53149 -5494 53159 -5442
+rect 53211 -5494 53221 -5442
+rect 53341 -5492 53351 -5440
+rect 53403 -5492 53413 -5440
+rect 53532 -5492 53542 -5440
+rect 53594 -5492 53604 -5440
+rect 53726 -5497 53736 -5445
+rect 53788 -5497 53798 -5445
+rect 53917 -5493 53927 -5441
+rect 53979 -5493 53989 -5441
+rect 54109 -5489 54119 -5437
+rect 54171 -5489 54181 -5437
+rect 54302 -5493 54312 -5441
+rect 54364 -5493 54374 -5441
+rect 54494 -5493 54504 -5441
+rect 54556 -5493 54566 -5441
+rect 54687 -5494 54697 -5442
+rect 54749 -5494 54759 -5442
+rect 54879 -5492 54889 -5440
+rect 54941 -5492 54951 -5440
+rect 55070 -5491 55080 -5439
+rect 55132 -5491 55142 -5439
+rect 55261 -5490 55271 -5438
+rect 55323 -5490 55333 -5438
+rect 55454 -5490 55464 -5438
+rect 55516 -5490 55526 -5438
+rect 55645 -5499 55655 -5447
+rect 55707 -5499 55717 -5447
+rect 55836 -5500 55846 -5448
+rect 55898 -5500 55908 -5448
+rect 56026 -5501 56036 -5449
+rect 56088 -5501 56098 -5449
+rect 56220 -5505 56230 -5453
+rect 56282 -5505 56292 -5453
+rect 56412 -5507 56422 -5455
+rect 56474 -5507 56484 -5455
+rect 56606 -5506 56616 -5454
+rect 56668 -5506 56678 -5454
+rect 56798 -5503 56808 -5451
+rect 56860 -5503 56870 -5451
+rect 56987 -5500 56997 -5448
+rect 57049 -5500 57059 -5448
+rect 57181 -5498 57191 -5446
+rect 57243 -5498 57253 -5446
+rect 57374 -5499 57384 -5447
+rect 57436 -5499 57446 -5447
+rect 57567 -5500 57577 -5448
+rect 57629 -5500 57639 -5448
+rect 57756 -5500 57766 -5448
+rect 57818 -5500 57828 -5448
+rect 57949 -5500 57959 -5448
+rect 58011 -5500 58021 -5448
+rect 58141 -5500 58151 -5448
+rect 58203 -5500 58213 -5448
+rect 58332 -5500 58342 -5448
+rect 58394 -5500 58404 -5448
+rect 58525 -5500 58535 -5448
+rect 58587 -5500 58597 -5448
+rect 58716 -5499 58726 -5447
+rect 58778 -5499 58788 -5447
+rect 58911 -5500 58921 -5448
+rect 58973 -5500 58983 -5448
+rect 59100 -5500 59110 -5448
+rect 59162 -5500 59172 -5448
+rect 59293 -5500 59303 -5448
+rect 59355 -5500 59365 -5448
+rect 59485 -5500 59495 -5448
+rect 59547 -5500 59557 -5448
+rect 59676 -5500 59686 -5448
+rect 59738 -5500 59748 -5448
+rect 59868 -5500 59878 -5448
+rect 59930 -5500 59940 -5448
+rect 60061 -5500 60071 -5448
+rect 60123 -5500 60133 -5448
+rect 60250 -5501 60260 -5449
+rect 60312 -5501 60322 -5449
+rect 60444 -5500 60454 -5448
+rect 60506 -5500 60516 -5448
+rect 60635 -5500 60645 -5448
+rect 60697 -5500 60707 -5448
+rect 60828 -5500 60838 -5448
+rect 60890 -5500 60900 -5448
+rect 61020 -5500 61030 -5448
+rect 61082 -5500 61092 -5448
+rect 61212 -5501 61222 -5449
+rect 61274 -5501 61284 -5449
+rect 61405 -5499 61415 -5447
+rect 61467 -5499 61477 -5447
+rect 61595 -5499 61605 -5447
+rect 61657 -5499 61667 -5447
+rect 61788 -5501 61798 -5449
+rect 61850 -5501 61860 -5449
+rect 61980 -5500 61990 -5448
+rect 62042 -5500 62052 -5448
+rect 62173 -5499 62183 -5447
+rect 62235 -5499 62245 -5447
+rect 62364 -5499 62374 -5447
+rect 62426 -5499 62436 -5447
+rect 62556 -5500 62566 -5448
+rect 62618 -5500 62628 -5448
+rect 62747 -5500 62757 -5448
+rect 62809 -5500 62819 -5448
+rect 62939 -5500 62949 -5448
+rect 63001 -5500 63011 -5448
+rect 63133 -5499 63143 -5447
+rect 63195 -5499 63205 -5447
+rect 63325 -5500 63335 -5448
+rect 63387 -5500 63397 -5448
+rect 63515 -5501 63525 -5449
+rect 63577 -5501 63587 -5449
+rect 63706 -5502 63716 -5450
+rect 63768 -5502 63778 -5450
+rect 63899 -5500 63909 -5448
+rect 63961 -5500 63971 -5448
+rect 64092 -5499 64102 -5447
+rect 64154 -5499 64164 -5447
+rect 64285 -5500 64295 -5448
+rect 64347 -5500 64357 -5448
+rect 64476 -5500 64486 -5448
+rect 64538 -5500 64548 -5448
+rect 64667 -5500 64677 -5448
+rect 64729 -5500 64739 -5448
+rect 64860 -5500 64870 -5448
+rect 64922 -5500 64932 -5448
+rect 65053 -5500 65063 -5448
+rect 65115 -5500 65125 -5448
+rect 65244 -5500 65254 -5448
+rect 65306 -5500 65316 -5448
+rect 65435 -5499 65445 -5447
+rect 65497 -5499 65507 -5447
+rect 65626 -5499 65636 -5447
+rect 65688 -5499 65698 -5447
+rect 65819 -5500 65829 -5448
+rect 65881 -5500 65891 -5448
+rect 66013 -5500 66023 -5448
+rect 66075 -5500 66085 -5448
+rect 66201 -5501 66211 -5449
+rect 66263 -5501 66273 -5449
+rect 66395 -5509 66405 -5457
+rect 66457 -5509 66467 -5457
+rect 49981 -5637 49991 -5585
+rect 50043 -5637 50053 -5585
+rect 50172 -5639 50182 -5587
+rect 50234 -5639 50244 -5587
+rect 50364 -5638 50374 -5586
+rect 50426 -5638 50436 -5586
+rect 50556 -5641 50566 -5589
+rect 50618 -5641 50628 -5589
+rect 50750 -5640 50760 -5588
+rect 50812 -5640 50822 -5588
+rect 50940 -5640 50950 -5588
+rect 51002 -5640 51012 -5588
+rect 51135 -5638 51145 -5586
+rect 51197 -5638 51207 -5586
+rect 51326 -5637 51336 -5585
+rect 51388 -5637 51398 -5585
+rect 51521 -5639 51531 -5587
+rect 51583 -5639 51593 -5587
+rect 51711 -5639 51721 -5587
+rect 51773 -5639 51783 -5587
+rect 51901 -5638 51911 -5586
+rect 51963 -5638 51973 -5586
+rect 52094 -5637 52104 -5585
+rect 52156 -5637 52166 -5585
+rect 52285 -5637 52295 -5585
+rect 52347 -5637 52357 -5585
+rect 52481 -5639 52491 -5587
+rect 52543 -5639 52553 -5587
+rect 52670 -5639 52680 -5587
+rect 52732 -5639 52742 -5587
+rect 52864 -5639 52874 -5587
+rect 52926 -5639 52936 -5587
+rect 53053 -5639 53063 -5587
+rect 53115 -5639 53125 -5587
+rect 53245 -5640 53255 -5588
+rect 53307 -5640 53317 -5588
+rect 53438 -5640 53448 -5588
+rect 53500 -5640 53510 -5588
+rect 53629 -5640 53639 -5588
+rect 53691 -5640 53701 -5588
+rect 53821 -5639 53831 -5587
+rect 53883 -5639 53893 -5587
+rect 54013 -5640 54023 -5588
+rect 54075 -5640 54085 -5588
+rect 54206 -5640 54216 -5588
+rect 54268 -5640 54278 -5588
+rect 54397 -5640 54407 -5588
+rect 54459 -5640 54469 -5588
+rect 54589 -5639 54599 -5587
+rect 54651 -5639 54661 -5587
+rect 54782 -5640 54792 -5588
+rect 54844 -5640 54854 -5588
+rect 54974 -5640 54984 -5588
+rect 55036 -5640 55046 -5588
+rect 55165 -5640 55175 -5588
+rect 55227 -5640 55237 -5588
+rect 55356 -5640 55366 -5588
+rect 55418 -5640 55428 -5588
+rect 55548 -5640 55558 -5588
+rect 55610 -5640 55620 -5588
+rect 55741 -5639 55751 -5587
+rect 55803 -5639 55813 -5587
+rect 55932 -5639 55942 -5587
+rect 55994 -5639 56004 -5587
+rect 56126 -5640 56136 -5588
+rect 56188 -5640 56198 -5588
+rect 56318 -5640 56328 -5588
+rect 56380 -5640 56390 -5588
+rect 56510 -5640 56520 -5588
+rect 56572 -5640 56582 -5588
+rect 56702 -5640 56712 -5588
+rect 56764 -5640 56774 -5588
+rect 56894 -5640 56904 -5588
+rect 56956 -5640 56966 -5588
+rect 57086 -5640 57096 -5588
+rect 57148 -5640 57158 -5588
+rect 57278 -5640 57288 -5588
+rect 57340 -5640 57350 -5588
+rect 57469 -5640 57479 -5588
+rect 57531 -5640 57541 -5588
+rect 57661 -5640 57671 -5588
+rect 57723 -5640 57733 -5588
+rect 57854 -5640 57864 -5588
+rect 57916 -5640 57926 -5588
+rect 58046 -5640 58056 -5588
+rect 58108 -5640 58118 -5588
+rect 58238 -5640 58248 -5588
+rect 58300 -5640 58310 -5588
+rect 58429 -5640 58439 -5588
+rect 58491 -5640 58501 -5588
+rect 58621 -5640 58631 -5588
+rect 58683 -5640 58693 -5588
+rect 58812 -5640 58822 -5588
+rect 58874 -5640 58884 -5588
+rect 59003 -5640 59013 -5588
+rect 59065 -5640 59075 -5588
+rect 59197 -5640 59207 -5588
+rect 59259 -5640 59269 -5588
+rect 59389 -5640 59399 -5588
+rect 59451 -5640 59461 -5588
+rect 59582 -5639 59592 -5587
+rect 59644 -5639 59654 -5587
+rect 59773 -5640 59783 -5588
+rect 59835 -5640 59845 -5588
+rect 59965 -5640 59975 -5588
+rect 60027 -5640 60037 -5588
+rect 60158 -5640 60168 -5588
+rect 60220 -5640 60230 -5588
+rect 60350 -5640 60360 -5588
+rect 60412 -5640 60422 -5588
+rect 60543 -5639 60553 -5587
+rect 60605 -5639 60615 -5587
+rect 60734 -5640 60744 -5588
+rect 60796 -5640 60806 -5588
+rect 60926 -5640 60936 -5588
+rect 60988 -5640 60998 -5588
+rect 61117 -5640 61127 -5588
+rect 61179 -5640 61189 -5588
+rect 61309 -5640 61319 -5588
+rect 61371 -5640 61381 -5588
+rect 61501 -5640 61511 -5588
+rect 61563 -5640 61573 -5588
+rect 61694 -5640 61704 -5588
+rect 61756 -5640 61766 -5588
+rect 61885 -5640 61895 -5588
+rect 61947 -5640 61957 -5588
+rect 62077 -5640 62087 -5588
+rect 62139 -5640 62149 -5588
+rect 62269 -5639 62279 -5587
+rect 62331 -5639 62341 -5587
+rect 62461 -5640 62471 -5588
+rect 62523 -5640 62533 -5588
+rect 62651 -5640 62661 -5588
+rect 62713 -5640 62723 -5588
+rect 62845 -5640 62855 -5588
+rect 62907 -5640 62917 -5588
+rect 63037 -5639 63047 -5587
+rect 63099 -5639 63109 -5587
+rect 63228 -5640 63238 -5588
+rect 63290 -5640 63300 -5588
+rect 63421 -5640 63431 -5588
+rect 63483 -5640 63493 -5588
+rect 63612 -5639 63622 -5587
+rect 63674 -5639 63684 -5587
+rect 63804 -5640 63814 -5588
+rect 63866 -5640 63876 -5588
+rect 63995 -5640 64005 -5588
+rect 64057 -5640 64067 -5588
+rect 64189 -5639 64199 -5587
+rect 64251 -5639 64261 -5587
+rect 64380 -5640 64390 -5588
+rect 64442 -5640 64452 -5588
+rect 64572 -5640 64582 -5588
+rect 64634 -5640 64644 -5588
+rect 64764 -5640 64774 -5588
+rect 64826 -5640 64836 -5588
+rect 64956 -5640 64966 -5588
+rect 65018 -5640 65028 -5588
+rect 65149 -5640 65159 -5588
+rect 65211 -5640 65221 -5588
+rect 65340 -5640 65350 -5588
+rect 65402 -5640 65412 -5588
+rect 65533 -5639 65543 -5587
+rect 65595 -5639 65605 -5587
+rect 65724 -5640 65734 -5588
+rect 65786 -5640 65796 -5588
+rect 65917 -5640 65927 -5588
+rect 65979 -5640 65989 -5588
+rect 66108 -5640 66118 -5588
+rect 66170 -5640 66180 -5588
+rect 66299 -5638 66309 -5586
+rect 66361 -5638 66371 -5586
+rect 66494 -5640 66504 -5588
+rect 66556 -5640 66566 -5588
+rect 66600 -5680 66630 -5400
+rect 49880 -5710 66630 -5680
+rect 68000 -5710 68374 -5302
+rect 51860 -6020 57940 -5990
+rect 49420 -6126 49430 -6074
+rect 49482 -6126 49492 -6074
+rect 51860 -6300 51890 -6020
+rect 52037 -6123 52047 -6071
+rect 52099 -6123 52109 -6071
+rect 52227 -6122 52237 -6070
+rect 52289 -6122 52299 -6070
+rect 52419 -6121 52429 -6069
+rect 52481 -6121 52491 -6069
+rect 52610 -6121 52620 -6069
+rect 52672 -6121 52682 -6069
+rect 52804 -6121 52814 -6069
+rect 52866 -6121 52876 -6069
+rect 52994 -6122 53004 -6070
+rect 53056 -6122 53066 -6070
+rect 53188 -6121 53198 -6069
+rect 53250 -6121 53260 -6069
+rect 53380 -6121 53390 -6069
+rect 53442 -6121 53452 -6069
+rect 53571 -6122 53581 -6070
+rect 53633 -6122 53643 -6070
+rect 53766 -6122 53776 -6070
+rect 53828 -6122 53838 -6070
+rect 53956 -6122 53966 -6070
+rect 54018 -6122 54028 -6070
+rect 54147 -6122 54157 -6070
+rect 54209 -6122 54219 -6070
+rect 54339 -6122 54349 -6070
+rect 54401 -6122 54411 -6070
+rect 54532 -6121 54542 -6069
+rect 54594 -6121 54604 -6069
+rect 54724 -6122 54734 -6070
+rect 54786 -6122 54796 -6070
+rect 54916 -6122 54926 -6070
+rect 54978 -6122 54988 -6070
+rect 55109 -6122 55119 -6070
+rect 55171 -6122 55181 -6070
+rect 55300 -6121 55310 -6069
+rect 55362 -6121 55372 -6069
+rect 55492 -6122 55502 -6070
+rect 55554 -6122 55564 -6070
+rect 55684 -6121 55694 -6069
+rect 55746 -6121 55756 -6069
+rect 55876 -6121 55886 -6069
+rect 55938 -6121 55948 -6069
+rect 56067 -6122 56077 -6070
+rect 56129 -6122 56139 -6070
+rect 56260 -6121 56270 -6069
+rect 56322 -6121 56332 -6069
+rect 56452 -6121 56462 -6069
+rect 56514 -6121 56524 -6069
+rect 56646 -6122 56656 -6070
+rect 56708 -6122 56718 -6070
+rect 56837 -6123 56847 -6071
+rect 56899 -6123 56909 -6071
+rect 57026 -6122 57036 -6070
+rect 57088 -6122 57098 -6070
+rect 57219 -6122 57229 -6070
+rect 57281 -6122 57291 -6070
+rect 57412 -6122 57422 -6070
+rect 57474 -6122 57484 -6070
+rect 57603 -6121 57613 -6069
+rect 57665 -6121 57675 -6069
+rect 57794 -6121 57804 -6069
+rect 57856 -6121 57866 -6069
+rect 51939 -6262 51949 -6210
+rect 52001 -6262 52011 -6210
+rect 52131 -6262 52141 -6210
+rect 52193 -6262 52203 -6210
+rect 52324 -6262 52334 -6210
+rect 52386 -6262 52396 -6210
+rect 52516 -6262 52526 -6210
+rect 52578 -6262 52588 -6210
+rect 52709 -6262 52719 -6210
+rect 52771 -6262 52781 -6210
+rect 52901 -6261 52911 -6209
+rect 52963 -6261 52973 -6209
+rect 53092 -6262 53102 -6210
+rect 53154 -6262 53164 -6210
+rect 53284 -6262 53294 -6210
+rect 53346 -6262 53356 -6210
+rect 53476 -6261 53486 -6209
+rect 53538 -6261 53548 -6209
+rect 53668 -6261 53678 -6209
+rect 53730 -6261 53740 -6209
+rect 53860 -6262 53870 -6210
+rect 53922 -6262 53932 -6210
+rect 54052 -6261 54062 -6209
+rect 54114 -6261 54124 -6209
+rect 54244 -6262 54254 -6210
+rect 54306 -6262 54316 -6210
+rect 54435 -6261 54445 -6209
+rect 54497 -6261 54507 -6209
+rect 54629 -6262 54639 -6210
+rect 54691 -6262 54701 -6210
+rect 54819 -6262 54829 -6210
+rect 54881 -6262 54891 -6210
+rect 55011 -6262 55021 -6210
+rect 55073 -6262 55083 -6210
+rect 55204 -6262 55214 -6210
+rect 55266 -6262 55276 -6210
+rect 55395 -6262 55405 -6210
+rect 55457 -6262 55467 -6210
+rect 55588 -6261 55598 -6209
+rect 55650 -6261 55660 -6209
+rect 55781 -6262 55791 -6210
+rect 55843 -6262 55853 -6210
+rect 55972 -6262 55982 -6210
+rect 56034 -6262 56044 -6210
+rect 56165 -6262 56175 -6210
+rect 56227 -6262 56237 -6210
+rect 56355 -6262 56365 -6210
+rect 56417 -6262 56427 -6210
+rect 56549 -6261 56559 -6209
+rect 56611 -6261 56621 -6209
+rect 56738 -6262 56748 -6210
+rect 56800 -6262 56810 -6210
+rect 56931 -6262 56941 -6210
+rect 56993 -6262 57003 -6210
+rect 57124 -6262 57134 -6210
+rect 57186 -6262 57196 -6210
+rect 57314 -6262 57324 -6210
+rect 57376 -6262 57386 -6210
+rect 57507 -6261 57517 -6209
+rect 57569 -6261 57579 -6209
+rect 57696 -6261 57706 -6209
+rect 57758 -6261 57768 -6209
+rect 57910 -6300 57940 -6020
+rect 51860 -6310 57940 -6300
+rect 51860 -6320 57800 -6310
+rect 51860 -6330 52040 -6320
+rect 52030 -6380 52040 -6330
+rect 52100 -6330 57800 -6320
+rect 52100 -6380 52110 -6330
+rect 57790 -6370 57800 -6330
+rect 57860 -6330 57940 -6310
+rect 58070 -6020 64130 -5990
+rect 66908 -6002 66918 -5950
+rect 66970 -6002 66980 -5950
+rect 58070 -6300 58100 -6020
+rect 58231 -6122 58241 -6070
+rect 58293 -6122 58303 -6070
+rect 58421 -6121 58431 -6069
+rect 58483 -6121 58493 -6069
+rect 58613 -6120 58623 -6068
+rect 58675 -6120 58685 -6068
+rect 58804 -6120 58814 -6068
+rect 58866 -6120 58876 -6068
+rect 58998 -6120 59008 -6068
+rect 59060 -6120 59070 -6068
+rect 59188 -6121 59198 -6069
+rect 59250 -6121 59260 -6069
+rect 59382 -6120 59392 -6068
+rect 59444 -6120 59454 -6068
+rect 59574 -6120 59584 -6068
+rect 59636 -6120 59646 -6068
+rect 59765 -6121 59775 -6069
+rect 59827 -6121 59837 -6069
+rect 59960 -6121 59970 -6069
+rect 60022 -6121 60032 -6069
+rect 60150 -6121 60160 -6069
+rect 60212 -6121 60222 -6069
+rect 60341 -6121 60351 -6069
+rect 60403 -6121 60413 -6069
+rect 60533 -6121 60543 -6069
+rect 60595 -6121 60605 -6069
+rect 60726 -6120 60736 -6068
+rect 60788 -6120 60798 -6068
+rect 60918 -6121 60928 -6069
+rect 60980 -6121 60990 -6069
+rect 61110 -6121 61120 -6069
+rect 61172 -6121 61182 -6069
+rect 61303 -6121 61313 -6069
+rect 61365 -6121 61375 -6069
+rect 61494 -6120 61504 -6068
+rect 61556 -6120 61566 -6068
+rect 61686 -6121 61696 -6069
+rect 61748 -6121 61758 -6069
+rect 61878 -6120 61888 -6068
+rect 61940 -6120 61950 -6068
+rect 62070 -6120 62080 -6068
+rect 62132 -6120 62142 -6068
+rect 62261 -6121 62271 -6069
+rect 62323 -6121 62333 -6069
+rect 62454 -6120 62464 -6068
+rect 62516 -6120 62526 -6068
+rect 62646 -6120 62656 -6068
+rect 62708 -6120 62718 -6068
+rect 62840 -6121 62850 -6069
+rect 62902 -6121 62912 -6069
+rect 63031 -6122 63041 -6070
+rect 63093 -6122 63103 -6070
+rect 63220 -6121 63230 -6069
+rect 63282 -6121 63292 -6069
+rect 63413 -6121 63423 -6069
+rect 63475 -6121 63485 -6069
+rect 63606 -6121 63616 -6069
+rect 63668 -6121 63678 -6069
+rect 63797 -6120 63807 -6068
+rect 63859 -6120 63869 -6068
+rect 63988 -6120 63998 -6068
+rect 64050 -6120 64060 -6068
+rect 58133 -6261 58143 -6209
+rect 58195 -6261 58205 -6209
+rect 58325 -6261 58335 -6209
+rect 58387 -6261 58397 -6209
+rect 58518 -6261 58528 -6209
+rect 58580 -6261 58590 -6209
+rect 58710 -6261 58720 -6209
+rect 58772 -6261 58782 -6209
+rect 58903 -6261 58913 -6209
+rect 58965 -6261 58975 -6209
+rect 59095 -6260 59105 -6208
+rect 59157 -6260 59167 -6208
+rect 59286 -6261 59296 -6209
+rect 59348 -6261 59358 -6209
+rect 59478 -6261 59488 -6209
+rect 59540 -6261 59550 -6209
+rect 59670 -6260 59680 -6208
+rect 59732 -6260 59742 -6208
+rect 59862 -6260 59872 -6208
+rect 59924 -6260 59934 -6208
+rect 60054 -6261 60064 -6209
+rect 60116 -6261 60126 -6209
+rect 60246 -6260 60256 -6208
+rect 60308 -6260 60318 -6208
+rect 60438 -6261 60448 -6209
+rect 60500 -6261 60510 -6209
+rect 60629 -6260 60639 -6208
+rect 60691 -6260 60701 -6208
+rect 60823 -6261 60833 -6209
+rect 60885 -6261 60895 -6209
+rect 61013 -6261 61023 -6209
+rect 61075 -6261 61085 -6209
+rect 61205 -6261 61215 -6209
+rect 61267 -6261 61277 -6209
+rect 61398 -6261 61408 -6209
+rect 61460 -6261 61470 -6209
+rect 61589 -6261 61599 -6209
+rect 61651 -6261 61661 -6209
+rect 61782 -6260 61792 -6208
+rect 61844 -6260 61854 -6208
+rect 61975 -6261 61985 -6209
+rect 62037 -6261 62047 -6209
+rect 62166 -6261 62176 -6209
+rect 62228 -6261 62238 -6209
+rect 62359 -6261 62369 -6209
+rect 62421 -6261 62431 -6209
+rect 62549 -6261 62559 -6209
+rect 62611 -6261 62621 -6209
+rect 62743 -6260 62753 -6208
+rect 62805 -6260 62815 -6208
+rect 62932 -6261 62942 -6209
+rect 62994 -6261 63004 -6209
+rect 63125 -6261 63135 -6209
+rect 63187 -6261 63197 -6209
+rect 63318 -6261 63328 -6209
+rect 63380 -6261 63390 -6209
+rect 63508 -6261 63518 -6209
+rect 63570 -6261 63580 -6209
+rect 63701 -6260 63711 -6208
+rect 63763 -6260 63773 -6208
+rect 63890 -6260 63900 -6208
+rect 63952 -6260 63962 -6208
+rect 64100 -6300 64130 -6020
+rect 68000 -6298 68362 -5710
+rect 68920 -6272 68930 -5188
+rect 69296 -6272 69306 -5188
+rect 58070 -6310 63990 -6300
+rect 58070 -6330 58230 -6310
+rect 57860 -6370 57870 -6330
+rect 58220 -6370 58230 -6330
+rect 58290 -6330 63990 -6310
+rect 58290 -6370 58300 -6330
+rect 63980 -6360 63990 -6330
+rect 64050 -6330 64130 -6300
+rect 64050 -6360 64060 -6330
+rect 51874 -6640 57930 -6610
+rect 47244 -7752 47254 -6678
+rect 47614 -7752 47624 -6678
+rect 48194 -7240 48556 -6644
+rect 49426 -6924 49436 -6872
+rect 49488 -6924 49498 -6872
+rect 51874 -6920 51904 -6640
+rect 52038 -6741 52048 -6689
+rect 52100 -6741 52110 -6689
+rect 52228 -6740 52238 -6688
+rect 52290 -6740 52300 -6688
+rect 52420 -6739 52430 -6687
+rect 52482 -6739 52492 -6687
+rect 52611 -6739 52621 -6687
+rect 52673 -6739 52683 -6687
+rect 52805 -6739 52815 -6687
+rect 52867 -6739 52877 -6687
+rect 52995 -6740 53005 -6688
+rect 53057 -6740 53067 -6688
+rect 53189 -6739 53199 -6687
+rect 53251 -6739 53261 -6687
+rect 53381 -6739 53391 -6687
+rect 53443 -6739 53453 -6687
+rect 53572 -6740 53582 -6688
+rect 53634 -6740 53644 -6688
+rect 53767 -6740 53777 -6688
+rect 53829 -6740 53839 -6688
+rect 53957 -6740 53967 -6688
+rect 54019 -6740 54029 -6688
+rect 54148 -6740 54158 -6688
+rect 54210 -6740 54220 -6688
+rect 54340 -6740 54350 -6688
+rect 54402 -6740 54412 -6688
+rect 54533 -6739 54543 -6687
+rect 54595 -6739 54605 -6687
+rect 54725 -6740 54735 -6688
+rect 54787 -6740 54797 -6688
+rect 54917 -6740 54927 -6688
+rect 54979 -6740 54989 -6688
+rect 55110 -6740 55120 -6688
+rect 55172 -6740 55182 -6688
+rect 55301 -6739 55311 -6687
+rect 55363 -6739 55373 -6687
+rect 55493 -6740 55503 -6688
+rect 55555 -6740 55565 -6688
+rect 55685 -6739 55695 -6687
+rect 55747 -6739 55757 -6687
+rect 55877 -6739 55887 -6687
+rect 55939 -6739 55949 -6687
+rect 56068 -6740 56078 -6688
+rect 56130 -6740 56140 -6688
+rect 56261 -6739 56271 -6687
+rect 56323 -6739 56333 -6687
+rect 56453 -6739 56463 -6687
+rect 56515 -6739 56525 -6687
+rect 56647 -6740 56657 -6688
+rect 56709 -6740 56719 -6688
+rect 56838 -6741 56848 -6689
+rect 56900 -6741 56910 -6689
+rect 57027 -6740 57037 -6688
+rect 57089 -6740 57099 -6688
+rect 57220 -6740 57230 -6688
+rect 57282 -6740 57292 -6688
+rect 57413 -6740 57423 -6688
+rect 57475 -6740 57485 -6688
+rect 57604 -6739 57614 -6687
+rect 57666 -6739 57676 -6687
+rect 57795 -6739 57805 -6687
+rect 57857 -6739 57867 -6687
+rect 51940 -6880 51950 -6828
+rect 52002 -6880 52012 -6828
+rect 52132 -6880 52142 -6828
+rect 52194 -6880 52204 -6828
+rect 52325 -6880 52335 -6828
+rect 52387 -6880 52397 -6828
+rect 52517 -6880 52527 -6828
+rect 52579 -6880 52589 -6828
+rect 52710 -6880 52720 -6828
+rect 52772 -6880 52782 -6828
+rect 52902 -6879 52912 -6827
+rect 52964 -6879 52974 -6827
+rect 53093 -6880 53103 -6828
+rect 53155 -6880 53165 -6828
+rect 53285 -6880 53295 -6828
+rect 53347 -6880 53357 -6828
+rect 53477 -6879 53487 -6827
+rect 53539 -6879 53549 -6827
+rect 53669 -6879 53679 -6827
+rect 53731 -6879 53741 -6827
+rect 53861 -6880 53871 -6828
+rect 53923 -6880 53933 -6828
+rect 54053 -6879 54063 -6827
+rect 54115 -6879 54125 -6827
+rect 54245 -6880 54255 -6828
+rect 54307 -6880 54317 -6828
+rect 54436 -6879 54446 -6827
+rect 54498 -6879 54508 -6827
+rect 54630 -6880 54640 -6828
+rect 54692 -6880 54702 -6828
+rect 54820 -6880 54830 -6828
+rect 54882 -6880 54892 -6828
+rect 55012 -6880 55022 -6828
+rect 55074 -6880 55084 -6828
+rect 55205 -6880 55215 -6828
+rect 55267 -6880 55277 -6828
+rect 55396 -6880 55406 -6828
+rect 55458 -6880 55468 -6828
+rect 55589 -6879 55599 -6827
+rect 55651 -6879 55661 -6827
+rect 55782 -6880 55792 -6828
+rect 55844 -6880 55854 -6828
+rect 55973 -6880 55983 -6828
+rect 56035 -6880 56045 -6828
+rect 56166 -6880 56176 -6828
+rect 56228 -6880 56238 -6828
+rect 56356 -6880 56366 -6828
+rect 56418 -6880 56428 -6828
+rect 56550 -6879 56560 -6827
+rect 56612 -6879 56622 -6827
+rect 56739 -6880 56749 -6828
+rect 56801 -6880 56811 -6828
+rect 56932 -6880 56942 -6828
+rect 56994 -6880 57004 -6828
+rect 57125 -6880 57135 -6828
+rect 57187 -6880 57197 -6828
+rect 57315 -6880 57325 -6828
+rect 57377 -6880 57387 -6828
+rect 57508 -6879 57518 -6827
+rect 57570 -6879 57580 -6827
+rect 57697 -6879 57707 -6827
+rect 57759 -6879 57769 -6827
+rect 57900 -6920 57930 -6640
+rect 51874 -6950 57930 -6920
+rect 58070 -6640 64114 -6610
+rect 58070 -6920 58100 -6640
+rect 58226 -6740 58236 -6688
+rect 58288 -6740 58298 -6688
+rect 58416 -6739 58426 -6687
+rect 58478 -6739 58488 -6687
+rect 58608 -6738 58618 -6686
+rect 58670 -6738 58680 -6686
+rect 58799 -6738 58809 -6686
+rect 58861 -6738 58871 -6686
+rect 58993 -6738 59003 -6686
+rect 59055 -6738 59065 -6686
+rect 59183 -6739 59193 -6687
+rect 59245 -6739 59255 -6687
+rect 59377 -6738 59387 -6686
+rect 59439 -6738 59449 -6686
+rect 59569 -6738 59579 -6686
+rect 59631 -6738 59641 -6686
+rect 59760 -6739 59770 -6687
+rect 59822 -6739 59832 -6687
+rect 59955 -6739 59965 -6687
+rect 60017 -6739 60027 -6687
+rect 60145 -6739 60155 -6687
+rect 60207 -6739 60217 -6687
+rect 60336 -6739 60346 -6687
+rect 60398 -6739 60408 -6687
+rect 60528 -6739 60538 -6687
+rect 60590 -6739 60600 -6687
+rect 60721 -6738 60731 -6686
+rect 60783 -6738 60793 -6686
+rect 60913 -6739 60923 -6687
+rect 60975 -6739 60985 -6687
+rect 61105 -6739 61115 -6687
+rect 61167 -6739 61177 -6687
+rect 61298 -6739 61308 -6687
+rect 61360 -6739 61370 -6687
+rect 61489 -6738 61499 -6686
+rect 61551 -6738 61561 -6686
+rect 61681 -6739 61691 -6687
+rect 61743 -6739 61753 -6687
+rect 61873 -6738 61883 -6686
+rect 61935 -6738 61945 -6686
+rect 62065 -6738 62075 -6686
+rect 62127 -6738 62137 -6686
+rect 62256 -6739 62266 -6687
+rect 62318 -6739 62328 -6687
+rect 62449 -6738 62459 -6686
+rect 62511 -6738 62521 -6686
+rect 62641 -6738 62651 -6686
+rect 62703 -6738 62713 -6686
+rect 62835 -6739 62845 -6687
+rect 62897 -6739 62907 -6687
+rect 63026 -6740 63036 -6688
+rect 63088 -6740 63098 -6688
+rect 63215 -6739 63225 -6687
+rect 63277 -6739 63287 -6687
+rect 63408 -6739 63418 -6687
+rect 63470 -6739 63480 -6687
+rect 63601 -6739 63611 -6687
+rect 63663 -6739 63673 -6687
+rect 63792 -6738 63802 -6686
+rect 63854 -6738 63864 -6686
+rect 63983 -6738 63993 -6686
+rect 64045 -6738 64055 -6686
+rect 58130 -6879 58138 -6827
+rect 58190 -6879 58200 -6827
+rect 58320 -6879 58330 -6827
+rect 58382 -6879 58392 -6827
+rect 58513 -6879 58523 -6827
+rect 58575 -6879 58585 -6827
+rect 58705 -6879 58715 -6827
+rect 58767 -6879 58777 -6827
+rect 58898 -6879 58908 -6827
+rect 58960 -6879 58970 -6827
+rect 59090 -6878 59100 -6826
+rect 59152 -6878 59162 -6826
+rect 59281 -6879 59291 -6827
+rect 59343 -6879 59353 -6827
+rect 59473 -6879 59483 -6827
+rect 59535 -6879 59545 -6827
+rect 59665 -6878 59675 -6826
+rect 59727 -6878 59737 -6826
+rect 59857 -6878 59867 -6826
+rect 59919 -6878 59929 -6826
+rect 60049 -6879 60059 -6827
+rect 60111 -6879 60121 -6827
+rect 60241 -6878 60251 -6826
+rect 60303 -6878 60313 -6826
+rect 60433 -6879 60443 -6827
+rect 60495 -6879 60505 -6827
+rect 60624 -6878 60634 -6826
+rect 60686 -6878 60696 -6826
+rect 60818 -6879 60828 -6827
+rect 60880 -6879 60890 -6827
+rect 61008 -6879 61018 -6827
+rect 61070 -6879 61080 -6827
+rect 61200 -6879 61210 -6827
+rect 61262 -6879 61272 -6827
+rect 61393 -6879 61403 -6827
+rect 61455 -6879 61465 -6827
+rect 61584 -6879 61594 -6827
+rect 61646 -6879 61656 -6827
+rect 61777 -6878 61787 -6826
+rect 61839 -6878 61849 -6826
+rect 61970 -6879 61980 -6827
+rect 62032 -6879 62042 -6827
+rect 62161 -6879 62171 -6827
+rect 62223 -6879 62233 -6827
+rect 62354 -6879 62364 -6827
+rect 62416 -6879 62426 -6827
+rect 62544 -6879 62554 -6827
+rect 62606 -6879 62616 -6827
+rect 62738 -6878 62748 -6826
+rect 62800 -6878 62810 -6826
+rect 62927 -6879 62937 -6827
+rect 62989 -6879 62999 -6827
+rect 63120 -6879 63130 -6827
+rect 63182 -6879 63192 -6827
+rect 63313 -6879 63323 -6827
+rect 63375 -6879 63385 -6827
+rect 63503 -6879 63513 -6827
+rect 63565 -6879 63575 -6827
+rect 63696 -6878 63706 -6826
+rect 63758 -6878 63768 -6826
+rect 63885 -6878 63895 -6826
+rect 63947 -6878 63957 -6826
+rect 64084 -6920 64114 -6640
+rect 66902 -6902 66912 -6850
+rect 66964 -6902 66974 -6850
+rect 58070 -6950 64114 -6920
+rect 48190 -7640 48556 -7240
+rect 49890 -7260 66624 -7230
+rect 49890 -7540 49920 -7260
+rect 50077 -7354 50087 -7302
+rect 50139 -7354 50149 -7302
+rect 50267 -7353 50277 -7301
+rect 50329 -7353 50339 -7301
+rect 50460 -7356 50470 -7304
+rect 50522 -7356 50532 -7304
+rect 50652 -7351 50662 -7299
+rect 50714 -7351 50724 -7299
+rect 50844 -7355 50854 -7303
+rect 50906 -7355 50916 -7303
+rect 51036 -7350 51046 -7298
+rect 51098 -7350 51108 -7298
+rect 51228 -7351 51238 -7299
+rect 51290 -7351 51300 -7299
+rect 51418 -7350 51428 -7298
+rect 51480 -7350 51490 -7298
+rect 51612 -7361 51622 -7309
+rect 51674 -7361 51684 -7309
+rect 51801 -7359 51811 -7307
+rect 51863 -7359 51873 -7307
+rect 51991 -7359 52001 -7307
+rect 52053 -7359 52063 -7307
+rect 52190 -7360 52200 -7308
+rect 52252 -7360 52262 -7308
+rect 52382 -7359 52392 -7307
+rect 52444 -7359 52454 -7307
+rect 52572 -7360 52582 -7308
+rect 52634 -7360 52644 -7308
+rect 52764 -7353 52774 -7301
+rect 52826 -7353 52836 -7301
+rect 52956 -7303 53028 -7298
+rect 52956 -7355 52966 -7303
+rect 53018 -7355 53028 -7303
+rect 53149 -7354 53159 -7302
+rect 53211 -7354 53221 -7302
+rect 53341 -7352 53351 -7300
+rect 53403 -7352 53413 -7300
+rect 53532 -7352 53542 -7300
+rect 53594 -7352 53604 -7300
+rect 53726 -7357 53736 -7305
+rect 53788 -7357 53798 -7305
+rect 53917 -7353 53927 -7301
+rect 53979 -7353 53989 -7301
+rect 54109 -7349 54119 -7297
+rect 54171 -7349 54181 -7297
+rect 54302 -7353 54312 -7301
+rect 54364 -7353 54374 -7301
+rect 54494 -7353 54504 -7301
+rect 54556 -7353 54566 -7301
+rect 54687 -7354 54697 -7302
+rect 54749 -7354 54759 -7302
+rect 54879 -7352 54889 -7300
+rect 54941 -7352 54951 -7300
+rect 55070 -7351 55080 -7299
+rect 55132 -7351 55142 -7299
+rect 55261 -7350 55271 -7298
+rect 55323 -7350 55333 -7298
+rect 55454 -7350 55464 -7298
+rect 55516 -7350 55526 -7298
+rect 55645 -7359 55655 -7307
+rect 55707 -7359 55717 -7307
+rect 55836 -7360 55846 -7308
+rect 55898 -7360 55908 -7308
+rect 56026 -7361 56036 -7309
+rect 56088 -7361 56098 -7309
+rect 56220 -7365 56230 -7313
+rect 56282 -7365 56292 -7313
+rect 56412 -7367 56422 -7315
+rect 56474 -7367 56484 -7315
+rect 56606 -7366 56616 -7314
+rect 56668 -7366 56678 -7314
+rect 56798 -7363 56808 -7311
+rect 56860 -7363 56870 -7311
+rect 56987 -7360 56997 -7308
+rect 57049 -7360 57059 -7308
+rect 57181 -7358 57191 -7306
+rect 57243 -7358 57253 -7306
+rect 57374 -7359 57384 -7307
+rect 57436 -7359 57446 -7307
+rect 57567 -7360 57577 -7308
+rect 57629 -7360 57639 -7308
+rect 57756 -7360 57766 -7308
+rect 57818 -7360 57828 -7308
+rect 57949 -7360 57959 -7308
+rect 58011 -7360 58021 -7308
+rect 58141 -7360 58151 -7308
+rect 58203 -7360 58213 -7308
+rect 58332 -7360 58342 -7308
+rect 58394 -7360 58404 -7308
+rect 58525 -7360 58535 -7308
+rect 58587 -7360 58597 -7308
+rect 58716 -7359 58726 -7307
+rect 58778 -7359 58788 -7307
+rect 58911 -7360 58921 -7308
+rect 58973 -7360 58983 -7308
+rect 59100 -7360 59110 -7308
+rect 59162 -7360 59172 -7308
+rect 59293 -7360 59303 -7308
+rect 59355 -7360 59365 -7308
+rect 59485 -7360 59495 -7308
+rect 59547 -7360 59557 -7308
+rect 59676 -7360 59686 -7308
+rect 59738 -7360 59748 -7308
+rect 59868 -7360 59878 -7308
+rect 59930 -7360 59940 -7308
+rect 60061 -7360 60071 -7308
+rect 60123 -7360 60133 -7308
+rect 60250 -7361 60260 -7309
+rect 60312 -7361 60322 -7309
+rect 60444 -7360 60454 -7308
+rect 60506 -7360 60516 -7308
+rect 60635 -7360 60645 -7308
+rect 60697 -7360 60707 -7308
+rect 60828 -7360 60838 -7308
+rect 60890 -7360 60900 -7308
+rect 61020 -7360 61030 -7308
+rect 61082 -7360 61092 -7308
+rect 61212 -7361 61222 -7309
+rect 61274 -7361 61284 -7309
+rect 61405 -7359 61415 -7307
+rect 61467 -7359 61477 -7307
+rect 61595 -7359 61605 -7307
+rect 61657 -7359 61667 -7307
+rect 61788 -7361 61798 -7309
+rect 61850 -7361 61860 -7309
+rect 61980 -7360 61990 -7308
+rect 62042 -7360 62052 -7308
+rect 62173 -7359 62183 -7307
+rect 62235 -7359 62245 -7307
+rect 62364 -7359 62374 -7307
+rect 62426 -7359 62436 -7307
+rect 62556 -7360 62566 -7308
+rect 62618 -7360 62628 -7308
+rect 62747 -7360 62757 -7308
+rect 62809 -7360 62819 -7308
+rect 62939 -7360 62949 -7308
+rect 63001 -7360 63011 -7308
+rect 63133 -7359 63143 -7307
+rect 63195 -7359 63205 -7307
+rect 63325 -7360 63335 -7308
+rect 63387 -7360 63397 -7308
+rect 63515 -7361 63525 -7309
+rect 63577 -7361 63587 -7309
+rect 63706 -7362 63716 -7310
+rect 63768 -7362 63778 -7310
+rect 63899 -7360 63909 -7308
+rect 63961 -7360 63971 -7308
+rect 64092 -7359 64102 -7307
+rect 64154 -7359 64164 -7307
+rect 64285 -7360 64295 -7308
+rect 64347 -7360 64357 -7308
+rect 64476 -7360 64486 -7308
+rect 64538 -7360 64548 -7308
+rect 64667 -7360 64677 -7308
+rect 64729 -7360 64739 -7308
+rect 64860 -7360 64870 -7308
+rect 64922 -7360 64932 -7308
+rect 65053 -7360 65063 -7308
+rect 65115 -7360 65125 -7308
+rect 65244 -7360 65254 -7308
+rect 65306 -7360 65316 -7308
+rect 65435 -7359 65445 -7307
+rect 65497 -7359 65507 -7307
+rect 65626 -7359 65636 -7307
+rect 65688 -7359 65698 -7307
+rect 65819 -7360 65829 -7308
+rect 65881 -7360 65891 -7308
+rect 66013 -7360 66023 -7308
+rect 66075 -7360 66085 -7308
+rect 66201 -7361 66211 -7309
+rect 66263 -7361 66273 -7309
+rect 66395 -7369 66405 -7317
+rect 66457 -7369 66467 -7317
+rect 49981 -7497 49991 -7445
+rect 50043 -7497 50053 -7445
+rect 50172 -7499 50182 -7447
+rect 50234 -7499 50244 -7447
+rect 50364 -7498 50374 -7446
+rect 50426 -7498 50436 -7446
+rect 50556 -7501 50566 -7449
+rect 50618 -7501 50628 -7449
+rect 50750 -7500 50760 -7448
+rect 50812 -7500 50822 -7448
+rect 50940 -7500 50950 -7448
+rect 51002 -7500 51012 -7448
+rect 51135 -7498 51145 -7446
+rect 51197 -7498 51207 -7446
+rect 51326 -7497 51336 -7445
+rect 51388 -7497 51398 -7445
+rect 51521 -7499 51531 -7447
+rect 51583 -7499 51593 -7447
+rect 51711 -7499 51721 -7447
+rect 51773 -7499 51783 -7447
+rect 51901 -7498 51911 -7446
+rect 51963 -7498 51973 -7446
+rect 52094 -7497 52104 -7445
+rect 52156 -7497 52166 -7445
+rect 52285 -7497 52295 -7445
+rect 52347 -7497 52357 -7445
+rect 52481 -7499 52491 -7447
+rect 52543 -7499 52553 -7447
+rect 52670 -7499 52680 -7447
+rect 52732 -7499 52742 -7447
+rect 52864 -7499 52874 -7447
+rect 52926 -7499 52936 -7447
+rect 53053 -7499 53063 -7447
+rect 53115 -7499 53125 -7447
+rect 53245 -7500 53255 -7448
+rect 53307 -7500 53317 -7448
+rect 53438 -7500 53448 -7448
+rect 53500 -7500 53510 -7448
+rect 53629 -7500 53639 -7448
+rect 53691 -7500 53701 -7448
+rect 53821 -7499 53831 -7447
+rect 53883 -7499 53893 -7447
+rect 54013 -7500 54023 -7448
+rect 54075 -7500 54085 -7448
+rect 54206 -7500 54216 -7448
+rect 54268 -7500 54278 -7448
+rect 54397 -7500 54407 -7448
+rect 54459 -7500 54469 -7448
+rect 54589 -7499 54599 -7447
+rect 54651 -7499 54661 -7447
+rect 54782 -7500 54792 -7448
+rect 54844 -7500 54854 -7448
+rect 54974 -7500 54984 -7448
+rect 55036 -7500 55046 -7448
+rect 55165 -7500 55175 -7448
+rect 55227 -7500 55237 -7448
+rect 55356 -7500 55366 -7448
+rect 55418 -7500 55428 -7448
+rect 55548 -7500 55558 -7448
+rect 55610 -7500 55620 -7448
+rect 55741 -7499 55751 -7447
+rect 55803 -7499 55813 -7447
+rect 55932 -7499 55942 -7447
+rect 55994 -7499 56004 -7447
+rect 56126 -7500 56136 -7448
+rect 56188 -7500 56198 -7448
+rect 56318 -7500 56328 -7448
+rect 56380 -7500 56390 -7448
+rect 56510 -7500 56520 -7448
+rect 56572 -7500 56582 -7448
+rect 56702 -7500 56712 -7448
+rect 56764 -7500 56774 -7448
+rect 56894 -7500 56904 -7448
+rect 56956 -7500 56966 -7448
+rect 57086 -7500 57096 -7448
+rect 57148 -7500 57158 -7448
+rect 57278 -7500 57288 -7448
+rect 57340 -7500 57350 -7448
+rect 57469 -7500 57479 -7448
+rect 57531 -7500 57541 -7448
+rect 57661 -7500 57671 -7448
+rect 57723 -7500 57733 -7448
+rect 57854 -7500 57864 -7448
+rect 57916 -7500 57926 -7448
+rect 58046 -7500 58056 -7448
+rect 58108 -7500 58118 -7448
+rect 58238 -7500 58248 -7448
+rect 58300 -7500 58310 -7448
+rect 58429 -7500 58439 -7448
+rect 58491 -7500 58501 -7448
+rect 58621 -7500 58631 -7448
+rect 58683 -7500 58693 -7448
+rect 58812 -7500 58822 -7448
+rect 58874 -7500 58884 -7448
+rect 59003 -7500 59013 -7448
+rect 59065 -7500 59075 -7448
+rect 59197 -7500 59207 -7448
+rect 59259 -7500 59269 -7448
+rect 59389 -7500 59399 -7448
+rect 59451 -7500 59461 -7448
+rect 59582 -7499 59592 -7447
+rect 59644 -7499 59654 -7447
+rect 59773 -7500 59783 -7448
+rect 59835 -7500 59845 -7448
+rect 59965 -7500 59975 -7448
+rect 60027 -7500 60037 -7448
+rect 60158 -7500 60168 -7448
+rect 60220 -7500 60230 -7448
+rect 60350 -7500 60360 -7448
+rect 60412 -7500 60422 -7448
+rect 60543 -7499 60553 -7447
+rect 60605 -7499 60615 -7447
+rect 60734 -7500 60744 -7448
+rect 60796 -7500 60806 -7448
+rect 60926 -7500 60936 -7448
+rect 60988 -7500 60998 -7448
+rect 61117 -7500 61127 -7448
+rect 61179 -7500 61189 -7448
+rect 61309 -7500 61319 -7448
+rect 61371 -7500 61381 -7448
+rect 61501 -7500 61511 -7448
+rect 61563 -7500 61573 -7448
+rect 61694 -7500 61704 -7448
+rect 61756 -7500 61766 -7448
+rect 61885 -7500 61895 -7448
+rect 61947 -7500 61957 -7448
+rect 62077 -7500 62087 -7448
+rect 62139 -7500 62149 -7448
+rect 62269 -7499 62279 -7447
+rect 62331 -7499 62341 -7447
+rect 62461 -7500 62471 -7448
+rect 62523 -7500 62533 -7448
+rect 62651 -7500 62661 -7448
+rect 62713 -7500 62723 -7448
+rect 62845 -7500 62855 -7448
+rect 62907 -7500 62917 -7448
+rect 63037 -7499 63047 -7447
+rect 63099 -7499 63109 -7447
+rect 63228 -7500 63238 -7448
+rect 63290 -7500 63300 -7448
+rect 63421 -7500 63431 -7448
+rect 63483 -7500 63493 -7448
+rect 63612 -7499 63622 -7447
+rect 63674 -7499 63684 -7447
+rect 63804 -7500 63814 -7448
+rect 63866 -7500 63876 -7448
+rect 63995 -7500 64005 -7448
+rect 64057 -7500 64067 -7448
+rect 64189 -7499 64199 -7447
+rect 64251 -7499 64261 -7447
+rect 64380 -7500 64390 -7448
+rect 64442 -7500 64452 -7448
+rect 64572 -7500 64582 -7448
+rect 64634 -7500 64644 -7448
+rect 64764 -7500 64774 -7448
+rect 64826 -7500 64836 -7448
+rect 64956 -7500 64966 -7448
+rect 65018 -7500 65028 -7448
+rect 65149 -7500 65159 -7448
+rect 65211 -7500 65221 -7448
+rect 65340 -7500 65350 -7448
+rect 65402 -7500 65412 -7448
+rect 65533 -7499 65543 -7447
+rect 65595 -7499 65605 -7447
+rect 65724 -7500 65734 -7448
+rect 65786 -7500 65796 -7448
+rect 65917 -7500 65927 -7448
+rect 65979 -7500 65989 -7448
+rect 66108 -7500 66118 -7448
+rect 66170 -7500 66180 -7448
+rect 66299 -7498 66309 -7446
+rect 66361 -7498 66371 -7446
+rect 66494 -7500 66504 -7448
+rect 66556 -7500 66566 -7448
+rect 66594 -7540 66624 -7260
+rect 49890 -7570 66624 -7540
+rect 68006 -7234 68368 -6644
+rect 68006 -7640 68374 -7234
+rect 68368 -7642 68374 -7640
+rect 49928 -7664 66600 -7658
+rect 48262 -7706 48458 -7700
+rect 48262 -7764 48274 -7706
+rect 48446 -7764 48458 -7706
+rect 49928 -7756 49940 -7664
+rect 66588 -7756 66600 -7664
+rect 49928 -7762 66600 -7756
+rect 68108 -7710 68304 -7704
+rect 48262 -7770 48458 -7764
+rect 68108 -7768 68120 -7710
+rect 68292 -7768 68304 -7710
+rect 68914 -7756 68924 -6672
+rect 69290 -7756 69300 -6672
+rect 68108 -7774 68304 -7768
+<< via1 >>
+rect 47260 -6264 47620 -5190
+rect 48286 -5236 48458 -5178
+rect 49920 -5280 66568 -5188
+rect 68070 -5236 68242 -5178
+rect 50087 -5494 50139 -5442
+rect 50277 -5493 50329 -5441
+rect 50470 -5496 50522 -5444
+rect 50662 -5491 50714 -5439
+rect 50854 -5495 50906 -5443
+rect 51046 -5490 51098 -5438
+rect 51238 -5491 51290 -5439
+rect 51428 -5490 51480 -5438
+rect 51622 -5501 51674 -5449
+rect 51811 -5499 51863 -5447
+rect 52001 -5499 52053 -5447
+rect 52200 -5500 52252 -5448
+rect 52392 -5499 52444 -5447
+rect 52582 -5500 52634 -5448
+rect 52774 -5493 52826 -5441
+rect 52966 -5495 53018 -5443
+rect 53159 -5494 53211 -5442
+rect 53351 -5492 53403 -5440
+rect 53542 -5492 53594 -5440
+rect 53736 -5497 53788 -5445
+rect 53927 -5493 53979 -5441
+rect 54119 -5489 54171 -5437
+rect 54312 -5493 54364 -5441
+rect 54504 -5493 54556 -5441
+rect 54697 -5494 54749 -5442
+rect 54889 -5492 54941 -5440
+rect 55080 -5491 55132 -5439
+rect 55271 -5490 55323 -5438
+rect 55464 -5490 55516 -5438
+rect 55655 -5499 55707 -5447
+rect 55846 -5500 55898 -5448
+rect 56036 -5501 56088 -5449
+rect 56230 -5505 56282 -5453
+rect 56422 -5507 56474 -5455
+rect 56616 -5506 56668 -5454
+rect 56808 -5503 56860 -5451
+rect 56997 -5500 57049 -5448
+rect 57191 -5498 57243 -5446
+rect 57384 -5499 57436 -5447
+rect 57577 -5500 57629 -5448
+rect 57766 -5500 57818 -5448
+rect 57959 -5500 58011 -5448
+rect 58151 -5500 58203 -5448
+rect 58342 -5500 58394 -5448
+rect 58535 -5500 58587 -5448
+rect 58726 -5499 58778 -5447
+rect 58921 -5500 58973 -5448
+rect 59110 -5500 59162 -5448
+rect 59303 -5500 59355 -5448
+rect 59495 -5500 59547 -5448
+rect 59686 -5500 59738 -5448
+rect 59878 -5500 59930 -5448
+rect 60071 -5500 60123 -5448
+rect 60260 -5501 60312 -5449
+rect 60454 -5500 60506 -5448
+rect 60645 -5500 60697 -5448
+rect 60838 -5500 60890 -5448
+rect 61030 -5500 61082 -5448
+rect 61222 -5501 61274 -5449
+rect 61415 -5499 61467 -5447
+rect 61605 -5499 61657 -5447
+rect 61798 -5501 61850 -5449
+rect 61990 -5500 62042 -5448
+rect 62183 -5499 62235 -5447
+rect 62374 -5499 62426 -5447
+rect 62566 -5500 62618 -5448
+rect 62757 -5500 62809 -5448
+rect 62949 -5500 63001 -5448
+rect 63143 -5499 63195 -5447
+rect 63335 -5500 63387 -5448
+rect 63525 -5501 63577 -5449
+rect 63716 -5502 63768 -5450
+rect 63909 -5500 63961 -5448
+rect 64102 -5499 64154 -5447
+rect 64295 -5500 64347 -5448
+rect 64486 -5500 64538 -5448
+rect 64677 -5500 64729 -5448
+rect 64870 -5500 64922 -5448
+rect 65063 -5500 65115 -5448
+rect 65254 -5500 65306 -5448
+rect 65445 -5499 65497 -5447
+rect 65636 -5499 65688 -5447
+rect 65829 -5500 65881 -5448
+rect 66023 -5500 66075 -5448
+rect 66211 -5501 66263 -5449
+rect 66405 -5509 66457 -5457
+rect 49991 -5637 50043 -5585
+rect 50182 -5639 50234 -5587
+rect 50374 -5638 50426 -5586
+rect 50566 -5641 50618 -5589
+rect 50760 -5640 50812 -5588
+rect 50950 -5640 51002 -5588
+rect 51145 -5638 51197 -5586
+rect 51336 -5637 51388 -5585
+rect 51531 -5639 51583 -5587
+rect 51721 -5639 51773 -5587
+rect 51911 -5638 51963 -5586
+rect 52104 -5637 52156 -5585
+rect 52295 -5637 52347 -5585
+rect 52491 -5639 52543 -5587
+rect 52680 -5639 52732 -5587
+rect 52874 -5639 52926 -5587
+rect 53063 -5639 53115 -5587
+rect 53255 -5640 53307 -5588
+rect 53448 -5640 53500 -5588
+rect 53639 -5640 53691 -5588
+rect 53831 -5639 53883 -5587
+rect 54023 -5640 54075 -5588
+rect 54216 -5640 54268 -5588
+rect 54407 -5640 54459 -5588
+rect 54599 -5639 54651 -5587
+rect 54792 -5640 54844 -5588
+rect 54984 -5640 55036 -5588
+rect 55175 -5640 55227 -5588
+rect 55366 -5640 55418 -5588
+rect 55558 -5640 55610 -5588
+rect 55751 -5639 55803 -5587
+rect 55942 -5639 55994 -5587
+rect 56136 -5640 56188 -5588
+rect 56328 -5640 56380 -5588
+rect 56520 -5640 56572 -5588
+rect 56712 -5640 56764 -5588
+rect 56904 -5640 56956 -5588
+rect 57096 -5640 57148 -5588
+rect 57288 -5640 57340 -5588
+rect 57479 -5640 57531 -5588
+rect 57671 -5640 57723 -5588
+rect 57864 -5640 57916 -5588
+rect 58056 -5640 58108 -5588
+rect 58248 -5640 58300 -5588
+rect 58439 -5640 58491 -5588
+rect 58631 -5640 58683 -5588
+rect 58822 -5640 58874 -5588
+rect 59013 -5640 59065 -5588
+rect 59207 -5640 59259 -5588
+rect 59399 -5640 59451 -5588
+rect 59592 -5639 59644 -5587
+rect 59783 -5640 59835 -5588
+rect 59975 -5640 60027 -5588
+rect 60168 -5640 60220 -5588
+rect 60360 -5640 60412 -5588
+rect 60553 -5639 60605 -5587
+rect 60744 -5640 60796 -5588
+rect 60936 -5640 60988 -5588
+rect 61127 -5640 61179 -5588
+rect 61319 -5640 61371 -5588
+rect 61511 -5640 61563 -5588
+rect 61704 -5640 61756 -5588
+rect 61895 -5640 61947 -5588
+rect 62087 -5640 62139 -5588
+rect 62279 -5639 62331 -5587
+rect 62471 -5640 62523 -5588
+rect 62661 -5640 62713 -5588
+rect 62855 -5640 62907 -5588
+rect 63047 -5639 63099 -5587
+rect 63238 -5640 63290 -5588
+rect 63431 -5640 63483 -5588
+rect 63622 -5639 63674 -5587
+rect 63814 -5640 63866 -5588
+rect 64005 -5640 64057 -5588
+rect 64199 -5639 64251 -5587
+rect 64390 -5640 64442 -5588
+rect 64582 -5640 64634 -5588
+rect 64774 -5640 64826 -5588
+rect 64966 -5640 65018 -5588
+rect 65159 -5640 65211 -5588
+rect 65350 -5640 65402 -5588
+rect 65543 -5639 65595 -5587
+rect 65734 -5640 65786 -5588
+rect 65927 -5640 65979 -5588
+rect 66118 -5640 66170 -5588
+rect 66309 -5638 66361 -5586
+rect 66504 -5640 66556 -5588
+rect 49430 -6126 49482 -6074
+rect 52047 -6123 52099 -6071
+rect 52237 -6122 52289 -6070
+rect 52429 -6121 52481 -6069
+rect 52620 -6121 52672 -6069
+rect 52814 -6121 52866 -6069
+rect 53004 -6122 53056 -6070
+rect 53198 -6121 53250 -6069
+rect 53390 -6121 53442 -6069
+rect 53581 -6122 53633 -6070
+rect 53776 -6122 53828 -6070
+rect 53966 -6122 54018 -6070
+rect 54157 -6122 54209 -6070
+rect 54349 -6122 54401 -6070
+rect 54542 -6121 54594 -6069
+rect 54734 -6122 54786 -6070
+rect 54926 -6122 54978 -6070
+rect 55119 -6122 55171 -6070
+rect 55310 -6121 55362 -6069
+rect 55502 -6122 55554 -6070
+rect 55694 -6121 55746 -6069
+rect 55886 -6121 55938 -6069
+rect 56077 -6122 56129 -6070
+rect 56270 -6121 56322 -6069
+rect 56462 -6121 56514 -6069
+rect 56656 -6122 56708 -6070
+rect 56847 -6123 56899 -6071
+rect 57036 -6122 57088 -6070
+rect 57229 -6122 57281 -6070
+rect 57422 -6122 57474 -6070
+rect 57613 -6121 57665 -6069
+rect 57804 -6121 57856 -6069
+rect 51949 -6262 52001 -6210
+rect 52141 -6262 52193 -6210
+rect 52334 -6262 52386 -6210
+rect 52526 -6262 52578 -6210
+rect 52719 -6262 52771 -6210
+rect 52911 -6261 52963 -6209
+rect 53102 -6262 53154 -6210
+rect 53294 -6262 53346 -6210
+rect 53486 -6261 53538 -6209
+rect 53678 -6261 53730 -6209
+rect 53870 -6262 53922 -6210
+rect 54062 -6261 54114 -6209
+rect 54254 -6262 54306 -6210
+rect 54445 -6261 54497 -6209
+rect 54639 -6262 54691 -6210
+rect 54829 -6262 54881 -6210
+rect 55021 -6262 55073 -6210
+rect 55214 -6262 55266 -6210
+rect 55405 -6262 55457 -6210
+rect 55598 -6261 55650 -6209
+rect 55791 -6262 55843 -6210
+rect 55982 -6262 56034 -6210
+rect 56175 -6262 56227 -6210
+rect 56365 -6262 56417 -6210
+rect 56559 -6261 56611 -6209
+rect 56748 -6262 56800 -6210
+rect 56941 -6262 56993 -6210
+rect 57134 -6262 57186 -6210
+rect 57324 -6262 57376 -6210
+rect 57517 -6261 57569 -6209
+rect 57706 -6261 57758 -6209
+rect 52040 -6380 52100 -6320
+rect 57800 -6370 57860 -6310
+rect 66918 -6002 66970 -5950
+rect 58241 -6122 58293 -6070
+rect 58431 -6121 58483 -6069
+rect 58623 -6120 58675 -6068
+rect 58814 -6120 58866 -6068
+rect 59008 -6120 59060 -6068
+rect 59198 -6121 59250 -6069
+rect 59392 -6120 59444 -6068
+rect 59584 -6120 59636 -6068
+rect 59775 -6121 59827 -6069
+rect 59970 -6121 60022 -6069
+rect 60160 -6121 60212 -6069
+rect 60351 -6121 60403 -6069
+rect 60543 -6121 60595 -6069
+rect 60736 -6120 60788 -6068
+rect 60928 -6121 60980 -6069
+rect 61120 -6121 61172 -6069
+rect 61313 -6121 61365 -6069
+rect 61504 -6120 61556 -6068
+rect 61696 -6121 61748 -6069
+rect 61888 -6120 61940 -6068
+rect 62080 -6120 62132 -6068
+rect 62271 -6121 62323 -6069
+rect 62464 -6120 62516 -6068
+rect 62656 -6120 62708 -6068
+rect 62850 -6121 62902 -6069
+rect 63041 -6122 63093 -6070
+rect 63230 -6121 63282 -6069
+rect 63423 -6121 63475 -6069
+rect 63616 -6121 63668 -6069
+rect 63807 -6120 63859 -6068
+rect 63998 -6120 64050 -6068
+rect 58143 -6261 58195 -6209
+rect 58335 -6261 58387 -6209
+rect 58528 -6261 58580 -6209
+rect 58720 -6261 58772 -6209
+rect 58913 -6261 58965 -6209
+rect 59105 -6260 59157 -6208
+rect 59296 -6261 59348 -6209
+rect 59488 -6261 59540 -6209
+rect 59680 -6260 59732 -6208
+rect 59872 -6260 59924 -6208
+rect 60064 -6261 60116 -6209
+rect 60256 -6260 60308 -6208
+rect 60448 -6261 60500 -6209
+rect 60639 -6260 60691 -6208
+rect 60833 -6261 60885 -6209
+rect 61023 -6261 61075 -6209
+rect 61215 -6261 61267 -6209
+rect 61408 -6261 61460 -6209
+rect 61599 -6261 61651 -6209
+rect 61792 -6260 61844 -6208
+rect 61985 -6261 62037 -6209
+rect 62176 -6261 62228 -6209
+rect 62369 -6261 62421 -6209
+rect 62559 -6261 62611 -6209
+rect 62753 -6260 62805 -6208
+rect 62942 -6261 62994 -6209
+rect 63135 -6261 63187 -6209
+rect 63328 -6261 63380 -6209
+rect 63518 -6261 63570 -6209
+rect 63711 -6260 63763 -6208
+rect 63900 -6260 63952 -6208
+rect 68930 -6272 69296 -5188
+rect 58230 -6370 58290 -6310
+rect 63990 -6360 64050 -6300
+rect 47254 -7752 47614 -6678
+rect 49436 -6924 49488 -6872
+rect 52048 -6741 52100 -6689
+rect 52238 -6740 52290 -6688
+rect 52430 -6739 52482 -6687
+rect 52621 -6739 52673 -6687
+rect 52815 -6739 52867 -6687
+rect 53005 -6740 53057 -6688
+rect 53199 -6739 53251 -6687
+rect 53391 -6739 53443 -6687
+rect 53582 -6740 53634 -6688
+rect 53777 -6740 53829 -6688
+rect 53967 -6740 54019 -6688
+rect 54158 -6740 54210 -6688
+rect 54350 -6740 54402 -6688
+rect 54543 -6739 54595 -6687
+rect 54735 -6740 54787 -6688
+rect 54927 -6740 54979 -6688
+rect 55120 -6740 55172 -6688
+rect 55311 -6739 55363 -6687
+rect 55503 -6740 55555 -6688
+rect 55695 -6739 55747 -6687
+rect 55887 -6739 55939 -6687
+rect 56078 -6740 56130 -6688
+rect 56271 -6739 56323 -6687
+rect 56463 -6739 56515 -6687
+rect 56657 -6740 56709 -6688
+rect 56848 -6741 56900 -6689
+rect 57037 -6740 57089 -6688
+rect 57230 -6740 57282 -6688
+rect 57423 -6740 57475 -6688
+rect 57614 -6739 57666 -6687
+rect 57805 -6739 57857 -6687
+rect 51950 -6880 52002 -6828
+rect 52142 -6880 52194 -6828
+rect 52335 -6880 52387 -6828
+rect 52527 -6880 52579 -6828
+rect 52720 -6880 52772 -6828
+rect 52912 -6879 52964 -6827
+rect 53103 -6880 53155 -6828
+rect 53295 -6880 53347 -6828
+rect 53487 -6879 53539 -6827
+rect 53679 -6879 53731 -6827
+rect 53871 -6880 53923 -6828
+rect 54063 -6879 54115 -6827
+rect 54255 -6880 54307 -6828
+rect 54446 -6879 54498 -6827
+rect 54640 -6880 54692 -6828
+rect 54830 -6880 54882 -6828
+rect 55022 -6880 55074 -6828
+rect 55215 -6880 55267 -6828
+rect 55406 -6880 55458 -6828
+rect 55599 -6879 55651 -6827
+rect 55792 -6880 55844 -6828
+rect 55983 -6880 56035 -6828
+rect 56176 -6880 56228 -6828
+rect 56366 -6880 56418 -6828
+rect 56560 -6879 56612 -6827
+rect 56749 -6880 56801 -6828
+rect 56942 -6880 56994 -6828
+rect 57135 -6880 57187 -6828
+rect 57325 -6880 57377 -6828
+rect 57518 -6879 57570 -6827
+rect 57707 -6879 57759 -6827
+rect 58236 -6740 58288 -6688
+rect 58426 -6739 58478 -6687
+rect 58618 -6738 58670 -6686
+rect 58809 -6738 58861 -6686
+rect 59003 -6738 59055 -6686
+rect 59193 -6739 59245 -6687
+rect 59387 -6738 59439 -6686
+rect 59579 -6738 59631 -6686
+rect 59770 -6739 59822 -6687
+rect 59965 -6739 60017 -6687
+rect 60155 -6739 60207 -6687
+rect 60346 -6739 60398 -6687
+rect 60538 -6739 60590 -6687
+rect 60731 -6738 60783 -6686
+rect 60923 -6739 60975 -6687
+rect 61115 -6739 61167 -6687
+rect 61308 -6739 61360 -6687
+rect 61499 -6738 61551 -6686
+rect 61691 -6739 61743 -6687
+rect 61883 -6738 61935 -6686
+rect 62075 -6738 62127 -6686
+rect 62266 -6739 62318 -6687
+rect 62459 -6738 62511 -6686
+rect 62651 -6738 62703 -6686
+rect 62845 -6739 62897 -6687
+rect 63036 -6740 63088 -6688
+rect 63225 -6739 63277 -6687
+rect 63418 -6739 63470 -6687
+rect 63611 -6739 63663 -6687
+rect 63802 -6738 63854 -6686
+rect 63993 -6738 64045 -6686
+rect 58138 -6879 58190 -6827
+rect 58330 -6879 58382 -6827
+rect 58523 -6879 58575 -6827
+rect 58715 -6879 58767 -6827
+rect 58908 -6879 58960 -6827
+rect 59100 -6878 59152 -6826
+rect 59291 -6879 59343 -6827
+rect 59483 -6879 59535 -6827
+rect 59675 -6878 59727 -6826
+rect 59867 -6878 59919 -6826
+rect 60059 -6879 60111 -6827
+rect 60251 -6878 60303 -6826
+rect 60443 -6879 60495 -6827
+rect 60634 -6878 60686 -6826
+rect 60828 -6879 60880 -6827
+rect 61018 -6879 61070 -6827
+rect 61210 -6879 61262 -6827
+rect 61403 -6879 61455 -6827
+rect 61594 -6879 61646 -6827
+rect 61787 -6878 61839 -6826
+rect 61980 -6879 62032 -6827
+rect 62171 -6879 62223 -6827
+rect 62364 -6879 62416 -6827
+rect 62554 -6879 62606 -6827
+rect 62748 -6878 62800 -6826
+rect 62937 -6879 62989 -6827
+rect 63130 -6879 63182 -6827
+rect 63323 -6879 63375 -6827
+rect 63513 -6879 63565 -6827
+rect 63706 -6878 63758 -6826
+rect 63895 -6878 63947 -6826
+rect 66912 -6902 66964 -6850
+rect 50087 -7354 50139 -7302
+rect 50277 -7353 50329 -7301
+rect 50470 -7356 50522 -7304
+rect 50662 -7351 50714 -7299
+rect 50854 -7355 50906 -7303
+rect 51046 -7350 51098 -7298
+rect 51238 -7351 51290 -7299
+rect 51428 -7350 51480 -7298
+rect 51622 -7361 51674 -7309
+rect 51811 -7359 51863 -7307
+rect 52001 -7359 52053 -7307
+rect 52200 -7360 52252 -7308
+rect 52392 -7359 52444 -7307
+rect 52582 -7360 52634 -7308
+rect 52774 -7353 52826 -7301
+rect 52966 -7355 53018 -7303
+rect 53159 -7354 53211 -7302
+rect 53351 -7352 53403 -7300
+rect 53542 -7352 53594 -7300
+rect 53736 -7357 53788 -7305
+rect 53927 -7353 53979 -7301
+rect 54119 -7349 54171 -7297
+rect 54312 -7353 54364 -7301
+rect 54504 -7353 54556 -7301
+rect 54697 -7354 54749 -7302
+rect 54889 -7352 54941 -7300
+rect 55080 -7351 55132 -7299
+rect 55271 -7350 55323 -7298
+rect 55464 -7350 55516 -7298
+rect 55655 -7359 55707 -7307
+rect 55846 -7360 55898 -7308
+rect 56036 -7361 56088 -7309
+rect 56230 -7365 56282 -7313
+rect 56422 -7367 56474 -7315
+rect 56616 -7366 56668 -7314
+rect 56808 -7363 56860 -7311
+rect 56997 -7360 57049 -7308
+rect 57191 -7358 57243 -7306
+rect 57384 -7359 57436 -7307
+rect 57577 -7360 57629 -7308
+rect 57766 -7360 57818 -7308
+rect 57959 -7360 58011 -7308
+rect 58151 -7360 58203 -7308
+rect 58342 -7360 58394 -7308
+rect 58535 -7360 58587 -7308
+rect 58726 -7359 58778 -7307
+rect 58921 -7360 58973 -7308
+rect 59110 -7360 59162 -7308
+rect 59303 -7360 59355 -7308
+rect 59495 -7360 59547 -7308
+rect 59686 -7360 59738 -7308
+rect 59878 -7360 59930 -7308
+rect 60071 -7360 60123 -7308
+rect 60260 -7361 60312 -7309
+rect 60454 -7360 60506 -7308
+rect 60645 -7360 60697 -7308
+rect 60838 -7360 60890 -7308
+rect 61030 -7360 61082 -7308
+rect 61222 -7361 61274 -7309
+rect 61415 -7359 61467 -7307
+rect 61605 -7359 61657 -7307
+rect 61798 -7361 61850 -7309
+rect 61990 -7360 62042 -7308
+rect 62183 -7359 62235 -7307
+rect 62374 -7359 62426 -7307
+rect 62566 -7360 62618 -7308
+rect 62757 -7360 62809 -7308
+rect 62949 -7360 63001 -7308
+rect 63143 -7359 63195 -7307
+rect 63335 -7360 63387 -7308
+rect 63525 -7361 63577 -7309
+rect 63716 -7362 63768 -7310
+rect 63909 -7360 63961 -7308
+rect 64102 -7359 64154 -7307
+rect 64295 -7360 64347 -7308
+rect 64486 -7360 64538 -7308
+rect 64677 -7360 64729 -7308
+rect 64870 -7360 64922 -7308
+rect 65063 -7360 65115 -7308
+rect 65254 -7360 65306 -7308
+rect 65445 -7359 65497 -7307
+rect 65636 -7359 65688 -7307
+rect 65829 -7360 65881 -7308
+rect 66023 -7360 66075 -7308
+rect 66211 -7361 66263 -7309
+rect 66405 -7369 66457 -7317
+rect 49991 -7497 50043 -7445
+rect 50182 -7499 50234 -7447
+rect 50374 -7498 50426 -7446
+rect 50566 -7501 50618 -7449
+rect 50760 -7500 50812 -7448
+rect 50950 -7500 51002 -7448
+rect 51145 -7498 51197 -7446
+rect 51336 -7497 51388 -7445
+rect 51531 -7499 51583 -7447
+rect 51721 -7499 51773 -7447
+rect 51911 -7498 51963 -7446
+rect 52104 -7497 52156 -7445
+rect 52295 -7497 52347 -7445
+rect 52491 -7499 52543 -7447
+rect 52680 -7499 52732 -7447
+rect 52874 -7499 52926 -7447
+rect 53063 -7499 53115 -7447
+rect 53255 -7500 53307 -7448
+rect 53448 -7500 53500 -7448
+rect 53639 -7500 53691 -7448
+rect 53831 -7499 53883 -7447
+rect 54023 -7500 54075 -7448
+rect 54216 -7500 54268 -7448
+rect 54407 -7500 54459 -7448
+rect 54599 -7499 54651 -7447
+rect 54792 -7500 54844 -7448
+rect 54984 -7500 55036 -7448
+rect 55175 -7500 55227 -7448
+rect 55366 -7500 55418 -7448
+rect 55558 -7500 55610 -7448
+rect 55751 -7499 55803 -7447
+rect 55942 -7499 55994 -7447
+rect 56136 -7500 56188 -7448
+rect 56328 -7500 56380 -7448
+rect 56520 -7500 56572 -7448
+rect 56712 -7500 56764 -7448
+rect 56904 -7500 56956 -7448
+rect 57096 -7500 57148 -7448
+rect 57288 -7500 57340 -7448
+rect 57479 -7500 57531 -7448
+rect 57671 -7500 57723 -7448
+rect 57864 -7500 57916 -7448
+rect 58056 -7500 58108 -7448
+rect 58248 -7500 58300 -7448
+rect 58439 -7500 58491 -7448
+rect 58631 -7500 58683 -7448
+rect 58822 -7500 58874 -7448
+rect 59013 -7500 59065 -7448
+rect 59207 -7500 59259 -7448
+rect 59399 -7500 59451 -7448
+rect 59592 -7499 59644 -7447
+rect 59783 -7500 59835 -7448
+rect 59975 -7500 60027 -7448
+rect 60168 -7500 60220 -7448
+rect 60360 -7500 60412 -7448
+rect 60553 -7499 60605 -7447
+rect 60744 -7500 60796 -7448
+rect 60936 -7500 60988 -7448
+rect 61127 -7500 61179 -7448
+rect 61319 -7500 61371 -7448
+rect 61511 -7500 61563 -7448
+rect 61704 -7500 61756 -7448
+rect 61895 -7500 61947 -7448
+rect 62087 -7500 62139 -7448
+rect 62279 -7499 62331 -7447
+rect 62471 -7500 62523 -7448
+rect 62661 -7500 62713 -7448
+rect 62855 -7500 62907 -7448
+rect 63047 -7499 63099 -7447
+rect 63238 -7500 63290 -7448
+rect 63431 -7500 63483 -7448
+rect 63622 -7499 63674 -7447
+rect 63814 -7500 63866 -7448
+rect 64005 -7500 64057 -7448
+rect 64199 -7499 64251 -7447
+rect 64390 -7500 64442 -7448
+rect 64582 -7500 64634 -7448
+rect 64774 -7500 64826 -7448
+rect 64966 -7500 65018 -7448
+rect 65159 -7500 65211 -7448
+rect 65350 -7500 65402 -7448
+rect 65543 -7499 65595 -7447
+rect 65734 -7500 65786 -7448
+rect 65927 -7500 65979 -7448
+rect 66118 -7500 66170 -7448
+rect 66309 -7498 66361 -7446
+rect 66504 -7500 66556 -7448
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+rect 68924 -7756 69290 -6672
+<< metal2 >>
+rect 68894 -4738 69326 -4736
+rect 68674 -4740 69326 -4738
+rect 47224 -5130 69326 -4740
+rect 47224 -5190 47652 -5130
+rect 47224 -6264 47260 -5190
+rect 47620 -6264 47652 -5190
+rect 48286 -5178 48458 -5168
+rect 68070 -5178 68242 -5168
+rect 48286 -5246 48458 -5236
+rect 49920 -5188 66568 -5178
+rect 68070 -5246 68242 -5236
+rect 68894 -5188 69326 -5130
+rect 49920 -5290 66568 -5280
+rect 50090 -5432 50160 -5290
+rect 50087 -5440 50160 -5432
+rect 50277 -5440 50329 -5431
+rect 50470 -5440 50522 -5434
+rect 50662 -5439 50714 -5430
+rect 50087 -5441 50662 -5440
+rect 50087 -5442 50277 -5441
+rect 50139 -5470 50277 -5442
+rect 50087 -5504 50139 -5494
+rect 50329 -5444 50662 -5441
+rect 50329 -5470 50470 -5444
+rect 50277 -5503 50329 -5493
+rect 50522 -5470 50662 -5444
+rect 50470 -5506 50522 -5496
+rect 50854 -5440 50906 -5433
+rect 51046 -5438 51098 -5430
+rect 50714 -5443 51046 -5440
+rect 50714 -5470 50854 -5443
+rect 50662 -5501 50714 -5491
+rect 50906 -5470 51046 -5443
+rect 50854 -5505 50906 -5495
+rect 51238 -5439 51290 -5430
+rect 51098 -5470 51238 -5440
+rect 51046 -5500 51098 -5490
+rect 51428 -5438 51480 -5430
+rect 51290 -5470 51428 -5440
+rect 51238 -5501 51290 -5491
+rect 51622 -5440 51674 -5439
+rect 51811 -5440 51863 -5437
+rect 52001 -5440 52053 -5437
+rect 52200 -5440 52252 -5438
+rect 52392 -5440 52444 -5437
+rect 52582 -5440 52634 -5438
+rect 52774 -5440 52826 -5431
+rect 52966 -5440 53018 -5433
+rect 53159 -5440 53211 -5432
+rect 53351 -5440 53403 -5430
+rect 53542 -5440 53594 -5430
+rect 53736 -5440 53788 -5435
+rect 53927 -5440 53979 -5431
+rect 54119 -5437 54171 -5430
+rect 51480 -5441 53351 -5440
+rect 51480 -5447 52774 -5441
+rect 51480 -5449 51811 -5447
+rect 51480 -5470 51622 -5449
+rect 51428 -5500 51480 -5490
+rect 51674 -5470 51811 -5449
+rect 51622 -5511 51674 -5501
+rect 51863 -5470 52001 -5447
+rect 51811 -5509 51863 -5499
+rect 52053 -5448 52392 -5447
+rect 52053 -5470 52200 -5448
+rect 52001 -5509 52053 -5499
+rect 52252 -5470 52392 -5448
+rect 52200 -5510 52252 -5500
+rect 52444 -5448 52774 -5447
+rect 52444 -5470 52582 -5448
+rect 52392 -5509 52444 -5499
+rect 52634 -5470 52774 -5448
+rect 52582 -5510 52634 -5500
+rect 52826 -5442 53351 -5441
+rect 52826 -5443 53159 -5442
+rect 52826 -5470 52966 -5443
+rect 52774 -5503 52826 -5493
+rect 53018 -5470 53159 -5443
+rect 52966 -5505 53018 -5495
+rect 53211 -5470 53351 -5442
+rect 53159 -5504 53211 -5494
+rect 53403 -5470 53542 -5440
+rect 53351 -5502 53403 -5492
+rect 53594 -5441 54119 -5440
+rect 53594 -5445 53927 -5441
+rect 53594 -5470 53736 -5445
+rect 53542 -5502 53594 -5492
+rect 53788 -5470 53927 -5445
+rect 53736 -5507 53788 -5497
+rect 53979 -5470 54119 -5441
+rect 53927 -5503 53979 -5493
+rect 54312 -5440 54364 -5431
+rect 54504 -5440 54556 -5431
+rect 54697 -5440 54749 -5432
+rect 54889 -5440 54941 -5430
+rect 55080 -5439 55132 -5430
+rect 54171 -5441 54889 -5440
+rect 54171 -5470 54312 -5441
+rect 54119 -5499 54171 -5489
+rect 54364 -5470 54504 -5441
+rect 54312 -5503 54364 -5493
+rect 54556 -5442 54889 -5441
+rect 54556 -5470 54697 -5442
+rect 54504 -5503 54556 -5493
+rect 54749 -5470 54889 -5442
+rect 54697 -5504 54749 -5494
+rect 54941 -5470 55080 -5440
+rect 54889 -5502 54941 -5492
+rect 55271 -5438 55323 -5430
+rect 55132 -5470 55271 -5440
+rect 55080 -5501 55132 -5491
+rect 55464 -5438 55516 -5430
+rect 55323 -5470 55464 -5440
+rect 55271 -5500 55323 -5490
+rect 55655 -5440 55707 -5437
+rect 55846 -5440 55898 -5438
+rect 56036 -5440 56088 -5439
+rect 56997 -5440 57049 -5438
+rect 57191 -5440 57243 -5436
+rect 57384 -5440 57436 -5437
+rect 57577 -5440 57629 -5438
+rect 57766 -5440 57818 -5438
+rect 57959 -5440 58011 -5438
+rect 58151 -5440 58203 -5438
+rect 58342 -5440 58394 -5438
+rect 58535 -5440 58587 -5438
+rect 58726 -5440 58778 -5437
+rect 58921 -5440 58973 -5438
+rect 59110 -5440 59162 -5438
+rect 59303 -5440 59355 -5438
+rect 59495 -5440 59547 -5438
+rect 59686 -5440 59738 -5438
+rect 59878 -5440 59930 -5438
+rect 60071 -5440 60123 -5438
+rect 60260 -5440 60312 -5439
+rect 60454 -5440 60506 -5438
+rect 60645 -5440 60697 -5438
+rect 60838 -5440 60890 -5438
+rect 61030 -5440 61082 -5438
+rect 61222 -5440 61274 -5439
+rect 61415 -5440 61467 -5437
+rect 61605 -5440 61657 -5437
+rect 61798 -5440 61850 -5439
+rect 61990 -5440 62042 -5438
+rect 62183 -5440 62235 -5437
+rect 62374 -5440 62426 -5437
+rect 62566 -5440 62618 -5438
+rect 62757 -5440 62809 -5438
+rect 62949 -5440 63001 -5438
+rect 63143 -5440 63195 -5437
+rect 63335 -5440 63387 -5438
+rect 63525 -5440 63577 -5439
+rect 63909 -5440 63961 -5438
+rect 64102 -5440 64154 -5437
+rect 64295 -5440 64347 -5438
+rect 64486 -5440 64538 -5438
+rect 64677 -5440 64729 -5438
+rect 64870 -5440 64922 -5438
+rect 65063 -5440 65115 -5438
+rect 65254 -5440 65306 -5438
+rect 65445 -5440 65497 -5437
+rect 65636 -5440 65688 -5437
+rect 65829 -5440 65881 -5438
+rect 66023 -5440 66075 -5438
+rect 66211 -5440 66263 -5439
+rect 66400 -5440 66470 -5290
+rect 55516 -5446 66470 -5440
+rect 55516 -5447 57191 -5446
+rect 55516 -5470 55655 -5447
+rect 55464 -5500 55516 -5490
+rect 55707 -5448 57191 -5447
+rect 55707 -5470 55846 -5448
+rect 55655 -5509 55707 -5499
+rect 55898 -5449 56997 -5448
+rect 55898 -5470 56036 -5449
+rect 55846 -5510 55898 -5500
+rect 56088 -5451 56997 -5449
+rect 56088 -5453 56808 -5451
+rect 56088 -5470 56230 -5453
+rect 56036 -5511 56088 -5501
+rect 56282 -5454 56808 -5453
+rect 56282 -5455 56616 -5454
+rect 56282 -5470 56422 -5455
+rect 56230 -5515 56282 -5505
+rect 56474 -5470 56616 -5455
+rect 56422 -5517 56474 -5507
+rect 56668 -5470 56808 -5454
+rect 56616 -5516 56668 -5506
+rect 56860 -5470 56997 -5451
+rect 56808 -5513 56860 -5503
+rect 57049 -5470 57191 -5448
+rect 56997 -5510 57049 -5500
+rect 57243 -5447 66470 -5446
+rect 57243 -5470 57384 -5447
+rect 57191 -5508 57243 -5498
+rect 57436 -5448 58726 -5447
+rect 57436 -5470 57577 -5448
+rect 57384 -5509 57436 -5499
+rect 57629 -5470 57766 -5448
+rect 57577 -5510 57629 -5500
+rect 57818 -5470 57959 -5448
+rect 57766 -5510 57818 -5500
+rect 58011 -5470 58151 -5448
+rect 57959 -5510 58011 -5500
+rect 58203 -5470 58342 -5448
+rect 58151 -5510 58203 -5500
+rect 58394 -5470 58535 -5448
+rect 58342 -5510 58394 -5500
+rect 58587 -5470 58726 -5448
+rect 58535 -5510 58587 -5500
+rect 58778 -5448 61415 -5447
+rect 58778 -5470 58921 -5448
+rect 58726 -5509 58778 -5499
+rect 58973 -5470 59110 -5448
+rect 58921 -5510 58973 -5500
+rect 59162 -5470 59303 -5448
+rect 59110 -5510 59162 -5500
+rect 59355 -5470 59495 -5448
+rect 59303 -5510 59355 -5500
+rect 59547 -5470 59686 -5448
+rect 59495 -5510 59547 -5500
+rect 59738 -5470 59878 -5448
+rect 59686 -5510 59738 -5500
+rect 59930 -5470 60071 -5448
+rect 59878 -5510 59930 -5500
+rect 60123 -5449 60454 -5448
+rect 60123 -5470 60260 -5449
+rect 60071 -5510 60123 -5500
+rect 60312 -5470 60454 -5449
+rect 60260 -5511 60312 -5501
+rect 60506 -5470 60645 -5448
+rect 60454 -5510 60506 -5500
+rect 60697 -5470 60838 -5448
+rect 60645 -5510 60697 -5500
+rect 60890 -5470 61030 -5448
+rect 60838 -5510 60890 -5500
+rect 61082 -5449 61415 -5448
+rect 61082 -5470 61222 -5449
+rect 61030 -5510 61082 -5500
+rect 61274 -5470 61415 -5449
+rect 61222 -5511 61274 -5501
+rect 61467 -5470 61605 -5447
+rect 61415 -5509 61467 -5499
+rect 61657 -5448 62183 -5447
+rect 61657 -5449 61990 -5448
+rect 61657 -5470 61798 -5449
+rect 61605 -5509 61657 -5499
+rect 61850 -5470 61990 -5449
+rect 61798 -5511 61850 -5501
+rect 62042 -5470 62183 -5448
+rect 61990 -5510 62042 -5500
+rect 62235 -5470 62374 -5447
+rect 62183 -5509 62235 -5499
+rect 62426 -5448 63143 -5447
+rect 62426 -5470 62566 -5448
+rect 62374 -5509 62426 -5499
+rect 62618 -5470 62757 -5448
+rect 62566 -5510 62618 -5500
+rect 62809 -5470 62949 -5448
+rect 62757 -5510 62809 -5500
+rect 63001 -5470 63143 -5448
+rect 62949 -5510 63001 -5500
+rect 63195 -5448 64102 -5447
+rect 63195 -5470 63335 -5448
+rect 63143 -5509 63195 -5499
+rect 63387 -5449 63909 -5448
+rect 63387 -5470 63525 -5449
+rect 63335 -5510 63387 -5500
+rect 63577 -5450 63909 -5449
+rect 63577 -5470 63716 -5450
+rect 63525 -5511 63577 -5501
+rect 63768 -5470 63909 -5450
+rect 63716 -5512 63768 -5502
+rect 63961 -5470 64102 -5448
+rect 63909 -5510 63961 -5500
+rect 64154 -5448 65445 -5447
+rect 64154 -5470 64295 -5448
+rect 64102 -5509 64154 -5499
+rect 64347 -5470 64486 -5448
+rect 64295 -5510 64347 -5500
+rect 64538 -5470 64677 -5448
+rect 64486 -5510 64538 -5500
+rect 64729 -5470 64870 -5448
+rect 64677 -5510 64729 -5500
+rect 64922 -5470 65063 -5448
+rect 64870 -5510 64922 -5500
+rect 65115 -5470 65254 -5448
+rect 65063 -5510 65115 -5500
+rect 65306 -5470 65445 -5448
+rect 65254 -5510 65306 -5500
+rect 65497 -5470 65636 -5447
+rect 65445 -5509 65497 -5499
+rect 65688 -5448 66470 -5447
+rect 65688 -5470 65829 -5448
+rect 65636 -5509 65688 -5499
+rect 65881 -5470 66023 -5448
+rect 65829 -5510 65881 -5500
+rect 66075 -5449 66470 -5448
+rect 66075 -5470 66211 -5449
+rect 66023 -5510 66075 -5500
+rect 66263 -5457 66470 -5449
+rect 66263 -5470 66405 -5457
+rect 66211 -5511 66263 -5501
+rect 66457 -5470 66470 -5457
+rect 66405 -5519 66457 -5509
+rect 49991 -5585 50043 -5575
+rect 50182 -5587 50234 -5577
+rect 50043 -5630 50182 -5600
+rect 49991 -5647 50043 -5637
+rect 50374 -5586 50426 -5576
+rect 50234 -5630 50374 -5600
+rect 50182 -5649 50234 -5639
+rect 50566 -5589 50618 -5579
+rect 50426 -5630 50566 -5600
+rect 50374 -5648 50426 -5638
+rect 50760 -5588 50812 -5578
+rect 50618 -5630 50760 -5600
+rect 50566 -5651 50618 -5641
+rect 50950 -5588 51002 -5578
+rect 50812 -5630 50950 -5600
+rect 50760 -5650 50812 -5640
+rect 51145 -5586 51197 -5576
+rect 51002 -5630 51145 -5600
+rect 50950 -5650 51002 -5640
+rect 51336 -5585 51388 -5575
+rect 51197 -5630 51336 -5600
+rect 51145 -5648 51197 -5638
+rect 51531 -5587 51583 -5577
+rect 51388 -5630 51531 -5600
+rect 51336 -5647 51388 -5637
+rect 51721 -5587 51773 -5577
+rect 51583 -5630 51721 -5600
+rect 51531 -5649 51583 -5639
+rect 51911 -5586 51963 -5576
+rect 51773 -5630 51911 -5600
+rect 51721 -5649 51773 -5639
+rect 52104 -5585 52156 -5575
+rect 51963 -5630 52104 -5600
+rect 51911 -5648 51963 -5638
+rect 52050 -5637 52104 -5630
+rect 52295 -5585 52347 -5575
+rect 52156 -5630 52295 -5600
+rect 52050 -5647 52156 -5637
+rect 52491 -5587 52543 -5577
+rect 52347 -5630 52491 -5600
+rect 52295 -5647 52347 -5637
+rect 52430 -5639 52491 -5630
+rect 52680 -5587 52732 -5577
+rect 52543 -5630 52680 -5600
+rect 52050 -6060 52110 -5647
+rect 52430 -5649 52543 -5639
+rect 52874 -5587 52926 -5577
+rect 52732 -5630 52874 -5600
+rect 52680 -5649 52732 -5639
+rect 52820 -5639 52874 -5630
+rect 53063 -5587 53115 -5577
+rect 52926 -5630 53063 -5600
+rect 52820 -5649 52926 -5639
+rect 53255 -5588 53307 -5578
+rect 53115 -5630 53255 -5600
+rect 53063 -5649 53115 -5639
+rect 53200 -5640 53255 -5630
+rect 53448 -5588 53500 -5578
+rect 53307 -5630 53448 -5600
+rect 52430 -6059 52490 -5649
+rect 52820 -6059 52880 -5649
+rect 53200 -5650 53307 -5640
+rect 53639 -5588 53691 -5578
+rect 53500 -5630 53639 -5600
+rect 53448 -5650 53500 -5640
+rect 53580 -5640 53639 -5630
+rect 53831 -5587 53883 -5577
+rect 53691 -5630 53831 -5600
+rect 53580 -5650 53691 -5640
+rect 54023 -5588 54075 -5578
+rect 53883 -5630 54023 -5600
+rect 53831 -5649 53883 -5639
+rect 53970 -5640 54023 -5630
+rect 54216 -5588 54268 -5578
+rect 54075 -5630 54216 -5600
+rect 53970 -5650 54075 -5640
+rect 54407 -5588 54459 -5578
+rect 54268 -5630 54407 -5600
+rect 54216 -5650 54268 -5640
+rect 54350 -5640 54407 -5630
+rect 54599 -5587 54651 -5577
+rect 54459 -5630 54599 -5600
+rect 54350 -5650 54459 -5640
+rect 54792 -5588 54844 -5578
+rect 54651 -5630 54792 -5600
+rect 54599 -5649 54651 -5639
+rect 54740 -5640 54792 -5630
+rect 54984 -5588 55036 -5578
+rect 54844 -5630 54984 -5600
+rect 54740 -5650 54844 -5640
+rect 55175 -5588 55227 -5578
+rect 55036 -5630 55175 -5600
+rect 54984 -5650 55036 -5640
+rect 55120 -5640 55175 -5630
+rect 55366 -5588 55418 -5578
+rect 55227 -5630 55366 -5600
+rect 55120 -5650 55227 -5640
+rect 55558 -5588 55610 -5578
+rect 55418 -5630 55558 -5600
+rect 55366 -5650 55418 -5640
+rect 55500 -5640 55558 -5630
+rect 55751 -5587 55803 -5577
+rect 55610 -5630 55751 -5600
+rect 55500 -5650 55610 -5640
+rect 55942 -5587 55994 -5577
+rect 55803 -5630 55942 -5600
+rect 55751 -5649 55803 -5639
+rect 55890 -5639 55942 -5630
+rect 56136 -5588 56188 -5578
+rect 55994 -5630 56136 -5600
+rect 55890 -5649 55994 -5639
+rect 56328 -5588 56380 -5578
+rect 56188 -5630 56328 -5600
+rect 53200 -6059 53260 -5650
+rect 52429 -6060 52490 -6059
+rect 52620 -6060 52672 -6059
+rect 52814 -6060 52880 -6059
+rect 53198 -6060 53260 -6059
+rect 53390 -6060 53442 -6059
+rect 53580 -6060 53640 -5650
+rect 53970 -6060 54030 -5650
+rect 54350 -6060 54410 -5650
+rect 54542 -6060 54594 -6059
+rect 54740 -6060 54800 -5650
+rect 55120 -6060 55180 -5650
+rect 55310 -6060 55362 -6059
+rect 55500 -6060 55560 -5650
+rect 55890 -6059 55950 -5649
+rect 56136 -5650 56188 -5640
+rect 56270 -5640 56328 -5630
+rect 56520 -5588 56572 -5578
+rect 56380 -5630 56520 -5600
+rect 56270 -5650 56380 -5640
+rect 56712 -5588 56764 -5578
+rect 56572 -5630 56712 -5600
+rect 56520 -5650 56572 -5640
+rect 56660 -5640 56712 -5630
+rect 56904 -5588 56956 -5578
+rect 56764 -5630 56904 -5600
+rect 56660 -5650 56764 -5640
+rect 57096 -5588 57148 -5578
+rect 56956 -5630 57096 -5600
+rect 56904 -5650 56956 -5640
+rect 57040 -5640 57096 -5630
+rect 57288 -5588 57340 -5578
+rect 57148 -5630 57288 -5600
+rect 57040 -5650 57148 -5640
+rect 57479 -5588 57531 -5578
+rect 57340 -5630 57479 -5600
+rect 57288 -5650 57340 -5640
+rect 57420 -5640 57479 -5630
+rect 57671 -5588 57723 -5578
+rect 57531 -5630 57671 -5600
+rect 57420 -5650 57531 -5640
+rect 57864 -5588 57916 -5578
+rect 57723 -5630 57864 -5600
+rect 57671 -5650 57723 -5640
+rect 57810 -5640 57864 -5630
+rect 58056 -5588 58108 -5578
+rect 57916 -5630 58056 -5600
+rect 57810 -5650 57916 -5640
+rect 58248 -5588 58300 -5578
+rect 58108 -5630 58248 -5600
+rect 58056 -5650 58108 -5640
+rect 58240 -5640 58248 -5630
+rect 58439 -5588 58491 -5578
+rect 58300 -5630 58439 -5600
+rect 55694 -6060 55746 -6059
+rect 55886 -6060 55950 -6059
+rect 56270 -6060 56330 -5650
+rect 56462 -6060 56514 -6059
+rect 56660 -6060 56720 -5650
+rect 57040 -6060 57100 -5650
+rect 57420 -6060 57480 -5650
+rect 57810 -6059 57870 -5650
+rect 57613 -6060 57665 -6059
+rect 57804 -6060 57870 -6059
+rect 58240 -6060 58300 -5640
+rect 58631 -5588 58683 -5578
+rect 58491 -5630 58631 -5600
+rect 58439 -5650 58491 -5640
+rect 58620 -5640 58631 -5630
+rect 58822 -5588 58874 -5578
+rect 58683 -5630 58822 -5600
+rect 58620 -5650 58683 -5640
+rect 59013 -5588 59065 -5578
+rect 58874 -5630 59013 -5600
+rect 58822 -5650 58874 -5640
+rect 59010 -5640 59013 -5630
+rect 59207 -5588 59259 -5578
+rect 59065 -5630 59207 -5600
+rect 59065 -5640 59070 -5630
+rect 52050 -6061 58300 -6060
+rect 58431 -6061 58483 -6059
+rect 58620 -6061 58680 -5650
+rect 59010 -6058 59070 -5640
+rect 59399 -5588 59451 -5578
+rect 59259 -5630 59399 -5600
+rect 59207 -5650 59259 -5640
+rect 59592 -5587 59644 -5577
+rect 59451 -5630 59592 -5600
+rect 59451 -5640 59460 -5630
+rect 59399 -5650 59460 -5640
+rect 59783 -5588 59835 -5578
+rect 59644 -5630 59783 -5600
+rect 59592 -5649 59644 -5639
+rect 59780 -5640 59783 -5630
+rect 59975 -5588 60027 -5578
+rect 59835 -5630 59975 -5600
+rect 59835 -5640 59840 -5630
+rect 59400 -6058 59460 -5650
+rect 58814 -6061 58866 -6058
+rect 59008 -6061 59070 -6058
+rect 59198 -6061 59250 -6059
+rect 59392 -6061 59460 -6058
+rect 59584 -6061 59636 -6058
+rect 59780 -6059 59840 -5640
+rect 60168 -5588 60220 -5578
+rect 60027 -5630 60168 -5600
+rect 59975 -5650 60027 -5640
+rect 60160 -5640 60168 -5630
+rect 60360 -5588 60412 -5578
+rect 60220 -5630 60360 -5600
+rect 59775 -6061 59840 -6059
+rect 59970 -6061 60022 -6059
+rect 60160 -6061 60220 -5640
+rect 60553 -5587 60605 -5577
+rect 60412 -5630 60553 -5600
+rect 60360 -5650 60412 -5640
+rect 60540 -5639 60553 -5630
+rect 60744 -5588 60796 -5578
+rect 60605 -5630 60744 -5600
+rect 60540 -5649 60605 -5639
+rect 60936 -5588 60988 -5578
+rect 60796 -5630 60936 -5600
+rect 60351 -6061 60403 -6059
+rect 60540 -6061 60600 -5649
+rect 60744 -5650 60796 -5640
+rect 60930 -5640 60936 -5630
+rect 61127 -5588 61179 -5578
+rect 60988 -5630 61127 -5600
+rect 60988 -5640 60990 -5630
+rect 60736 -6061 60788 -6058
+rect 60930 -6059 60990 -5640
+rect 61319 -5588 61371 -5578
+rect 61179 -5630 61319 -5600
+rect 61127 -5650 61179 -5640
+rect 61511 -5588 61563 -5578
+rect 61371 -5630 61511 -5600
+rect 61371 -5640 61380 -5630
+rect 61319 -5650 61380 -5640
+rect 61704 -5588 61756 -5578
+rect 61563 -5630 61704 -5600
+rect 61511 -5650 61563 -5640
+rect 61700 -5640 61704 -5630
+rect 61895 -5588 61947 -5578
+rect 61756 -5630 61895 -5600
+rect 61756 -5640 61760 -5630
+rect 61320 -6059 61380 -5650
+rect 60928 -6061 60990 -6059
+rect 61120 -6061 61172 -6059
+rect 61313 -6061 61380 -6059
+rect 61504 -6061 61556 -6058
+rect 61700 -6059 61760 -5640
+rect 62087 -5588 62139 -5578
+rect 61947 -5630 62087 -5600
+rect 61895 -5650 61947 -5640
+rect 62080 -5640 62087 -5630
+rect 62279 -5587 62331 -5577
+rect 62139 -5630 62279 -5600
+rect 62139 -5640 62140 -5630
+rect 61696 -6061 61760 -6059
+rect 61888 -6061 61940 -6058
+rect 62080 -6061 62140 -5640
+rect 62471 -5588 62523 -5578
+rect 62331 -5630 62471 -5600
+rect 62279 -5649 62331 -5639
+rect 62470 -5640 62471 -5630
+rect 62661 -5588 62713 -5578
+rect 62523 -5630 62661 -5600
+rect 62523 -5640 62530 -5630
+rect 62470 -6058 62530 -5640
+rect 62855 -5588 62907 -5578
+rect 62713 -5630 62855 -5600
+rect 62661 -5650 62713 -5640
+rect 62850 -5640 62855 -5630
+rect 63047 -5587 63099 -5577
+rect 62907 -5630 63047 -5600
+rect 62907 -5640 62910 -5630
+rect 62271 -6061 62323 -6059
+rect 62464 -6061 62530 -6058
+rect 62656 -6061 62708 -6058
+rect 62850 -6061 62910 -5640
+rect 63238 -5588 63290 -5578
+rect 63099 -5630 63238 -5600
+rect 63047 -5649 63099 -5639
+rect 63230 -5640 63238 -5630
+rect 63431 -5588 63483 -5578
+rect 63290 -5630 63431 -5600
+rect 63041 -6061 63093 -6060
+rect 63230 -6061 63290 -5640
+rect 63622 -5587 63674 -5577
+rect 63483 -5630 63622 -5600
+rect 63431 -5650 63483 -5640
+rect 63620 -5639 63622 -5630
+rect 63814 -5588 63866 -5578
+rect 63674 -5630 63814 -5600
+rect 63674 -5639 63680 -5630
+rect 63620 -6059 63680 -5639
+rect 64005 -5588 64057 -5578
+rect 63866 -5630 64005 -5600
+rect 63814 -5650 63866 -5640
+rect 64000 -5640 64005 -5630
+rect 64199 -5587 64251 -5577
+rect 64057 -5630 64199 -5600
+rect 64057 -5640 64060 -5630
+rect 64000 -6058 64060 -5640
+rect 64390 -5588 64442 -5578
+rect 64251 -5630 64390 -5600
+rect 64199 -5649 64251 -5639
+rect 64582 -5588 64634 -5578
+rect 64442 -5630 64582 -5600
+rect 64390 -5650 64442 -5640
+rect 64774 -5588 64826 -5578
+rect 64634 -5630 64774 -5600
+rect 64582 -5650 64634 -5640
+rect 64966 -5588 65018 -5578
+rect 64826 -5630 64966 -5600
+rect 64774 -5650 64826 -5640
+rect 65159 -5588 65211 -5578
+rect 65018 -5630 65159 -5600
+rect 64966 -5650 65018 -5640
+rect 65350 -5588 65402 -5578
+rect 65211 -5630 65350 -5600
+rect 65159 -5650 65211 -5640
+rect 65543 -5587 65595 -5577
+rect 65402 -5630 65543 -5600
+rect 65350 -5650 65402 -5640
+rect 65734 -5588 65786 -5578
+rect 65595 -5630 65734 -5600
+rect 65543 -5649 65595 -5639
+rect 65927 -5588 65979 -5578
+rect 65786 -5630 65927 -5600
+rect 65734 -5650 65786 -5640
+rect 66118 -5588 66170 -5578
+rect 65979 -5630 66118 -5600
+rect 65927 -5650 65979 -5640
+rect 66309 -5586 66361 -5576
+rect 66170 -5630 66309 -5600
+rect 66118 -5650 66170 -5640
+rect 66504 -5588 66556 -5578
+rect 66361 -5630 66504 -5600
+rect 66309 -5648 66361 -5638
+rect 66504 -5650 66556 -5640
+rect 66918 -5950 66970 -5940
+rect 66918 -6012 66970 -6002
+rect 63423 -6061 63475 -6059
+rect 63616 -6061 63680 -6059
+rect 63807 -6061 63859 -6058
+rect 63998 -6061 64060 -6058
+rect 49430 -6074 49482 -6064
+rect 49430 -6136 49482 -6126
+rect 52047 -6068 64060 -6061
+rect 52047 -6069 58623 -6068
+rect 52047 -6070 52429 -6069
+rect 52047 -6071 52237 -6070
+rect 52099 -6092 52237 -6071
+rect 52047 -6133 52099 -6123
+rect 52289 -6092 52429 -6070
+rect 52237 -6132 52289 -6122
+rect 52481 -6092 52620 -6069
+rect 52429 -6131 52481 -6121
+rect 52672 -6092 52814 -6069
+rect 52620 -6131 52672 -6121
+rect 52866 -6070 53198 -6069
+rect 52866 -6092 53004 -6070
+rect 52814 -6131 52866 -6121
+rect 53056 -6092 53198 -6070
+rect 53004 -6132 53056 -6122
+rect 53250 -6092 53390 -6069
+rect 53198 -6131 53250 -6121
+rect 53442 -6070 54542 -6069
+rect 53442 -6092 53581 -6070
+rect 53390 -6131 53442 -6121
+rect 53633 -6092 53776 -6070
+rect 53581 -6132 53633 -6122
+rect 53828 -6092 53966 -6070
+rect 53776 -6132 53828 -6122
+rect 54018 -6092 54157 -6070
+rect 53966 -6132 54018 -6122
+rect 54209 -6092 54349 -6070
+rect 54157 -6132 54209 -6122
+rect 54401 -6092 54542 -6070
+rect 54349 -6132 54401 -6122
+rect 54594 -6070 55310 -6069
+rect 54594 -6092 54734 -6070
+rect 54542 -6131 54594 -6121
+rect 54786 -6092 54926 -6070
+rect 54734 -6132 54786 -6122
+rect 54978 -6092 55119 -6070
+rect 54926 -6132 54978 -6122
+rect 55171 -6092 55310 -6070
+rect 55119 -6132 55171 -6122
+rect 55362 -6070 55694 -6069
+rect 55362 -6092 55502 -6070
+rect 55310 -6131 55362 -6121
+rect 55554 -6092 55694 -6070
+rect 55502 -6132 55554 -6122
+rect 55746 -6092 55886 -6069
+rect 55694 -6131 55746 -6121
+rect 55938 -6070 56270 -6069
+rect 55938 -6092 56077 -6070
+rect 55886 -6131 55938 -6121
+rect 56129 -6092 56270 -6070
+rect 56077 -6132 56129 -6122
+rect 56322 -6092 56462 -6069
+rect 56270 -6131 56322 -6121
+rect 56514 -6070 57613 -6069
+rect 56514 -6092 56656 -6070
+rect 56462 -6131 56514 -6121
+rect 56708 -6071 57036 -6070
+rect 56708 -6092 56847 -6071
+rect 56656 -6132 56708 -6122
+rect 56899 -6092 57036 -6071
+rect 56847 -6133 56899 -6123
+rect 57088 -6092 57229 -6070
+rect 57036 -6132 57088 -6122
+rect 57281 -6092 57422 -6070
+rect 57229 -6132 57281 -6122
+rect 57474 -6092 57613 -6070
+rect 57422 -6132 57474 -6122
+rect 57665 -6092 57804 -6069
+rect 57613 -6131 57665 -6121
+rect 57856 -6070 58431 -6069
+rect 57856 -6090 58241 -6070
+rect 57804 -6131 57856 -6121
+rect 58293 -6091 58431 -6070
+rect 58241 -6132 58293 -6122
+rect 58483 -6091 58623 -6069
+rect 58431 -6131 58483 -6121
+rect 58675 -6091 58814 -6068
+rect 58623 -6130 58675 -6120
+rect 58866 -6091 59008 -6068
+rect 58814 -6130 58866 -6120
+rect 59060 -6069 59392 -6068
+rect 59060 -6091 59198 -6069
+rect 59008 -6130 59060 -6120
+rect 59250 -6091 59392 -6069
+rect 59198 -6131 59250 -6121
+rect 59444 -6091 59584 -6068
+rect 59392 -6130 59444 -6120
+rect 59636 -6069 60736 -6068
+rect 59636 -6091 59775 -6069
+rect 59584 -6130 59636 -6120
+rect 59827 -6091 59970 -6069
+rect 59775 -6131 59827 -6121
+rect 60022 -6091 60160 -6069
+rect 59970 -6131 60022 -6121
+rect 60212 -6091 60351 -6069
+rect 60160 -6131 60212 -6121
+rect 60403 -6091 60543 -6069
+rect 60351 -6131 60403 -6121
+rect 60595 -6091 60736 -6069
+rect 60543 -6131 60595 -6121
+rect 60788 -6069 61504 -6068
+rect 60788 -6091 60928 -6069
+rect 60736 -6130 60788 -6120
+rect 60980 -6091 61120 -6069
+rect 60928 -6131 60980 -6121
+rect 61172 -6091 61313 -6069
+rect 61120 -6131 61172 -6121
+rect 61365 -6091 61504 -6069
+rect 61313 -6131 61365 -6121
+rect 61556 -6069 61888 -6068
+rect 61556 -6091 61696 -6069
+rect 61504 -6130 61556 -6120
+rect 61748 -6091 61888 -6069
+rect 61696 -6131 61748 -6121
+rect 61940 -6091 62080 -6068
+rect 61888 -6130 61940 -6120
+rect 62132 -6069 62464 -6068
+rect 62132 -6091 62271 -6069
+rect 62080 -6130 62132 -6120
+rect 62323 -6091 62464 -6069
+rect 62271 -6131 62323 -6121
+rect 62516 -6091 62656 -6068
+rect 62464 -6130 62516 -6120
+rect 62708 -6069 63807 -6068
+rect 62708 -6091 62850 -6069
+rect 62656 -6130 62708 -6120
+rect 62902 -6070 63230 -6069
+rect 62902 -6091 63041 -6070
+rect 62850 -6131 62902 -6121
+rect 63093 -6091 63230 -6070
+rect 63041 -6132 63093 -6122
+rect 63282 -6091 63423 -6069
+rect 63230 -6131 63282 -6121
+rect 63475 -6091 63616 -6069
+rect 63423 -6131 63475 -6121
+rect 63668 -6091 63807 -6069
+rect 63616 -6131 63668 -6121
+rect 63859 -6091 63998 -6068
+rect 63807 -6130 63859 -6120
+rect 64050 -6070 64060 -6068
+rect 63998 -6130 64050 -6120
+rect 49440 -6220 49470 -6136
+rect 51949 -6210 52001 -6200
+rect 49440 -6260 51949 -6220
+rect 47224 -6678 47652 -6264
+rect 52141 -6210 52193 -6200
+rect 52001 -6252 52141 -6222
+rect 51949 -6272 52001 -6262
+rect 52334 -6210 52386 -6200
+rect 52193 -6252 52334 -6222
+rect 52141 -6272 52193 -6262
+rect 52526 -6210 52578 -6200
+rect 52386 -6252 52526 -6222
+rect 52334 -6272 52386 -6262
+rect 52719 -6210 52771 -6200
+rect 52578 -6252 52719 -6222
+rect 52526 -6272 52578 -6262
+rect 52911 -6209 52963 -6199
+rect 52771 -6252 52911 -6222
+rect 52719 -6272 52771 -6262
+rect 53102 -6210 53154 -6200
+rect 52963 -6252 53102 -6222
+rect 52911 -6271 52963 -6261
+rect 53294 -6210 53346 -6200
+rect 53154 -6252 53294 -6222
+rect 53102 -6272 53154 -6262
+rect 53486 -6209 53538 -6199
+rect 53346 -6252 53486 -6222
+rect 53294 -6272 53346 -6262
+rect 53678 -6209 53730 -6199
+rect 53538 -6252 53678 -6222
+rect 53486 -6271 53538 -6261
+rect 53870 -6210 53922 -6200
+rect 53730 -6252 53870 -6222
+rect 53678 -6271 53730 -6261
+rect 54062 -6209 54114 -6199
+rect 53922 -6252 54062 -6222
+rect 53870 -6272 53922 -6262
+rect 54254 -6210 54306 -6200
+rect 54114 -6252 54254 -6222
+rect 54062 -6271 54114 -6261
+rect 54445 -6209 54497 -6199
+rect 54306 -6252 54445 -6222
+rect 54254 -6272 54306 -6262
+rect 54639 -6210 54691 -6200
+rect 54497 -6252 54639 -6222
+rect 54445 -6271 54497 -6261
+rect 54829 -6210 54881 -6200
+rect 54691 -6252 54829 -6222
+rect 54639 -6272 54691 -6262
+rect 55021 -6210 55073 -6200
+rect 54881 -6252 55021 -6222
+rect 54829 -6272 54881 -6262
+rect 55214 -6210 55266 -6200
+rect 55073 -6252 55214 -6222
+rect 55021 -6272 55073 -6262
+rect 55405 -6210 55457 -6200
+rect 55266 -6252 55405 -6222
+rect 55214 -6272 55266 -6262
+rect 55598 -6209 55650 -6199
+rect 55457 -6252 55598 -6222
+rect 55405 -6272 55457 -6262
+rect 55791 -6210 55843 -6200
+rect 55650 -6252 55791 -6222
+rect 55598 -6271 55650 -6261
+rect 55982 -6210 56034 -6200
+rect 55843 -6252 55982 -6222
+rect 55791 -6272 55843 -6262
+rect 56175 -6210 56227 -6200
+rect 56034 -6252 56175 -6222
+rect 55982 -6272 56034 -6262
+rect 56365 -6210 56417 -6200
+rect 56227 -6252 56365 -6222
+rect 56175 -6272 56227 -6262
+rect 56559 -6209 56611 -6199
+rect 56417 -6252 56559 -6222
+rect 56365 -6272 56417 -6262
+rect 56748 -6210 56800 -6200
+rect 56611 -6252 56748 -6222
+rect 56559 -6271 56611 -6261
+rect 56941 -6210 56993 -6200
+rect 56800 -6252 56941 -6222
+rect 56748 -6272 56800 -6262
+rect 57134 -6210 57186 -6200
+rect 56993 -6252 57134 -6222
+rect 56941 -6272 56993 -6262
+rect 57324 -6210 57376 -6200
+rect 57186 -6252 57324 -6222
+rect 57134 -6272 57186 -6262
+rect 57517 -6209 57569 -6199
+rect 57376 -6252 57517 -6222
+rect 57324 -6272 57376 -6262
+rect 57706 -6209 57758 -6199
+rect 57569 -6252 57706 -6222
+rect 57517 -6271 57569 -6261
+rect 57706 -6271 57758 -6261
+rect 58143 -6209 58195 -6199
+rect 58335 -6209 58387 -6199
+rect 58195 -6251 58335 -6221
+rect 58143 -6271 58195 -6261
+rect 58528 -6209 58580 -6199
+rect 58387 -6251 58528 -6221
+rect 58335 -6271 58387 -6261
+rect 58720 -6209 58772 -6199
+rect 58580 -6251 58720 -6221
+rect 58528 -6271 58580 -6261
+rect 58913 -6209 58965 -6199
+rect 58772 -6251 58913 -6221
+rect 58720 -6271 58772 -6261
+rect 59105 -6208 59157 -6198
+rect 58965 -6251 59105 -6221
+rect 58913 -6271 58965 -6261
+rect 59296 -6209 59348 -6199
+rect 59157 -6251 59296 -6221
+rect 59105 -6270 59157 -6260
+rect 59488 -6209 59540 -6199
+rect 59348 -6251 59488 -6221
+rect 59296 -6271 59348 -6261
+rect 59680 -6208 59732 -6198
+rect 59540 -6251 59680 -6221
+rect 59488 -6271 59540 -6261
+rect 59872 -6208 59924 -6198
+rect 59732 -6251 59872 -6221
+rect 59680 -6270 59732 -6260
+rect 60064 -6209 60116 -6199
+rect 59924 -6251 60064 -6221
+rect 59872 -6270 59924 -6260
+rect 60256 -6208 60308 -6198
+rect 60116 -6251 60256 -6221
+rect 60064 -6271 60116 -6261
+rect 60448 -6209 60500 -6199
+rect 60308 -6251 60448 -6221
+rect 60256 -6270 60308 -6260
+rect 60639 -6208 60691 -6198
+rect 60500 -6251 60639 -6221
+rect 60448 -6271 60500 -6261
+rect 60833 -6209 60885 -6199
+rect 60691 -6251 60833 -6221
+rect 60639 -6270 60691 -6260
+rect 61023 -6209 61075 -6199
+rect 60885 -6251 61023 -6221
+rect 60833 -6271 60885 -6261
+rect 61215 -6209 61267 -6199
+rect 61075 -6251 61215 -6221
+rect 61023 -6271 61075 -6261
+rect 61408 -6209 61460 -6199
+rect 61267 -6251 61408 -6221
+rect 61215 -6271 61267 -6261
+rect 61599 -6209 61651 -6199
+rect 61460 -6251 61599 -6221
+rect 61408 -6271 61460 -6261
+rect 61792 -6208 61844 -6198
+rect 61651 -6251 61792 -6221
+rect 61599 -6271 61651 -6261
+rect 61985 -6209 62037 -6199
+rect 61844 -6251 61985 -6221
+rect 61792 -6270 61844 -6260
+rect 62176 -6209 62228 -6199
+rect 62037 -6251 62176 -6221
+rect 61985 -6271 62037 -6261
+rect 62369 -6209 62421 -6199
+rect 62228 -6251 62369 -6221
+rect 62176 -6271 62228 -6261
+rect 62559 -6209 62611 -6199
+rect 62421 -6251 62559 -6221
+rect 62369 -6271 62421 -6261
+rect 62753 -6208 62805 -6198
+rect 62611 -6251 62753 -6221
+rect 62559 -6271 62611 -6261
+rect 62942 -6209 62994 -6199
+rect 62805 -6251 62942 -6221
+rect 62753 -6270 62805 -6260
+rect 63135 -6209 63187 -6199
+rect 62994 -6251 63135 -6221
+rect 62942 -6271 62994 -6261
+rect 63328 -6209 63380 -6199
+rect 63187 -6251 63328 -6221
+rect 63135 -6271 63187 -6261
+rect 63518 -6209 63570 -6199
+rect 63380 -6251 63518 -6221
+rect 63328 -6271 63380 -6261
+rect 63711 -6208 63763 -6198
+rect 63570 -6251 63711 -6221
+rect 63518 -6271 63570 -6261
+rect 63900 -6208 63952 -6198
+rect 63763 -6251 63900 -6221
+rect 63711 -6270 63763 -6260
+rect 66930 -6220 66960 -6012
+rect 63952 -6250 66960 -6220
+rect 63900 -6270 63952 -6260
+rect 68894 -6272 68930 -5188
+rect 69296 -6272 69326 -5188
+rect 63990 -6300 64050 -6290
+rect 57800 -6310 57860 -6300
+rect 47224 -7752 47254 -6678
+rect 47614 -7752 47652 -6678
+rect 52040 -6320 52100 -6310
+rect 52040 -6680 52100 -6380
+rect 52238 -6680 52290 -6678
+rect 52430 -6680 52482 -6677
+rect 52621 -6680 52673 -6677
+rect 52815 -6680 52867 -6677
+rect 53005 -6680 53057 -6678
+rect 53199 -6680 53251 -6677
+rect 53391 -6680 53443 -6677
+rect 53582 -6680 53634 -6678
+rect 53777 -6680 53829 -6678
+rect 53967 -6680 54019 -6678
+rect 54158 -6680 54210 -6678
+rect 54350 -6680 54402 -6678
+rect 54543 -6680 54595 -6677
+rect 54735 -6680 54787 -6678
+rect 54927 -6680 54979 -6678
+rect 55120 -6680 55172 -6678
+rect 55311 -6680 55363 -6677
+rect 55503 -6680 55555 -6678
+rect 55695 -6680 55747 -6677
+rect 55887 -6680 55939 -6677
+rect 56078 -6680 56130 -6678
+rect 56271 -6680 56323 -6677
+rect 56463 -6680 56515 -6677
+rect 56657 -6680 56709 -6678
+rect 56848 -6680 56900 -6679
+rect 57037 -6680 57089 -6678
+rect 57230 -6680 57282 -6678
+rect 57423 -6680 57475 -6678
+rect 57614 -6680 57666 -6677
+rect 57800 -6680 57860 -6370
+rect 49440 -6687 57860 -6680
+rect 49440 -6688 52430 -6687
+rect 49440 -6689 52238 -6688
+rect 49440 -6720 52048 -6689
+rect 49440 -6862 49470 -6720
+rect 52100 -6710 52238 -6689
+rect 52048 -6751 52100 -6741
+rect 52290 -6710 52430 -6688
+rect 52238 -6750 52290 -6740
+rect 52482 -6710 52621 -6687
+rect 52430 -6749 52482 -6739
+rect 52673 -6710 52815 -6687
+rect 52621 -6749 52673 -6739
+rect 52867 -6688 53199 -6687
+rect 52867 -6710 53005 -6688
+rect 52815 -6749 52867 -6739
+rect 53057 -6710 53199 -6688
+rect 53005 -6750 53057 -6740
+rect 53251 -6710 53391 -6687
+rect 53199 -6749 53251 -6739
+rect 53443 -6688 54543 -6687
+rect 53443 -6710 53582 -6688
+rect 53391 -6749 53443 -6739
+rect 53634 -6710 53777 -6688
+rect 53582 -6750 53634 -6740
+rect 53829 -6710 53967 -6688
+rect 53777 -6750 53829 -6740
+rect 54019 -6710 54158 -6688
+rect 53967 -6750 54019 -6740
+rect 54210 -6710 54350 -6688
+rect 54158 -6750 54210 -6740
+rect 54402 -6710 54543 -6688
+rect 54350 -6750 54402 -6740
+rect 54595 -6688 55311 -6687
+rect 54595 -6710 54735 -6688
+rect 54543 -6749 54595 -6739
+rect 54787 -6710 54927 -6688
+rect 54735 -6750 54787 -6740
+rect 54979 -6710 55120 -6688
+rect 54927 -6750 54979 -6740
+rect 55172 -6710 55311 -6688
+rect 55120 -6750 55172 -6740
+rect 55363 -6688 55695 -6687
+rect 55363 -6710 55503 -6688
+rect 55311 -6749 55363 -6739
+rect 55555 -6710 55695 -6688
+rect 55503 -6750 55555 -6740
+rect 55747 -6710 55887 -6687
+rect 55695 -6749 55747 -6739
+rect 55939 -6688 56271 -6687
+rect 55939 -6710 56078 -6688
+rect 55887 -6749 55939 -6739
+rect 56130 -6710 56271 -6688
+rect 56078 -6750 56130 -6740
+rect 56323 -6710 56463 -6687
+rect 56271 -6749 56323 -6739
+rect 56515 -6688 57614 -6687
+rect 56515 -6710 56657 -6688
+rect 56463 -6749 56515 -6739
+rect 56709 -6689 57037 -6688
+rect 56709 -6710 56848 -6689
+rect 56657 -6750 56709 -6740
+rect 56900 -6710 57037 -6689
+rect 56848 -6751 56900 -6741
+rect 57089 -6710 57230 -6688
+rect 57037 -6750 57089 -6740
+rect 57282 -6710 57423 -6688
+rect 57230 -6750 57282 -6740
+rect 57475 -6710 57614 -6688
+rect 57423 -6750 57475 -6740
+rect 57666 -6710 57805 -6687
+rect 57614 -6749 57666 -6739
+rect 57857 -6690 57860 -6687
+rect 58230 -6310 58290 -6300
+rect 58230 -6679 58290 -6370
+rect 58426 -6679 58478 -6677
+rect 58618 -6679 58670 -6676
+rect 58809 -6679 58861 -6676
+rect 59003 -6679 59055 -6676
+rect 59193 -6679 59245 -6677
+rect 59387 -6679 59439 -6676
+rect 59579 -6679 59631 -6676
+rect 59770 -6679 59822 -6677
+rect 59965 -6679 60017 -6677
+rect 60155 -6679 60207 -6677
+rect 60346 -6679 60398 -6677
+rect 60538 -6679 60590 -6677
+rect 60731 -6679 60783 -6676
+rect 60923 -6679 60975 -6677
+rect 61115 -6679 61167 -6677
+rect 61308 -6679 61360 -6677
+rect 61499 -6679 61551 -6676
+rect 61691 -6679 61743 -6677
+rect 61883 -6679 61935 -6676
+rect 62075 -6679 62127 -6676
+rect 62266 -6679 62318 -6677
+rect 62459 -6679 62511 -6676
+rect 62651 -6679 62703 -6676
+rect 62845 -6679 62897 -6677
+rect 63036 -6679 63088 -6678
+rect 63225 -6679 63277 -6677
+rect 63418 -6679 63470 -6677
+rect 63611 -6679 63663 -6677
+rect 63802 -6679 63854 -6676
+rect 63990 -6679 64050 -6360
+rect 58230 -6680 64050 -6679
+rect 68894 -6672 69326 -6272
+rect 58230 -6686 66950 -6680
+rect 58230 -6687 58618 -6686
+rect 58230 -6688 58426 -6687
+rect 58230 -6690 58236 -6688
+rect 57805 -6749 57857 -6739
+rect 58288 -6709 58426 -6688
+rect 58236 -6750 58288 -6740
+rect 58478 -6709 58618 -6687
+rect 58426 -6749 58478 -6739
+rect 58670 -6709 58809 -6686
+rect 58618 -6748 58670 -6738
+rect 58861 -6709 59003 -6686
+rect 58809 -6748 58861 -6738
+rect 59055 -6687 59387 -6686
+rect 59055 -6709 59193 -6687
+rect 59003 -6748 59055 -6738
+rect 59245 -6709 59387 -6687
+rect 59193 -6749 59245 -6739
+rect 59439 -6709 59579 -6686
+rect 59387 -6748 59439 -6738
+rect 59631 -6687 60731 -6686
+rect 59631 -6709 59770 -6687
+rect 59579 -6748 59631 -6738
+rect 59822 -6709 59965 -6687
+rect 59770 -6749 59822 -6739
+rect 60017 -6709 60155 -6687
+rect 59965 -6749 60017 -6739
+rect 60207 -6709 60346 -6687
+rect 60155 -6749 60207 -6739
+rect 60398 -6709 60538 -6687
+rect 60346 -6749 60398 -6739
+rect 60590 -6709 60731 -6687
+rect 60538 -6749 60590 -6739
+rect 60783 -6687 61499 -6686
+rect 60783 -6709 60923 -6687
+rect 60731 -6748 60783 -6738
+rect 60975 -6709 61115 -6687
+rect 60923 -6749 60975 -6739
+rect 61167 -6709 61308 -6687
+rect 61115 -6749 61167 -6739
+rect 61360 -6709 61499 -6687
+rect 61308 -6749 61360 -6739
+rect 61551 -6687 61883 -6686
+rect 61551 -6709 61691 -6687
+rect 61499 -6748 61551 -6738
+rect 61743 -6709 61883 -6687
+rect 61691 -6749 61743 -6739
+rect 61935 -6709 62075 -6686
+rect 61883 -6748 61935 -6738
+rect 62127 -6687 62459 -6686
+rect 62127 -6709 62266 -6687
+rect 62075 -6748 62127 -6738
+rect 62318 -6709 62459 -6687
+rect 62266 -6749 62318 -6739
+rect 62511 -6709 62651 -6686
+rect 62459 -6748 62511 -6738
+rect 62703 -6687 63802 -6686
+rect 62703 -6709 62845 -6687
+rect 62651 -6748 62703 -6738
+rect 62897 -6688 63225 -6687
+rect 62897 -6709 63036 -6688
+rect 62845 -6749 62897 -6739
+rect 63088 -6709 63225 -6688
+rect 63036 -6750 63088 -6740
+rect 63277 -6709 63418 -6687
+rect 63225 -6749 63277 -6739
+rect 63470 -6709 63611 -6687
+rect 63418 -6749 63470 -6739
+rect 63663 -6709 63802 -6687
+rect 63611 -6749 63663 -6739
+rect 63854 -6709 63993 -6686
+rect 63802 -6748 63854 -6738
+rect 64045 -6710 66950 -6686
+rect 63993 -6748 64045 -6738
+rect 51950 -6826 63950 -6810
+rect 51950 -6827 59100 -6826
+rect 51950 -6828 52912 -6827
+rect 49436 -6872 49488 -6862
+rect 52002 -6880 52142 -6828
+rect 52194 -6880 52335 -6828
+rect 52387 -6880 52527 -6828
+rect 52579 -6880 52720 -6828
+rect 52772 -6879 52912 -6828
+rect 52964 -6828 53487 -6827
+rect 52964 -6879 53103 -6828
+rect 52772 -6880 53103 -6879
+rect 53155 -6880 53295 -6828
+rect 53347 -6879 53487 -6828
+rect 53539 -6879 53679 -6827
+rect 53731 -6828 54063 -6827
+rect 53731 -6879 53871 -6828
+rect 53347 -6880 53871 -6879
+rect 53923 -6879 54063 -6828
+rect 54115 -6828 54446 -6827
+rect 54115 -6879 54255 -6828
+rect 53923 -6880 54255 -6879
+rect 54307 -6879 54446 -6828
+rect 54498 -6828 55599 -6827
+rect 54498 -6879 54640 -6828
+rect 54307 -6880 54640 -6879
+rect 54692 -6880 54830 -6828
+rect 54882 -6880 55022 -6828
+rect 55074 -6880 55215 -6828
+rect 55267 -6880 55406 -6828
+rect 55458 -6879 55599 -6828
+rect 55651 -6828 56560 -6827
+rect 55651 -6879 55792 -6828
+rect 55458 -6880 55792 -6879
+rect 55844 -6880 55983 -6828
+rect 56035 -6880 56176 -6828
+rect 56228 -6880 56366 -6828
+rect 56418 -6879 56560 -6828
+rect 56612 -6828 57518 -6827
+rect 56612 -6879 56749 -6828
+rect 56418 -6880 56749 -6879
+rect 56801 -6880 56942 -6828
+rect 56994 -6880 57135 -6828
+rect 57187 -6880 57325 -6828
+rect 57377 -6879 57518 -6828
+rect 57570 -6879 57707 -6827
+rect 57759 -6879 58138 -6827
+rect 58190 -6879 58330 -6827
+rect 58382 -6879 58523 -6827
+rect 58575 -6879 58715 -6827
+rect 58767 -6879 58908 -6827
+rect 58960 -6878 59100 -6827
+rect 59152 -6827 59675 -6826
+rect 59152 -6878 59291 -6827
+rect 58960 -6879 59291 -6878
+rect 59343 -6879 59483 -6827
+rect 59535 -6878 59675 -6827
+rect 59727 -6878 59867 -6826
+rect 59919 -6827 60251 -6826
+rect 59919 -6878 60059 -6827
+rect 59535 -6879 60059 -6878
+rect 60111 -6878 60251 -6827
+rect 60303 -6827 60634 -6826
+rect 60303 -6878 60443 -6827
+rect 60111 -6879 60443 -6878
+rect 60495 -6878 60634 -6827
+rect 60686 -6827 61787 -6826
+rect 60686 -6878 60828 -6827
+rect 60495 -6879 60828 -6878
+rect 60880 -6879 61018 -6827
+rect 61070 -6879 61210 -6827
+rect 61262 -6879 61403 -6827
+rect 61455 -6879 61594 -6827
+rect 61646 -6878 61787 -6827
+rect 61839 -6827 62748 -6826
+rect 61839 -6878 61980 -6827
+rect 61646 -6879 61980 -6878
+rect 62032 -6879 62171 -6827
+rect 62223 -6879 62364 -6827
+rect 62416 -6879 62554 -6827
+rect 62606 -6878 62748 -6827
+rect 62800 -6827 63706 -6826
+rect 62800 -6878 62937 -6827
+rect 62606 -6879 62937 -6878
+rect 62989 -6879 63130 -6827
+rect 63182 -6879 63323 -6827
+rect 63375 -6879 63513 -6827
+rect 63565 -6878 63706 -6827
+rect 63758 -6878 63895 -6826
+rect 63947 -6878 63950 -6826
+rect 66920 -6840 66950 -6710
+rect 63565 -6879 63950 -6878
+rect 57377 -6880 63950 -6879
+rect 51950 -6890 63950 -6880
+rect 66912 -6850 66964 -6840
+rect 49436 -6934 49488 -6924
+rect 50087 -7300 50139 -7292
+rect 50277 -7300 50329 -7291
+rect 50470 -7300 50522 -7294
+rect 50662 -7299 50714 -7289
+rect 50087 -7301 50662 -7300
+rect 50087 -7302 50277 -7301
+rect 50139 -7330 50277 -7302
+rect 50087 -7364 50139 -7354
+rect 50329 -7304 50662 -7301
+rect 50329 -7330 50470 -7304
+rect 50277 -7363 50329 -7353
+rect 50522 -7330 50662 -7304
+rect 50470 -7366 50522 -7356
+rect 50854 -7300 50906 -7293
+rect 51046 -7298 51098 -7288
+rect 50714 -7303 51046 -7300
+rect 50714 -7330 50854 -7303
+rect 50662 -7361 50714 -7351
+rect 50906 -7330 51046 -7303
+rect 50854 -7365 50906 -7355
+rect 51238 -7299 51290 -7289
+rect 51098 -7330 51238 -7300
+rect 51046 -7360 51098 -7350
+rect 51428 -7298 51480 -7288
+rect 51290 -7330 51428 -7300
+rect 51238 -7361 51290 -7351
+rect 51622 -7300 51674 -7299
+rect 51811 -7300 51863 -7297
+rect 52000 -7300 52060 -6890
+rect 52200 -7300 52252 -7298
+rect 52390 -7300 52450 -6890
+rect 52582 -7300 52634 -7298
+rect 52774 -7300 52826 -7291
+rect 52960 -7300 53020 -6890
+rect 53159 -7300 53211 -7292
+rect 53350 -7300 53410 -6890
+rect 53542 -7300 53594 -7290
+rect 53730 -7300 53790 -6890
+rect 54120 -7287 54180 -6890
+rect 53927 -7300 53979 -7291
+rect 54119 -7297 54180 -7287
+rect 54510 -7291 54570 -6890
+rect 54890 -7290 54950 -6890
+rect 55260 -7288 55320 -6890
+rect 51480 -7301 53351 -7300
+rect 51480 -7307 52774 -7301
+rect 51480 -7309 51811 -7307
+rect 51480 -7330 51622 -7309
+rect 51428 -7360 51480 -7350
+rect 51674 -7330 51811 -7309
+rect 51622 -7371 51674 -7361
+rect 51863 -7330 52001 -7307
+rect 51811 -7369 51863 -7359
+rect 52053 -7308 52392 -7307
+rect 52053 -7330 52200 -7308
+rect 52001 -7369 52053 -7359
+rect 52252 -7330 52392 -7308
+rect 52200 -7370 52252 -7360
+rect 52444 -7308 52774 -7307
+rect 52444 -7330 52582 -7308
+rect 52392 -7369 52444 -7359
+rect 52634 -7330 52774 -7308
+rect 52582 -7370 52634 -7360
+rect 52826 -7302 53351 -7301
+rect 52826 -7303 53159 -7302
+rect 52826 -7330 52966 -7303
+rect 52774 -7363 52826 -7353
+rect 53018 -7330 53159 -7303
+rect 52966 -7365 53018 -7355
+rect 53211 -7330 53351 -7302
+rect 53159 -7364 53211 -7354
+rect 53403 -7330 53542 -7300
+rect 53351 -7362 53403 -7352
+rect 53594 -7301 54119 -7300
+rect 53594 -7305 53927 -7301
+rect 53594 -7330 53736 -7305
+rect 53542 -7362 53594 -7352
+rect 53788 -7330 53927 -7305
+rect 53736 -7367 53788 -7357
+rect 53979 -7330 54119 -7301
+rect 53927 -7363 53979 -7353
+rect 54171 -7300 54180 -7297
+rect 54312 -7300 54364 -7291
+rect 54504 -7300 54570 -7291
+rect 54697 -7300 54749 -7292
+rect 54889 -7300 54950 -7290
+rect 55080 -7299 55132 -7289
+rect 54171 -7301 54889 -7300
+rect 54171 -7330 54312 -7301
+rect 54119 -7359 54171 -7349
+rect 54364 -7330 54504 -7301
+rect 54312 -7363 54364 -7353
+rect 54556 -7302 54889 -7301
+rect 54556 -7330 54697 -7302
+rect 54504 -7363 54556 -7353
+rect 54749 -7330 54889 -7302
+rect 54697 -7364 54749 -7354
+rect 54941 -7330 55080 -7300
+rect 54889 -7362 54941 -7352
+rect 55260 -7298 55323 -7288
+rect 55260 -7300 55271 -7298
+rect 55132 -7330 55271 -7300
+rect 55080 -7361 55132 -7351
+rect 55464 -7298 55516 -7288
+rect 55323 -7330 55464 -7300
+rect 55271 -7360 55323 -7350
+rect 55650 -7300 55710 -6890
+rect 55846 -7300 55898 -7298
+rect 56030 -7300 56090 -6890
+rect 56410 -7300 56470 -6890
+rect 56810 -7300 56870 -6890
+rect 57180 -7296 57240 -6890
+rect 56997 -7300 57049 -7298
+rect 57180 -7300 57243 -7296
+rect 57384 -7300 57436 -7297
+rect 57570 -7300 57630 -6890
+rect 57960 -7298 58020 -6890
+rect 57766 -7300 57818 -7298
+rect 57959 -7300 58020 -7298
+rect 58151 -7300 58203 -7298
+rect 58340 -7300 58400 -6890
+rect 58730 -7297 58790 -6890
+rect 58535 -7300 58587 -7298
+rect 58726 -7300 58790 -7297
+rect 58921 -7300 58973 -7298
+rect 59110 -7300 59170 -6890
+rect 59303 -7300 59355 -7298
+rect 59490 -7300 59550 -6890
+rect 59880 -7298 59940 -6890
+rect 59686 -7300 59738 -7298
+rect 59878 -7300 59940 -7298
+rect 60071 -7300 60123 -7298
+rect 60260 -7300 60320 -6890
+rect 60454 -7300 60506 -7298
+rect 60640 -7300 60700 -6890
+rect 60838 -7300 60890 -7298
+rect 61030 -7300 61090 -6890
+rect 61420 -7297 61480 -6890
+rect 61222 -7300 61274 -7299
+rect 61415 -7300 61480 -7297
+rect 61605 -7300 61657 -7297
+rect 61800 -7299 61860 -6890
+rect 61798 -7300 61860 -7299
+rect 61990 -7300 62042 -7298
+rect 62180 -7300 62240 -6890
+rect 62374 -7300 62426 -7297
+rect 62570 -7298 62630 -6890
+rect 62950 -7298 63010 -6890
+rect 62566 -7300 62630 -7298
+rect 62757 -7300 62809 -7298
+rect 62949 -7300 63010 -7298
+rect 63143 -7300 63195 -7297
+rect 63340 -7298 63400 -6890
+rect 63335 -7300 63400 -7298
+rect 63525 -7300 63577 -7299
+rect 63720 -7300 63780 -6890
+rect 66912 -6912 66964 -6902
+rect 63909 -7300 63961 -7298
+rect 64102 -7300 64154 -7297
+rect 64295 -7300 64347 -7298
+rect 64486 -7300 64538 -7298
+rect 64677 -7300 64729 -7298
+rect 64870 -7300 64922 -7298
+rect 65063 -7300 65115 -7298
+rect 65254 -7300 65306 -7298
+rect 65445 -7300 65497 -7297
+rect 65636 -7300 65688 -7297
+rect 65829 -7300 65881 -7298
+rect 66023 -7300 66075 -7298
+rect 66211 -7300 66263 -7299
+rect 55516 -7306 66470 -7300
+rect 55516 -7307 57191 -7306
+rect 55516 -7330 55655 -7307
+rect 55464 -7360 55516 -7350
+rect 55707 -7308 57191 -7307
+rect 55707 -7330 55846 -7308
+rect 55655 -7369 55707 -7359
+rect 55898 -7309 56997 -7308
+rect 55898 -7330 56036 -7309
+rect 55846 -7370 55898 -7360
+rect 56088 -7311 56997 -7309
+rect 56088 -7313 56808 -7311
+rect 56088 -7330 56230 -7313
+rect 56036 -7371 56088 -7361
+rect 56282 -7314 56808 -7313
+rect 56282 -7315 56616 -7314
+rect 56282 -7330 56422 -7315
+rect 56230 -7375 56282 -7365
+rect 56474 -7330 56616 -7315
+rect 56422 -7377 56474 -7367
+rect 56668 -7330 56808 -7314
+rect 56616 -7376 56668 -7366
+rect 56860 -7330 56997 -7311
+rect 56808 -7373 56860 -7363
+rect 57049 -7330 57191 -7308
+rect 56997 -7370 57049 -7360
+rect 57243 -7307 66470 -7306
+rect 57243 -7330 57384 -7307
+rect 57191 -7368 57243 -7358
+rect 57436 -7308 58726 -7307
+rect 57436 -7330 57577 -7308
+rect 57384 -7369 57436 -7359
+rect 57629 -7330 57766 -7308
+rect 57577 -7370 57629 -7360
+rect 57818 -7330 57959 -7308
+rect 57766 -7370 57818 -7360
+rect 58011 -7330 58151 -7308
+rect 57959 -7370 58011 -7360
+rect 58203 -7330 58342 -7308
+rect 58151 -7370 58203 -7360
+rect 58394 -7330 58535 -7308
+rect 58342 -7370 58394 -7360
+rect 58587 -7330 58726 -7308
+rect 58535 -7370 58587 -7360
+rect 58778 -7308 61415 -7307
+rect 58778 -7330 58921 -7308
+rect 58726 -7369 58778 -7359
+rect 58973 -7330 59110 -7308
+rect 58921 -7370 58973 -7360
+rect 59162 -7330 59303 -7308
+rect 59110 -7370 59162 -7360
+rect 59355 -7330 59495 -7308
+rect 59303 -7370 59355 -7360
+rect 59547 -7330 59686 -7308
+rect 59495 -7370 59547 -7360
+rect 59738 -7330 59878 -7308
+rect 59686 -7370 59738 -7360
+rect 59930 -7330 60071 -7308
+rect 59878 -7370 59930 -7360
+rect 60123 -7309 60454 -7308
+rect 60123 -7330 60260 -7309
+rect 60071 -7370 60123 -7360
+rect 60312 -7330 60454 -7309
+rect 60260 -7371 60312 -7361
+rect 60506 -7330 60645 -7308
+rect 60454 -7370 60506 -7360
+rect 60697 -7330 60838 -7308
+rect 60645 -7370 60697 -7360
+rect 60890 -7330 61030 -7308
+rect 60838 -7370 60890 -7360
+rect 61082 -7309 61415 -7308
+rect 61082 -7330 61222 -7309
+rect 61030 -7370 61082 -7360
+rect 61274 -7330 61415 -7309
+rect 61222 -7371 61274 -7361
+rect 61467 -7330 61605 -7307
+rect 61415 -7369 61467 -7359
+rect 61657 -7308 62183 -7307
+rect 61657 -7309 61990 -7308
+rect 61657 -7330 61798 -7309
+rect 61605 -7369 61657 -7359
+rect 61850 -7330 61990 -7309
+rect 61798 -7371 61850 -7361
+rect 62042 -7330 62183 -7308
+rect 61990 -7370 62042 -7360
+rect 62235 -7330 62374 -7307
+rect 62183 -7369 62235 -7359
+rect 62426 -7308 63143 -7307
+rect 62426 -7330 62566 -7308
+rect 62374 -7369 62426 -7359
+rect 62618 -7330 62757 -7308
+rect 62566 -7370 62618 -7360
+rect 62809 -7330 62949 -7308
+rect 62757 -7370 62809 -7360
+rect 63001 -7330 63143 -7308
+rect 62949 -7370 63001 -7360
+rect 63195 -7308 64102 -7307
+rect 63195 -7330 63335 -7308
+rect 63143 -7369 63195 -7359
+rect 63387 -7309 63909 -7308
+rect 63387 -7330 63525 -7309
+rect 63335 -7370 63387 -7360
+rect 63577 -7310 63909 -7309
+rect 63577 -7330 63716 -7310
+rect 63525 -7371 63577 -7361
+rect 63768 -7330 63909 -7310
+rect 63716 -7372 63768 -7362
+rect 63961 -7330 64102 -7308
+rect 63909 -7370 63961 -7360
+rect 64154 -7308 65445 -7307
+rect 64154 -7330 64295 -7308
+rect 64102 -7369 64154 -7359
+rect 64347 -7330 64486 -7308
+rect 64295 -7370 64347 -7360
+rect 64538 -7330 64677 -7308
+rect 64486 -7370 64538 -7360
+rect 64729 -7330 64870 -7308
+rect 64677 -7370 64729 -7360
+rect 64922 -7330 65063 -7308
+rect 64870 -7370 64922 -7360
+rect 65115 -7330 65254 -7308
+rect 65063 -7370 65115 -7360
+rect 65306 -7330 65445 -7308
+rect 65254 -7370 65306 -7360
+rect 65497 -7330 65636 -7307
+rect 65445 -7369 65497 -7359
+rect 65688 -7308 66470 -7307
+rect 65688 -7330 65829 -7308
+rect 65636 -7369 65688 -7359
+rect 65881 -7330 66023 -7308
+rect 65829 -7370 65881 -7360
+rect 66075 -7309 66470 -7308
+rect 66075 -7330 66211 -7309
+rect 66023 -7370 66075 -7360
+rect 66263 -7317 66470 -7309
+rect 66263 -7330 66405 -7317
+rect 66211 -7371 66263 -7361
+rect 66457 -7330 66470 -7317
+rect 66405 -7379 66457 -7369
+rect 49991 -7445 50043 -7435
+rect 50182 -7447 50234 -7437
+rect 50043 -7490 50182 -7460
+rect 50043 -7497 50070 -7490
+rect 49991 -7507 50070 -7497
+rect 50000 -7654 50070 -7507
+rect 50374 -7446 50426 -7436
+rect 50234 -7490 50374 -7460
+rect 50182 -7509 50234 -7499
+rect 50566 -7449 50618 -7439
+rect 50426 -7490 50566 -7460
+rect 50374 -7508 50426 -7498
+rect 50760 -7448 50812 -7438
+rect 50618 -7490 50760 -7460
+rect 50566 -7511 50618 -7501
+rect 50950 -7448 51002 -7438
+rect 50812 -7490 50950 -7460
+rect 50760 -7510 50812 -7500
+rect 51145 -7446 51197 -7436
+rect 51002 -7490 51145 -7460
+rect 50950 -7510 51002 -7500
+rect 51336 -7445 51388 -7435
+rect 51197 -7490 51336 -7460
+rect 51145 -7508 51197 -7498
+rect 51531 -7447 51583 -7437
+rect 51388 -7490 51531 -7460
+rect 51336 -7507 51388 -7497
+rect 51721 -7447 51773 -7437
+rect 51583 -7490 51721 -7460
+rect 51531 -7509 51583 -7499
+rect 51911 -7446 51963 -7436
+rect 51773 -7490 51911 -7460
+rect 51721 -7509 51773 -7499
+rect 52104 -7445 52156 -7435
+rect 51963 -7490 52104 -7460
+rect 51911 -7508 51963 -7498
+rect 52295 -7445 52347 -7435
+rect 52156 -7490 52295 -7460
+rect 52104 -7507 52156 -7497
+rect 52491 -7447 52543 -7437
+rect 52347 -7490 52491 -7460
+rect 52295 -7507 52347 -7497
+rect 52680 -7447 52732 -7437
+rect 52543 -7490 52680 -7460
+rect 52491 -7509 52543 -7499
+rect 52874 -7447 52926 -7437
+rect 52732 -7490 52874 -7460
+rect 52680 -7509 52732 -7499
+rect 53063 -7447 53115 -7437
+rect 52926 -7490 53063 -7460
+rect 52874 -7509 52926 -7499
+rect 53255 -7448 53307 -7438
+rect 53115 -7490 53255 -7460
+rect 53063 -7509 53115 -7499
+rect 53448 -7448 53500 -7438
+rect 53307 -7490 53448 -7460
+rect 53255 -7510 53307 -7500
+rect 53639 -7448 53691 -7438
+rect 53500 -7490 53639 -7460
+rect 53448 -7510 53500 -7500
+rect 53831 -7447 53883 -7437
+rect 53691 -7490 53831 -7460
+rect 53639 -7510 53691 -7500
+rect 54023 -7448 54075 -7438
+rect 53883 -7490 54023 -7460
+rect 53831 -7509 53883 -7499
+rect 54216 -7448 54268 -7438
+rect 54075 -7490 54216 -7460
+rect 54023 -7510 54075 -7500
+rect 54407 -7448 54459 -7438
+rect 54268 -7490 54407 -7460
+rect 54216 -7510 54268 -7500
+rect 54599 -7447 54651 -7437
+rect 54459 -7490 54599 -7460
+rect 54407 -7510 54459 -7500
+rect 54792 -7448 54844 -7438
+rect 54651 -7490 54792 -7460
+rect 54599 -7509 54651 -7499
+rect 54984 -7448 55036 -7438
+rect 54844 -7490 54984 -7460
+rect 54792 -7510 54844 -7500
+rect 55175 -7448 55227 -7438
+rect 55036 -7490 55175 -7460
+rect 54984 -7510 55036 -7500
+rect 55366 -7448 55418 -7438
+rect 55227 -7490 55366 -7460
+rect 55175 -7510 55227 -7500
+rect 55558 -7448 55610 -7438
+rect 55418 -7490 55558 -7460
+rect 55366 -7510 55418 -7500
+rect 55751 -7447 55803 -7437
+rect 55610 -7490 55751 -7460
+rect 55558 -7510 55610 -7500
+rect 55942 -7447 55994 -7437
+rect 55803 -7490 55942 -7460
+rect 55751 -7509 55803 -7499
+rect 56136 -7448 56188 -7438
+rect 55994 -7490 56136 -7460
+rect 55942 -7509 55994 -7499
+rect 56328 -7448 56380 -7438
+rect 56188 -7490 56328 -7460
+rect 56136 -7510 56188 -7500
+rect 56520 -7448 56572 -7438
+rect 56380 -7490 56520 -7460
+rect 56328 -7510 56380 -7500
+rect 56712 -7448 56764 -7438
+rect 56572 -7490 56712 -7460
+rect 56520 -7510 56572 -7500
+rect 56904 -7448 56956 -7438
+rect 56764 -7490 56904 -7460
+rect 56712 -7510 56764 -7500
+rect 57096 -7448 57148 -7438
+rect 56956 -7490 57096 -7460
+rect 56904 -7510 56956 -7500
+rect 57288 -7448 57340 -7438
+rect 57148 -7490 57288 -7460
+rect 57096 -7510 57148 -7500
+rect 57479 -7448 57531 -7438
+rect 57340 -7490 57479 -7460
+rect 57288 -7510 57340 -7500
+rect 57671 -7448 57723 -7438
+rect 57531 -7490 57671 -7460
+rect 57479 -7510 57531 -7500
+rect 57864 -7448 57916 -7438
+rect 57723 -7490 57864 -7460
+rect 57671 -7510 57723 -7500
+rect 58056 -7448 58108 -7438
+rect 57916 -7490 58056 -7460
+rect 57864 -7510 57916 -7500
+rect 58248 -7448 58300 -7438
+rect 58108 -7490 58248 -7460
+rect 58056 -7510 58108 -7500
+rect 58439 -7448 58491 -7438
+rect 58300 -7490 58439 -7460
+rect 58248 -7510 58300 -7500
+rect 58631 -7448 58683 -7438
+rect 58491 -7490 58631 -7460
+rect 58439 -7510 58491 -7500
+rect 58822 -7448 58874 -7438
+rect 58683 -7490 58822 -7460
+rect 58631 -7510 58683 -7500
+rect 59013 -7448 59065 -7438
+rect 58874 -7490 59013 -7460
+rect 58822 -7510 58874 -7500
+rect 59207 -7448 59259 -7438
+rect 59065 -7490 59207 -7460
+rect 59013 -7510 59065 -7500
+rect 59399 -7448 59451 -7438
+rect 59259 -7490 59399 -7460
+rect 59207 -7510 59259 -7500
+rect 59592 -7447 59644 -7437
+rect 59451 -7490 59592 -7460
+rect 59399 -7510 59451 -7500
+rect 59783 -7448 59835 -7438
+rect 59644 -7490 59783 -7460
+rect 59592 -7509 59644 -7499
+rect 59975 -7448 60027 -7438
+rect 59835 -7490 59975 -7460
+rect 59783 -7510 59835 -7500
+rect 60168 -7448 60220 -7438
+rect 60027 -7490 60168 -7460
+rect 59975 -7510 60027 -7500
+rect 60360 -7448 60412 -7438
+rect 60220 -7490 60360 -7460
+rect 60168 -7510 60220 -7500
+rect 60553 -7447 60605 -7437
+rect 60412 -7490 60553 -7460
+rect 60360 -7510 60412 -7500
+rect 60744 -7448 60796 -7438
+rect 60605 -7490 60744 -7460
+rect 60553 -7509 60605 -7499
+rect 60936 -7448 60988 -7438
+rect 60796 -7490 60936 -7460
+rect 60744 -7510 60796 -7500
+rect 61127 -7448 61179 -7438
+rect 60988 -7490 61127 -7460
+rect 60936 -7510 60988 -7500
+rect 61319 -7448 61371 -7438
+rect 61179 -7490 61319 -7460
+rect 61127 -7510 61179 -7500
+rect 61511 -7448 61563 -7438
+rect 61371 -7490 61511 -7460
+rect 61319 -7510 61371 -7500
+rect 61704 -7448 61756 -7438
+rect 61563 -7490 61704 -7460
+rect 61511 -7510 61563 -7500
+rect 61895 -7448 61947 -7438
+rect 61756 -7490 61895 -7460
+rect 61704 -7510 61756 -7500
+rect 62087 -7448 62139 -7438
+rect 61947 -7490 62087 -7460
+rect 61895 -7510 61947 -7500
+rect 62279 -7447 62331 -7437
+rect 62139 -7490 62279 -7460
+rect 62087 -7510 62139 -7500
+rect 62471 -7448 62523 -7438
+rect 62331 -7490 62471 -7460
+rect 62279 -7509 62331 -7499
+rect 62661 -7448 62713 -7438
+rect 62523 -7490 62661 -7460
+rect 62471 -7510 62523 -7500
+rect 62855 -7448 62907 -7438
+rect 62713 -7490 62855 -7460
+rect 62661 -7510 62713 -7500
+rect 63047 -7447 63099 -7437
+rect 62907 -7490 63047 -7460
+rect 62855 -7510 62907 -7500
+rect 63238 -7448 63290 -7438
+rect 63099 -7490 63238 -7460
+rect 63047 -7509 63099 -7499
+rect 63431 -7448 63483 -7438
+rect 63290 -7490 63431 -7460
+rect 63238 -7510 63290 -7500
+rect 63622 -7447 63674 -7437
+rect 63483 -7490 63622 -7460
+rect 63431 -7510 63483 -7500
+rect 63814 -7448 63866 -7438
+rect 63674 -7490 63814 -7460
+rect 63622 -7509 63674 -7499
+rect 64005 -7448 64057 -7438
+rect 63866 -7490 64005 -7460
+rect 63814 -7510 63866 -7500
+rect 64199 -7447 64251 -7437
+rect 64057 -7490 64199 -7460
+rect 64005 -7510 64057 -7500
+rect 64390 -7448 64442 -7438
+rect 64251 -7490 64390 -7460
+rect 64199 -7509 64251 -7499
+rect 64582 -7448 64634 -7438
+rect 64442 -7490 64582 -7460
+rect 64390 -7510 64442 -7500
+rect 64774 -7448 64826 -7438
+rect 64634 -7490 64774 -7460
+rect 64582 -7510 64634 -7500
+rect 64966 -7448 65018 -7438
+rect 64826 -7490 64966 -7460
+rect 64774 -7510 64826 -7500
+rect 65159 -7448 65211 -7438
+rect 65018 -7490 65159 -7460
+rect 64966 -7510 65018 -7500
+rect 65350 -7448 65402 -7438
+rect 65211 -7490 65350 -7460
+rect 65159 -7510 65211 -7500
+rect 65543 -7447 65595 -7437
+rect 65402 -7490 65543 -7460
+rect 65350 -7510 65402 -7500
+rect 65734 -7448 65786 -7438
+rect 65595 -7490 65734 -7460
+rect 65543 -7509 65595 -7499
+rect 65927 -7448 65979 -7438
+rect 65786 -7490 65927 -7460
+rect 65734 -7510 65786 -7500
+rect 66118 -7448 66170 -7438
+rect 65979 -7490 66118 -7460
+rect 65927 -7510 65979 -7500
+rect 66309 -7446 66361 -7436
+rect 66170 -7490 66309 -7460
+rect 66118 -7510 66170 -7500
+rect 66504 -7448 66556 -7438
+rect 66361 -7490 66504 -7460
+rect 66309 -7508 66361 -7498
+rect 66440 -7500 66504 -7490
+rect 66440 -7510 66556 -7500
+rect 66440 -7654 66510 -7510
+rect 49940 -7664 66588 -7654
+rect 47224 -7808 47652 -7752
+rect 48274 -7706 48446 -7696
+rect 48274 -7774 48446 -7764
+rect 49940 -7766 66588 -7756
+rect 68120 -7710 68292 -7700
+rect 68120 -7778 68292 -7768
+rect 68894 -7756 68924 -6672
+rect 69290 -7756 69326 -6672
+rect 68894 -7806 69326 -7756
+rect 68584 -7808 69326 -7806
+rect 47224 -8196 69326 -7808
+rect 47482 -8198 69326 -8196
+<< via2 >>
+rect 48286 -5236 48444 -5178
+rect 49920 -5280 66554 -5188
+rect 68070 -5236 68228 -5178
+rect 48274 -7764 48432 -7706
+rect 49940 -7756 66574 -7664
+rect 68120 -7768 68278 -7710
+<< metal3 >>
+rect 62104 -5160 62384 -5150
+rect 67454 -5160 67734 -5150
+rect 46340 -5178 69982 -5160
+rect 46340 -5236 48286 -5178
+rect 48444 -5188 68070 -5178
+rect 48444 -5236 49920 -5188
+rect 46340 -5280 49920 -5236
+rect 66554 -5236 68070 -5188
+rect 68228 -5236 69982 -5178
+rect 66554 -5280 69982 -5236
+rect 46340 -5438 69982 -5280
+rect 49104 -6370 49384 -5438
+rect 52114 -6370 52394 -5438
+rect 55614 -6370 55894 -5438
+rect 62104 -6370 62384 -5438
+rect 64654 -6370 64934 -5438
+rect 67454 -6370 67734 -5438
+rect 46324 -6648 69966 -6370
+rect 49104 -7510 49384 -6648
+rect 52114 -7510 52394 -6648
+rect 55614 -7510 55894 -6648
+rect 62104 -7510 62384 -6648
+rect 64654 -7510 64934 -6648
+rect 67454 -7510 67734 -6648
+rect 46350 -7664 69992 -7510
+rect 46350 -7706 49940 -7664
+rect 46350 -7764 48274 -7706
+rect 48432 -7756 49940 -7706
+rect 66574 -7710 69992 -7664
+rect 66574 -7756 68120 -7710
+rect 48432 -7764 68120 -7756
+rect 46350 -7768 68120 -7764
+rect 68278 -7768 69992 -7710
+rect 46350 -7788 69992 -7768
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM20
+timestamp 1662412052
+transform 1 0 54905 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM21
+timestamp 1662412052
+transform 1 0 54905 0 1 -6160
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM22
+timestamp 1662412052
+transform 1 0 61095 0 1 -6160
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM23
+timestamp 1662412052
+transform 1 0 61095 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM24
+timestamp 1662412052
+transform 1 0 58273 0 1 -5540
+box -8423 -310 8423 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM25
+timestamp 1662412052
+transform 1 0 58273 0 1 -7398
+box -8423 -310 8423 310
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR19
+timestamp 1662952458
+transform 0 1 47715 -1 0 -7211
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR20
+timestamp 1662952458
+transform 0 1 47715 -1 0 -5727
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_QP6N54  XR21
+timestamp 1662952458
+transform 1 0 49111 0 1 -6518
+box -739 -748 739 748
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR22
+timestamp 1662952458
+transform 0 1 68837 -1 0 -5731
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  sky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0
+timestamp 1662952458
+transform 0 1 68837 -1 0 -7211
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_QP6N54  sky130_fd_pr__res_xhigh_po_5p73_QP6N54_0
+timestamp 1662952458
+transform 1 0 67439 0 1 -6468
+box -739 -748 739 748
+<< labels >>
+rlabel metal3 46330 -6470 46330 -6470 7 vss
+port 1 w
+rlabel metal2 51160 -6220 51160 -6220 1 voutp
+port 3 n
+rlabel metal2 65180 -6220 65180 -6220 1 voutn
+port 4 n
+rlabel metal2 50660 -6720 50660 -6720 5 vd21
+port 5 s
+rlabel metal2 65770 -6710 65770 -6710 1 vd22
+port 6 n
+rlabel metal1 57930 -6930 57930 -6930 3 vinp
+port 10 e
+rlabel metal1 58070 -6930 58070 -6930 1 vinn
+port 11 n
+rlabel metal1 49890 -7550 49890 -7550 7 vc1
+port 7 w
+rlabel metal1 49880 -5390 49880 -5390 7 vc2
+port 8 w
+<< end >>
diff --git a/mag/cons_cw.mag b/mag/cons_cw.mag
new file mode 100644
index 0000000..9e65f15
--- /dev/null
+++ b/mag/cons_cw.mag
@@ -0,0 +1,3957 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662976792
+<< pwell >>
+rect 53403 -7310 53408 -7308
+<< ndiff >>
+rect 53403 -7310 53408 -7308
+<< locali >>
+rect 48302 -6542 48426 -6396
+rect 51418 -6516 51882 -6426
+rect 64120 -6540 64294 -6402
+rect 68132 -6542 68246 -6400
+<< viali >>
+rect 48286 -5236 48458 -5178
+rect 49920 -5280 66568 -5188
+rect 68070 -5236 68242 -5178
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+<< metal1 >>
+rect 48274 -5178 48470 -5172
+rect 47250 -6264 47260 -5190
+rect 47620 -6264 47630 -5190
+rect 48274 -5236 48286 -5178
+rect 48458 -5236 48470 -5178
+rect 68058 -5178 68254 -5172
+rect 48274 -5242 48470 -5236
+rect 49908 -5188 66580 -5182
+rect 49908 -5280 49920 -5188
+rect 66568 -5280 66580 -5188
+rect 68058 -5236 68070 -5178
+rect 68242 -5236 68254 -5178
+rect 68058 -5242 68254 -5236
+rect 49908 -5286 66580 -5280
+rect 48190 -5686 48550 -5300
+rect 48188 -6296 48550 -5686
+rect 49880 -5400 66630 -5370
+rect 49880 -5680 49910 -5400
+rect 50077 -5494 50087 -5442
+rect 50139 -5494 50149 -5442
+rect 50267 -5493 50277 -5441
+rect 50329 -5493 50339 -5441
+rect 50460 -5496 50470 -5444
+rect 50522 -5496 50532 -5444
+rect 50652 -5491 50662 -5439
+rect 50714 -5491 50724 -5439
+rect 50844 -5495 50854 -5443
+rect 50906 -5495 50916 -5443
+rect 51036 -5490 51046 -5438
+rect 51098 -5490 51108 -5438
+rect 51228 -5491 51238 -5439
+rect 51290 -5491 51300 -5439
+rect 51418 -5490 51428 -5438
+rect 51480 -5490 51490 -5438
+rect 51612 -5501 51622 -5449
+rect 51674 -5501 51684 -5449
+rect 51801 -5499 51811 -5447
+rect 51863 -5499 51873 -5447
+rect 51991 -5499 52001 -5447
+rect 52053 -5499 52063 -5447
+rect 52190 -5500 52200 -5448
+rect 52252 -5500 52262 -5448
+rect 52382 -5499 52392 -5447
+rect 52444 -5499 52454 -5447
+rect 52572 -5500 52582 -5448
+rect 52634 -5500 52644 -5448
+rect 52764 -5493 52774 -5441
+rect 52826 -5493 52836 -5441
+rect 52956 -5495 52966 -5443
+rect 53018 -5495 53028 -5443
+rect 53149 -5494 53159 -5442
+rect 53211 -5494 53221 -5442
+rect 53341 -5492 53351 -5440
+rect 53403 -5492 53413 -5440
+rect 53532 -5492 53542 -5440
+rect 53594 -5492 53604 -5440
+rect 53726 -5497 53736 -5445
+rect 53788 -5497 53798 -5445
+rect 53917 -5493 53927 -5441
+rect 53979 -5493 53989 -5441
+rect 54109 -5489 54119 -5437
+rect 54171 -5489 54181 -5437
+rect 54302 -5493 54312 -5441
+rect 54364 -5493 54374 -5441
+rect 54494 -5493 54504 -5441
+rect 54556 -5493 54566 -5441
+rect 54687 -5494 54697 -5442
+rect 54749 -5494 54759 -5442
+rect 54879 -5492 54889 -5440
+rect 54941 -5492 54951 -5440
+rect 55070 -5491 55080 -5439
+rect 55132 -5491 55142 -5439
+rect 55261 -5490 55271 -5438
+rect 55323 -5490 55333 -5438
+rect 55454 -5490 55464 -5438
+rect 55516 -5490 55526 -5438
+rect 55645 -5499 55655 -5447
+rect 55707 -5499 55717 -5447
+rect 55836 -5500 55846 -5448
+rect 55898 -5500 55908 -5448
+rect 56026 -5501 56036 -5449
+rect 56088 -5501 56098 -5449
+rect 56220 -5505 56230 -5453
+rect 56282 -5505 56292 -5453
+rect 56412 -5507 56422 -5455
+rect 56474 -5507 56484 -5455
+rect 56606 -5506 56616 -5454
+rect 56668 -5506 56678 -5454
+rect 56798 -5503 56808 -5451
+rect 56860 -5503 56870 -5451
+rect 56987 -5500 56997 -5448
+rect 57049 -5500 57059 -5448
+rect 57181 -5498 57191 -5446
+rect 57243 -5498 57253 -5446
+rect 57374 -5499 57384 -5447
+rect 57436 -5499 57446 -5447
+rect 57567 -5500 57577 -5448
+rect 57629 -5500 57639 -5448
+rect 57756 -5500 57766 -5448
+rect 57818 -5500 57828 -5448
+rect 57949 -5500 57959 -5448
+rect 58011 -5500 58021 -5448
+rect 58141 -5500 58151 -5448
+rect 58203 -5500 58213 -5448
+rect 58332 -5500 58342 -5448
+rect 58394 -5500 58404 -5448
+rect 58525 -5500 58535 -5448
+rect 58587 -5500 58597 -5448
+rect 58716 -5499 58726 -5447
+rect 58778 -5499 58788 -5447
+rect 58911 -5500 58921 -5448
+rect 58973 -5500 58983 -5448
+rect 59100 -5500 59110 -5448
+rect 59162 -5500 59172 -5448
+rect 59293 -5500 59303 -5448
+rect 59355 -5500 59365 -5448
+rect 59485 -5500 59495 -5448
+rect 59547 -5500 59557 -5448
+rect 59676 -5500 59686 -5448
+rect 59738 -5500 59748 -5448
+rect 59868 -5500 59878 -5448
+rect 59930 -5500 59940 -5448
+rect 60061 -5500 60071 -5448
+rect 60123 -5500 60133 -5448
+rect 60250 -5501 60260 -5449
+rect 60312 -5501 60322 -5449
+rect 60444 -5500 60454 -5448
+rect 60506 -5500 60516 -5448
+rect 60635 -5500 60645 -5448
+rect 60697 -5500 60707 -5448
+rect 60828 -5500 60838 -5448
+rect 60890 -5500 60900 -5448
+rect 61020 -5500 61030 -5448
+rect 61082 -5500 61092 -5448
+rect 61212 -5501 61222 -5449
+rect 61274 -5501 61284 -5449
+rect 61405 -5499 61415 -5447
+rect 61467 -5499 61477 -5447
+rect 61595 -5499 61605 -5447
+rect 61657 -5499 61667 -5447
+rect 61788 -5501 61798 -5449
+rect 61850 -5501 61860 -5449
+rect 61980 -5500 61990 -5448
+rect 62042 -5500 62052 -5448
+rect 62173 -5499 62183 -5447
+rect 62235 -5499 62245 -5447
+rect 62364 -5499 62374 -5447
+rect 62426 -5499 62436 -5447
+rect 62556 -5500 62566 -5448
+rect 62618 -5500 62628 -5448
+rect 62747 -5500 62757 -5448
+rect 62809 -5500 62819 -5448
+rect 62939 -5500 62949 -5448
+rect 63001 -5500 63011 -5448
+rect 63133 -5499 63143 -5447
+rect 63195 -5499 63205 -5447
+rect 63325 -5500 63335 -5448
+rect 63387 -5500 63397 -5448
+rect 63515 -5501 63525 -5449
+rect 63577 -5501 63587 -5449
+rect 63706 -5502 63716 -5450
+rect 63768 -5502 63778 -5450
+rect 63899 -5500 63909 -5448
+rect 63961 -5500 63971 -5448
+rect 64092 -5499 64102 -5447
+rect 64154 -5499 64164 -5447
+rect 64285 -5500 64295 -5448
+rect 64347 -5500 64357 -5448
+rect 64476 -5500 64486 -5448
+rect 64538 -5500 64548 -5448
+rect 64667 -5500 64677 -5448
+rect 64729 -5500 64739 -5448
+rect 64860 -5500 64870 -5448
+rect 64922 -5500 64932 -5448
+rect 65053 -5500 65063 -5448
+rect 65115 -5500 65125 -5448
+rect 65244 -5500 65254 -5448
+rect 65306 -5500 65316 -5448
+rect 65435 -5499 65445 -5447
+rect 65497 -5499 65507 -5447
+rect 65626 -5499 65636 -5447
+rect 65688 -5499 65698 -5447
+rect 65819 -5500 65829 -5448
+rect 65881 -5500 65891 -5448
+rect 66013 -5500 66023 -5448
+rect 66075 -5500 66085 -5448
+rect 66201 -5501 66211 -5449
+rect 66263 -5501 66273 -5449
+rect 66395 -5509 66405 -5457
+rect 66457 -5509 66467 -5457
+rect 49981 -5637 49991 -5585
+rect 50043 -5637 50053 -5585
+rect 50172 -5639 50182 -5587
+rect 50234 -5639 50244 -5587
+rect 50364 -5638 50374 -5586
+rect 50426 -5638 50436 -5586
+rect 50556 -5641 50566 -5589
+rect 50618 -5641 50628 -5589
+rect 50750 -5640 50760 -5588
+rect 50812 -5640 50822 -5588
+rect 50940 -5640 50950 -5588
+rect 51002 -5640 51012 -5588
+rect 51135 -5638 51145 -5586
+rect 51197 -5638 51207 -5586
+rect 51326 -5637 51336 -5585
+rect 51388 -5637 51398 -5585
+rect 51521 -5639 51531 -5587
+rect 51583 -5639 51593 -5587
+rect 51711 -5639 51721 -5587
+rect 51773 -5639 51783 -5587
+rect 51901 -5638 51911 -5586
+rect 51963 -5638 51973 -5586
+rect 52094 -5637 52104 -5585
+rect 52156 -5637 52166 -5585
+rect 52285 -5637 52295 -5585
+rect 52347 -5637 52357 -5585
+rect 52481 -5639 52491 -5587
+rect 52543 -5639 52553 -5587
+rect 52670 -5639 52680 -5587
+rect 52732 -5639 52742 -5587
+rect 52864 -5639 52874 -5587
+rect 52926 -5639 52936 -5587
+rect 53053 -5639 53063 -5587
+rect 53115 -5639 53125 -5587
+rect 53245 -5640 53255 -5588
+rect 53307 -5640 53317 -5588
+rect 53438 -5640 53448 -5588
+rect 53500 -5640 53510 -5588
+rect 53629 -5640 53639 -5588
+rect 53691 -5640 53701 -5588
+rect 53821 -5639 53831 -5587
+rect 53883 -5639 53893 -5587
+rect 54013 -5640 54023 -5588
+rect 54075 -5640 54085 -5588
+rect 54206 -5640 54216 -5588
+rect 54268 -5640 54278 -5588
+rect 54397 -5640 54407 -5588
+rect 54459 -5640 54469 -5588
+rect 54589 -5639 54599 -5587
+rect 54651 -5639 54661 -5587
+rect 54782 -5640 54792 -5588
+rect 54844 -5640 54854 -5588
+rect 54974 -5640 54984 -5588
+rect 55036 -5640 55046 -5588
+rect 55165 -5640 55175 -5588
+rect 55227 -5640 55237 -5588
+rect 55356 -5640 55366 -5588
+rect 55418 -5640 55428 -5588
+rect 55548 -5640 55558 -5588
+rect 55610 -5640 55620 -5588
+rect 55741 -5639 55751 -5587
+rect 55803 -5639 55813 -5587
+rect 55932 -5639 55942 -5587
+rect 55994 -5639 56004 -5587
+rect 56126 -5640 56136 -5588
+rect 56188 -5640 56198 -5588
+rect 56318 -5640 56328 -5588
+rect 56380 -5640 56390 -5588
+rect 56510 -5640 56520 -5588
+rect 56572 -5640 56582 -5588
+rect 56702 -5640 56712 -5588
+rect 56764 -5640 56774 -5588
+rect 56894 -5640 56904 -5588
+rect 56956 -5640 56966 -5588
+rect 57086 -5640 57096 -5588
+rect 57148 -5640 57158 -5588
+rect 57278 -5640 57288 -5588
+rect 57340 -5640 57350 -5588
+rect 57469 -5640 57479 -5588
+rect 57531 -5640 57541 -5588
+rect 57661 -5640 57671 -5588
+rect 57723 -5640 57733 -5588
+rect 57854 -5640 57864 -5588
+rect 57916 -5640 57926 -5588
+rect 58046 -5640 58056 -5588
+rect 58108 -5640 58118 -5588
+rect 58238 -5640 58248 -5588
+rect 58300 -5640 58310 -5588
+rect 58429 -5640 58439 -5588
+rect 58491 -5640 58501 -5588
+rect 58621 -5640 58631 -5588
+rect 58683 -5640 58693 -5588
+rect 58812 -5640 58822 -5588
+rect 58874 -5640 58884 -5588
+rect 59003 -5640 59013 -5588
+rect 59065 -5640 59075 -5588
+rect 59197 -5640 59207 -5588
+rect 59259 -5640 59269 -5588
+rect 59389 -5640 59399 -5588
+rect 59451 -5640 59461 -5588
+rect 59582 -5639 59592 -5587
+rect 59644 -5639 59654 -5587
+rect 59773 -5640 59783 -5588
+rect 59835 -5640 59845 -5588
+rect 59965 -5640 59975 -5588
+rect 60027 -5640 60037 -5588
+rect 60158 -5640 60168 -5588
+rect 60220 -5640 60230 -5588
+rect 60350 -5640 60360 -5588
+rect 60412 -5640 60422 -5588
+rect 60543 -5639 60553 -5587
+rect 60605 -5639 60615 -5587
+rect 60734 -5640 60744 -5588
+rect 60796 -5640 60806 -5588
+rect 60926 -5640 60936 -5588
+rect 60988 -5640 60998 -5588
+rect 61117 -5640 61127 -5588
+rect 61179 -5640 61189 -5588
+rect 61309 -5640 61319 -5588
+rect 61371 -5640 61381 -5588
+rect 61501 -5640 61511 -5588
+rect 61563 -5640 61573 -5588
+rect 61694 -5640 61704 -5588
+rect 61756 -5640 61766 -5588
+rect 61885 -5640 61895 -5588
+rect 61947 -5640 61957 -5588
+rect 62077 -5640 62087 -5588
+rect 62139 -5640 62149 -5588
+rect 62269 -5639 62279 -5587
+rect 62331 -5639 62341 -5587
+rect 62461 -5640 62471 -5588
+rect 62523 -5640 62533 -5588
+rect 62651 -5640 62661 -5588
+rect 62713 -5640 62723 -5588
+rect 62845 -5640 62855 -5588
+rect 62907 -5640 62917 -5588
+rect 63037 -5639 63047 -5587
+rect 63099 -5639 63109 -5587
+rect 63228 -5640 63238 -5588
+rect 63290 -5640 63300 -5588
+rect 63421 -5640 63431 -5588
+rect 63483 -5640 63493 -5588
+rect 63612 -5639 63622 -5587
+rect 63674 -5639 63684 -5587
+rect 63804 -5640 63814 -5588
+rect 63866 -5640 63876 -5588
+rect 63995 -5640 64005 -5588
+rect 64057 -5640 64067 -5588
+rect 64189 -5639 64199 -5587
+rect 64251 -5639 64261 -5587
+rect 64380 -5640 64390 -5588
+rect 64442 -5640 64452 -5588
+rect 64572 -5640 64582 -5588
+rect 64634 -5640 64644 -5588
+rect 64764 -5640 64774 -5588
+rect 64826 -5640 64836 -5588
+rect 64956 -5640 64966 -5588
+rect 65018 -5640 65028 -5588
+rect 65149 -5640 65159 -5588
+rect 65211 -5640 65221 -5588
+rect 65340 -5640 65350 -5588
+rect 65402 -5640 65412 -5588
+rect 65533 -5639 65543 -5587
+rect 65595 -5639 65605 -5587
+rect 65724 -5640 65734 -5588
+rect 65786 -5640 65796 -5588
+rect 65917 -5640 65927 -5588
+rect 65979 -5640 65989 -5588
+rect 66108 -5640 66118 -5588
+rect 66170 -5640 66180 -5588
+rect 66299 -5638 66309 -5586
+rect 66361 -5638 66371 -5586
+rect 66494 -5640 66504 -5588
+rect 66556 -5640 66566 -5588
+rect 66600 -5680 66630 -5400
+rect 49880 -5710 66630 -5680
+rect 68000 -5710 68374 -5302
+rect 51860 -6020 57940 -5990
+rect 49420 -6126 49430 -6074
+rect 49482 -6126 49492 -6074
+rect 51860 -6300 51890 -6020
+rect 52037 -6123 52047 -6071
+rect 52099 -6123 52109 -6071
+rect 52227 -6122 52237 -6070
+rect 52289 -6122 52299 -6070
+rect 52419 -6121 52429 -6069
+rect 52481 -6121 52491 -6069
+rect 52610 -6121 52620 -6069
+rect 52672 -6121 52682 -6069
+rect 52804 -6121 52814 -6069
+rect 52866 -6121 52876 -6069
+rect 52994 -6122 53004 -6070
+rect 53056 -6122 53066 -6070
+rect 53188 -6121 53198 -6069
+rect 53250 -6121 53260 -6069
+rect 53380 -6121 53390 -6069
+rect 53442 -6121 53452 -6069
+rect 53571 -6122 53581 -6070
+rect 53633 -6122 53643 -6070
+rect 53766 -6122 53776 -6070
+rect 53828 -6122 53838 -6070
+rect 53956 -6122 53966 -6070
+rect 54018 -6122 54028 -6070
+rect 54147 -6122 54157 -6070
+rect 54209 -6122 54219 -6070
+rect 54339 -6122 54349 -6070
+rect 54401 -6122 54411 -6070
+rect 54532 -6121 54542 -6069
+rect 54594 -6121 54604 -6069
+rect 54724 -6122 54734 -6070
+rect 54786 -6122 54796 -6070
+rect 54916 -6122 54926 -6070
+rect 54978 -6122 54988 -6070
+rect 55109 -6122 55119 -6070
+rect 55171 -6122 55181 -6070
+rect 55300 -6121 55310 -6069
+rect 55362 -6121 55372 -6069
+rect 55492 -6122 55502 -6070
+rect 55554 -6122 55564 -6070
+rect 55684 -6121 55694 -6069
+rect 55746 -6121 55756 -6069
+rect 55876 -6121 55886 -6069
+rect 55938 -6121 55948 -6069
+rect 56067 -6122 56077 -6070
+rect 56129 -6122 56139 -6070
+rect 56260 -6121 56270 -6069
+rect 56322 -6121 56332 -6069
+rect 56452 -6121 56462 -6069
+rect 56514 -6121 56524 -6069
+rect 56646 -6122 56656 -6070
+rect 56708 -6122 56718 -6070
+rect 56837 -6123 56847 -6071
+rect 56899 -6123 56909 -6071
+rect 57026 -6122 57036 -6070
+rect 57088 -6122 57098 -6070
+rect 57219 -6122 57229 -6070
+rect 57281 -6122 57291 -6070
+rect 57412 -6122 57422 -6070
+rect 57474 -6122 57484 -6070
+rect 57603 -6121 57613 -6069
+rect 57665 -6121 57675 -6069
+rect 57794 -6121 57804 -6069
+rect 57856 -6121 57866 -6069
+rect 51939 -6262 51949 -6210
+rect 52001 -6262 52011 -6210
+rect 52131 -6262 52141 -6210
+rect 52193 -6262 52203 -6210
+rect 52324 -6262 52334 -6210
+rect 52386 -6262 52396 -6210
+rect 52516 -6262 52526 -6210
+rect 52578 -6262 52588 -6210
+rect 52709 -6262 52719 -6210
+rect 52771 -6262 52781 -6210
+rect 52901 -6261 52911 -6209
+rect 52963 -6261 52973 -6209
+rect 53092 -6262 53102 -6210
+rect 53154 -6262 53164 -6210
+rect 53284 -6262 53294 -6210
+rect 53346 -6262 53356 -6210
+rect 53476 -6261 53486 -6209
+rect 53538 -6261 53548 -6209
+rect 53668 -6261 53678 -6209
+rect 53730 -6261 53740 -6209
+rect 53860 -6262 53870 -6210
+rect 53922 -6262 53932 -6210
+rect 54052 -6261 54062 -6209
+rect 54114 -6261 54124 -6209
+rect 54244 -6262 54254 -6210
+rect 54306 -6262 54316 -6210
+rect 54435 -6261 54445 -6209
+rect 54497 -6261 54507 -6209
+rect 54629 -6262 54639 -6210
+rect 54691 -6262 54701 -6210
+rect 54819 -6262 54829 -6210
+rect 54881 -6262 54891 -6210
+rect 55011 -6262 55021 -6210
+rect 55073 -6262 55083 -6210
+rect 55204 -6262 55214 -6210
+rect 55266 -6262 55276 -6210
+rect 55395 -6262 55405 -6210
+rect 55457 -6262 55467 -6210
+rect 55588 -6261 55598 -6209
+rect 55650 -6261 55660 -6209
+rect 55781 -6262 55791 -6210
+rect 55843 -6262 55853 -6210
+rect 55972 -6262 55982 -6210
+rect 56034 -6262 56044 -6210
+rect 56165 -6262 56175 -6210
+rect 56227 -6262 56237 -6210
+rect 56355 -6262 56365 -6210
+rect 56417 -6262 56427 -6210
+rect 56549 -6261 56559 -6209
+rect 56611 -6261 56621 -6209
+rect 56738 -6262 56748 -6210
+rect 56800 -6262 56810 -6210
+rect 56931 -6262 56941 -6210
+rect 56993 -6262 57003 -6210
+rect 57124 -6262 57134 -6210
+rect 57186 -6262 57196 -6210
+rect 57314 -6262 57324 -6210
+rect 57376 -6262 57386 -6210
+rect 57507 -6261 57517 -6209
+rect 57569 -6261 57579 -6209
+rect 57696 -6261 57706 -6209
+rect 57758 -6261 57768 -6209
+rect 57910 -6300 57940 -6020
+rect 51860 -6310 57940 -6300
+rect 51860 -6320 57800 -6310
+rect 50970 -6368 51328 -6326
+rect 51860 -6330 52040 -6320
+rect 50970 -6456 51016 -6368
+rect 51106 -6448 51116 -6396
+rect 51168 -6448 51178 -6396
+rect 51286 -6446 51328 -6368
+rect 52030 -6380 52040 -6330
+rect 52100 -6330 57800 -6320
+rect 52100 -6380 52110 -6330
+rect 57790 -6370 57800 -6330
+rect 57860 -6330 57940 -6310
+rect 58070 -6020 64130 -5990
+rect 66908 -6002 66918 -5950
+rect 66970 -6002 66980 -5950
+rect 58070 -6300 58100 -6020
+rect 58231 -6122 58241 -6070
+rect 58293 -6122 58303 -6070
+rect 58421 -6121 58431 -6069
+rect 58483 -6121 58493 -6069
+rect 58613 -6120 58623 -6068
+rect 58675 -6120 58685 -6068
+rect 58804 -6120 58814 -6068
+rect 58866 -6120 58876 -6068
+rect 58998 -6120 59008 -6068
+rect 59060 -6120 59070 -6068
+rect 59188 -6121 59198 -6069
+rect 59250 -6121 59260 -6069
+rect 59382 -6120 59392 -6068
+rect 59444 -6120 59454 -6068
+rect 59574 -6120 59584 -6068
+rect 59636 -6120 59646 -6068
+rect 59765 -6121 59775 -6069
+rect 59827 -6121 59837 -6069
+rect 59960 -6121 59970 -6069
+rect 60022 -6121 60032 -6069
+rect 60150 -6121 60160 -6069
+rect 60212 -6121 60222 -6069
+rect 60341 -6121 60351 -6069
+rect 60403 -6121 60413 -6069
+rect 60533 -6121 60543 -6069
+rect 60595 -6121 60605 -6069
+rect 60726 -6120 60736 -6068
+rect 60788 -6120 60798 -6068
+rect 60918 -6121 60928 -6069
+rect 60980 -6121 60990 -6069
+rect 61110 -6121 61120 -6069
+rect 61172 -6121 61182 -6069
+rect 61303 -6121 61313 -6069
+rect 61365 -6121 61375 -6069
+rect 61494 -6120 61504 -6068
+rect 61556 -6120 61566 -6068
+rect 61686 -6121 61696 -6069
+rect 61748 -6121 61758 -6069
+rect 61878 -6120 61888 -6068
+rect 61940 -6120 61950 -6068
+rect 62070 -6120 62080 -6068
+rect 62132 -6120 62142 -6068
+rect 62261 -6121 62271 -6069
+rect 62323 -6121 62333 -6069
+rect 62454 -6120 62464 -6068
+rect 62516 -6120 62526 -6068
+rect 62646 -6120 62656 -6068
+rect 62708 -6120 62718 -6068
+rect 62840 -6121 62850 -6069
+rect 62902 -6121 62912 -6069
+rect 63031 -6122 63041 -6070
+rect 63093 -6122 63103 -6070
+rect 63220 -6121 63230 -6069
+rect 63282 -6121 63292 -6069
+rect 63413 -6121 63423 -6069
+rect 63475 -6121 63485 -6069
+rect 63606 -6121 63616 -6069
+rect 63668 -6121 63678 -6069
+rect 63797 -6120 63807 -6068
+rect 63859 -6120 63869 -6068
+rect 63988 -6120 63998 -6068
+rect 64050 -6120 64060 -6068
+rect 58133 -6261 58143 -6209
+rect 58195 -6261 58205 -6209
+rect 58325 -6261 58335 -6209
+rect 58387 -6261 58397 -6209
+rect 58518 -6261 58528 -6209
+rect 58580 -6261 58590 -6209
+rect 58710 -6261 58720 -6209
+rect 58772 -6261 58782 -6209
+rect 58903 -6261 58913 -6209
+rect 58965 -6261 58975 -6209
+rect 59095 -6260 59105 -6208
+rect 59157 -6260 59167 -6208
+rect 59286 -6261 59296 -6209
+rect 59348 -6261 59358 -6209
+rect 59478 -6261 59488 -6209
+rect 59540 -6261 59550 -6209
+rect 59670 -6260 59680 -6208
+rect 59732 -6260 59742 -6208
+rect 59862 -6260 59872 -6208
+rect 59924 -6260 59934 -6208
+rect 60054 -6261 60064 -6209
+rect 60116 -6261 60126 -6209
+rect 60246 -6260 60256 -6208
+rect 60308 -6260 60318 -6208
+rect 60438 -6261 60448 -6209
+rect 60500 -6261 60510 -6209
+rect 60629 -6260 60639 -6208
+rect 60691 -6260 60701 -6208
+rect 60823 -6261 60833 -6209
+rect 60885 -6261 60895 -6209
+rect 61013 -6261 61023 -6209
+rect 61075 -6261 61085 -6209
+rect 61205 -6261 61215 -6209
+rect 61267 -6261 61277 -6209
+rect 61398 -6261 61408 -6209
+rect 61460 -6261 61470 -6209
+rect 61589 -6261 61599 -6209
+rect 61651 -6261 61661 -6209
+rect 61782 -6260 61792 -6208
+rect 61844 -6260 61854 -6208
+rect 61975 -6261 61985 -6209
+rect 62037 -6261 62047 -6209
+rect 62166 -6261 62176 -6209
+rect 62228 -6261 62238 -6209
+rect 62359 -6261 62369 -6209
+rect 62421 -6261 62431 -6209
+rect 62549 -6261 62559 -6209
+rect 62611 -6261 62621 -6209
+rect 62743 -6260 62753 -6208
+rect 62805 -6260 62815 -6208
+rect 62932 -6261 62942 -6209
+rect 62994 -6261 63004 -6209
+rect 63125 -6261 63135 -6209
+rect 63187 -6261 63197 -6209
+rect 63318 -6261 63328 -6209
+rect 63380 -6261 63390 -6209
+rect 63508 -6261 63518 -6209
+rect 63570 -6261 63580 -6209
+rect 63701 -6260 63711 -6208
+rect 63763 -6260 63773 -6208
+rect 63890 -6260 63900 -6208
+rect 63952 -6260 63962 -6208
+rect 64100 -6300 64130 -6020
+rect 68000 -6298 68362 -5710
+rect 68920 -6272 68930 -5188
+rect 69296 -6272 69306 -5188
+rect 58070 -6310 63990 -6300
+rect 58070 -6330 58230 -6310
+rect 57860 -6370 57870 -6330
+rect 58220 -6370 58230 -6330
+rect 58290 -6330 63990 -6310
+rect 58290 -6370 58300 -6330
+rect 63980 -6360 63990 -6330
+rect 64050 -6330 64130 -6300
+rect 64050 -6360 64060 -6330
+rect 64382 -6340 64738 -6302
+rect 64382 -6446 64424 -6340
+rect 64520 -6432 64530 -6380
+rect 64582 -6432 64592 -6380
+rect 51286 -6490 64424 -6446
+rect 64690 -6458 64736 -6340
+rect 51106 -6552 51116 -6500
+rect 51168 -6552 51178 -6500
+rect 64526 -6548 64536 -6496
+rect 64588 -6548 64598 -6496
+rect 51874 -6640 57930 -6610
+rect 47244 -7752 47254 -6678
+rect 47614 -7752 47624 -6678
+rect 48194 -7240 48556 -6644
+rect 49426 -6924 49436 -6872
+rect 49488 -6924 49498 -6872
+rect 51874 -6920 51904 -6640
+rect 52038 -6741 52048 -6689
+rect 52100 -6741 52110 -6689
+rect 52228 -6740 52238 -6688
+rect 52290 -6740 52300 -6688
+rect 52420 -6739 52430 -6687
+rect 52482 -6739 52492 -6687
+rect 52611 -6739 52621 -6687
+rect 52673 -6739 52683 -6687
+rect 52805 -6739 52815 -6687
+rect 52867 -6739 52877 -6687
+rect 52995 -6740 53005 -6688
+rect 53057 -6740 53067 -6688
+rect 53189 -6739 53199 -6687
+rect 53251 -6739 53261 -6687
+rect 53381 -6739 53391 -6687
+rect 53443 -6739 53453 -6687
+rect 53572 -6740 53582 -6688
+rect 53634 -6740 53644 -6688
+rect 53767 -6740 53777 -6688
+rect 53829 -6740 53839 -6688
+rect 53957 -6740 53967 -6688
+rect 54019 -6740 54029 -6688
+rect 54148 -6740 54158 -6688
+rect 54210 -6740 54220 -6688
+rect 54340 -6740 54350 -6688
+rect 54402 -6740 54412 -6688
+rect 54533 -6739 54543 -6687
+rect 54595 -6739 54605 -6687
+rect 54725 -6740 54735 -6688
+rect 54787 -6740 54797 -6688
+rect 54917 -6740 54927 -6688
+rect 54979 -6740 54989 -6688
+rect 55110 -6740 55120 -6688
+rect 55172 -6740 55182 -6688
+rect 55301 -6739 55311 -6687
+rect 55363 -6739 55373 -6687
+rect 55493 -6740 55503 -6688
+rect 55555 -6740 55565 -6688
+rect 55685 -6739 55695 -6687
+rect 55747 -6739 55757 -6687
+rect 55877 -6739 55887 -6687
+rect 55939 -6739 55949 -6687
+rect 56068 -6740 56078 -6688
+rect 56130 -6740 56140 -6688
+rect 56261 -6739 56271 -6687
+rect 56323 -6739 56333 -6687
+rect 56453 -6739 56463 -6687
+rect 56515 -6739 56525 -6687
+rect 56647 -6740 56657 -6688
+rect 56709 -6740 56719 -6688
+rect 56838 -6741 56848 -6689
+rect 56900 -6741 56910 -6689
+rect 57027 -6740 57037 -6688
+rect 57089 -6740 57099 -6688
+rect 57220 -6740 57230 -6688
+rect 57282 -6740 57292 -6688
+rect 57413 -6740 57423 -6688
+rect 57475 -6740 57485 -6688
+rect 57604 -6739 57614 -6687
+rect 57666 -6739 57676 -6687
+rect 57795 -6739 57805 -6687
+rect 57857 -6739 57867 -6687
+rect 51940 -6880 51950 -6828
+rect 52002 -6880 52012 -6828
+rect 52132 -6880 52142 -6828
+rect 52194 -6880 52204 -6828
+rect 52325 -6880 52335 -6828
+rect 52387 -6880 52397 -6828
+rect 52517 -6880 52527 -6828
+rect 52579 -6880 52589 -6828
+rect 52710 -6880 52720 -6828
+rect 52772 -6880 52782 -6828
+rect 52902 -6879 52912 -6827
+rect 52964 -6879 52974 -6827
+rect 53093 -6880 53103 -6828
+rect 53155 -6880 53165 -6828
+rect 53285 -6880 53295 -6828
+rect 53347 -6880 53357 -6828
+rect 53477 -6879 53487 -6827
+rect 53539 -6879 53549 -6827
+rect 53669 -6879 53679 -6827
+rect 53731 -6879 53741 -6827
+rect 53861 -6880 53871 -6828
+rect 53923 -6880 53933 -6828
+rect 54053 -6879 54063 -6827
+rect 54115 -6879 54125 -6827
+rect 54245 -6880 54255 -6828
+rect 54307 -6880 54317 -6828
+rect 54436 -6879 54446 -6827
+rect 54498 -6879 54508 -6827
+rect 54630 -6880 54640 -6828
+rect 54692 -6880 54702 -6828
+rect 54820 -6880 54830 -6828
+rect 54882 -6880 54892 -6828
+rect 55012 -6880 55022 -6828
+rect 55074 -6880 55084 -6828
+rect 55205 -6880 55215 -6828
+rect 55267 -6880 55277 -6828
+rect 55396 -6880 55406 -6828
+rect 55458 -6880 55468 -6828
+rect 55589 -6879 55599 -6827
+rect 55651 -6879 55661 -6827
+rect 55782 -6880 55792 -6828
+rect 55844 -6880 55854 -6828
+rect 55973 -6880 55983 -6828
+rect 56035 -6880 56045 -6828
+rect 56166 -6880 56176 -6828
+rect 56228 -6880 56238 -6828
+rect 56356 -6880 56366 -6828
+rect 56418 -6880 56428 -6828
+rect 56550 -6879 56560 -6827
+rect 56612 -6879 56622 -6827
+rect 56739 -6880 56749 -6828
+rect 56801 -6880 56811 -6828
+rect 56932 -6880 56942 -6828
+rect 56994 -6880 57004 -6828
+rect 57125 -6880 57135 -6828
+rect 57187 -6880 57197 -6828
+rect 57315 -6880 57325 -6828
+rect 57377 -6880 57387 -6828
+rect 57508 -6879 57518 -6827
+rect 57570 -6879 57580 -6827
+rect 57697 -6879 57707 -6827
+rect 57759 -6879 57769 -6827
+rect 57900 -6920 57930 -6640
+rect 51874 -6950 57930 -6920
+rect 58070 -6640 64114 -6610
+rect 58070 -6920 58100 -6640
+rect 58226 -6740 58236 -6688
+rect 58288 -6740 58298 -6688
+rect 58416 -6739 58426 -6687
+rect 58478 -6739 58488 -6687
+rect 58608 -6738 58618 -6686
+rect 58670 -6738 58680 -6686
+rect 58799 -6738 58809 -6686
+rect 58861 -6738 58871 -6686
+rect 58993 -6738 59003 -6686
+rect 59055 -6738 59065 -6686
+rect 59183 -6739 59193 -6687
+rect 59245 -6739 59255 -6687
+rect 59377 -6738 59387 -6686
+rect 59439 -6738 59449 -6686
+rect 59569 -6738 59579 -6686
+rect 59631 -6738 59641 -6686
+rect 59760 -6739 59770 -6687
+rect 59822 -6739 59832 -6687
+rect 59955 -6739 59965 -6687
+rect 60017 -6739 60027 -6687
+rect 60145 -6739 60155 -6687
+rect 60207 -6739 60217 -6687
+rect 60336 -6739 60346 -6687
+rect 60398 -6739 60408 -6687
+rect 60528 -6739 60538 -6687
+rect 60590 -6739 60600 -6687
+rect 60721 -6738 60731 -6686
+rect 60783 -6738 60793 -6686
+rect 60913 -6739 60923 -6687
+rect 60975 -6739 60985 -6687
+rect 61105 -6739 61115 -6687
+rect 61167 -6739 61177 -6687
+rect 61298 -6739 61308 -6687
+rect 61360 -6739 61370 -6687
+rect 61489 -6738 61499 -6686
+rect 61551 -6738 61561 -6686
+rect 61681 -6739 61691 -6687
+rect 61743 -6739 61753 -6687
+rect 61873 -6738 61883 -6686
+rect 61935 -6738 61945 -6686
+rect 62065 -6738 62075 -6686
+rect 62127 -6738 62137 -6686
+rect 62256 -6739 62266 -6687
+rect 62318 -6739 62328 -6687
+rect 62449 -6738 62459 -6686
+rect 62511 -6738 62521 -6686
+rect 62641 -6738 62651 -6686
+rect 62703 -6738 62713 -6686
+rect 62835 -6739 62845 -6687
+rect 62897 -6739 62907 -6687
+rect 63026 -6740 63036 -6688
+rect 63088 -6740 63098 -6688
+rect 63215 -6739 63225 -6687
+rect 63277 -6739 63287 -6687
+rect 63408 -6739 63418 -6687
+rect 63470 -6739 63480 -6687
+rect 63601 -6739 63611 -6687
+rect 63663 -6739 63673 -6687
+rect 63792 -6738 63802 -6686
+rect 63854 -6738 63864 -6686
+rect 63983 -6738 63993 -6686
+rect 64045 -6738 64055 -6686
+rect 58130 -6879 58138 -6827
+rect 58190 -6879 58200 -6827
+rect 58320 -6879 58330 -6827
+rect 58382 -6879 58392 -6827
+rect 58513 -6879 58523 -6827
+rect 58575 -6879 58585 -6827
+rect 58705 -6879 58715 -6827
+rect 58767 -6879 58777 -6827
+rect 58898 -6879 58908 -6827
+rect 58960 -6879 58970 -6827
+rect 59090 -6878 59100 -6826
+rect 59152 -6878 59162 -6826
+rect 59281 -6879 59291 -6827
+rect 59343 -6879 59353 -6827
+rect 59473 -6879 59483 -6827
+rect 59535 -6879 59545 -6827
+rect 59665 -6878 59675 -6826
+rect 59727 -6878 59737 -6826
+rect 59857 -6878 59867 -6826
+rect 59919 -6878 59929 -6826
+rect 60049 -6879 60059 -6827
+rect 60111 -6879 60121 -6827
+rect 60241 -6878 60251 -6826
+rect 60303 -6878 60313 -6826
+rect 60433 -6879 60443 -6827
+rect 60495 -6879 60505 -6827
+rect 60624 -6878 60634 -6826
+rect 60686 -6878 60696 -6826
+rect 60818 -6879 60828 -6827
+rect 60880 -6879 60890 -6827
+rect 61008 -6879 61018 -6827
+rect 61070 -6879 61080 -6827
+rect 61200 -6879 61210 -6827
+rect 61262 -6879 61272 -6827
+rect 61393 -6879 61403 -6827
+rect 61455 -6879 61465 -6827
+rect 61584 -6879 61594 -6827
+rect 61646 -6879 61656 -6827
+rect 61777 -6878 61787 -6826
+rect 61839 -6878 61849 -6826
+rect 61970 -6879 61980 -6827
+rect 62032 -6879 62042 -6827
+rect 62161 -6879 62171 -6827
+rect 62223 -6879 62233 -6827
+rect 62354 -6879 62364 -6827
+rect 62416 -6879 62426 -6827
+rect 62544 -6879 62554 -6827
+rect 62606 -6879 62616 -6827
+rect 62738 -6878 62748 -6826
+rect 62800 -6878 62810 -6826
+rect 62927 -6879 62937 -6827
+rect 62989 -6879 62999 -6827
+rect 63120 -6879 63130 -6827
+rect 63182 -6879 63192 -6827
+rect 63313 -6879 63323 -6827
+rect 63375 -6879 63385 -6827
+rect 63503 -6879 63513 -6827
+rect 63565 -6879 63575 -6827
+rect 63696 -6878 63706 -6826
+rect 63758 -6878 63768 -6826
+rect 63885 -6878 63895 -6826
+rect 63947 -6878 63957 -6826
+rect 64084 -6920 64114 -6640
+rect 66902 -6902 66912 -6850
+rect 66964 -6902 66974 -6850
+rect 58070 -6950 64114 -6920
+rect 48190 -7640 48556 -7240
+rect 49890 -7260 66624 -7230
+rect 49890 -7540 49920 -7260
+rect 50077 -7354 50087 -7302
+rect 50139 -7354 50149 -7302
+rect 50267 -7353 50277 -7301
+rect 50329 -7353 50339 -7301
+rect 50460 -7356 50470 -7304
+rect 50522 -7356 50532 -7304
+rect 50652 -7351 50662 -7299
+rect 50714 -7351 50724 -7299
+rect 50844 -7355 50854 -7303
+rect 50906 -7355 50916 -7303
+rect 51036 -7350 51046 -7298
+rect 51098 -7350 51108 -7298
+rect 51228 -7351 51238 -7299
+rect 51290 -7351 51300 -7299
+rect 51418 -7350 51428 -7298
+rect 51480 -7350 51490 -7298
+rect 51612 -7361 51622 -7309
+rect 51674 -7361 51684 -7309
+rect 51801 -7359 51811 -7307
+rect 51863 -7359 51873 -7307
+rect 51991 -7359 52001 -7307
+rect 52053 -7359 52063 -7307
+rect 52190 -7360 52200 -7308
+rect 52252 -7360 52262 -7308
+rect 52382 -7359 52392 -7307
+rect 52444 -7359 52454 -7307
+rect 52572 -7360 52582 -7308
+rect 52634 -7360 52644 -7308
+rect 52764 -7353 52774 -7301
+rect 52826 -7353 52836 -7301
+rect 52956 -7303 53028 -7298
+rect 52956 -7355 52966 -7303
+rect 53018 -7355 53028 -7303
+rect 53149 -7354 53159 -7302
+rect 53211 -7354 53221 -7302
+rect 53341 -7352 53351 -7300
+rect 53403 -7352 53413 -7300
+rect 53532 -7352 53542 -7300
+rect 53594 -7352 53604 -7300
+rect 53726 -7357 53736 -7305
+rect 53788 -7357 53798 -7305
+rect 53917 -7353 53927 -7301
+rect 53979 -7353 53989 -7301
+rect 54109 -7349 54119 -7297
+rect 54171 -7349 54181 -7297
+rect 54302 -7353 54312 -7301
+rect 54364 -7353 54374 -7301
+rect 54494 -7353 54504 -7301
+rect 54556 -7353 54566 -7301
+rect 54687 -7354 54697 -7302
+rect 54749 -7354 54759 -7302
+rect 54879 -7352 54889 -7300
+rect 54941 -7352 54951 -7300
+rect 55070 -7351 55080 -7299
+rect 55132 -7351 55142 -7299
+rect 55261 -7350 55271 -7298
+rect 55323 -7350 55333 -7298
+rect 55454 -7350 55464 -7298
+rect 55516 -7350 55526 -7298
+rect 55645 -7359 55655 -7307
+rect 55707 -7359 55717 -7307
+rect 55836 -7360 55846 -7308
+rect 55898 -7360 55908 -7308
+rect 56026 -7361 56036 -7309
+rect 56088 -7361 56098 -7309
+rect 56220 -7365 56230 -7313
+rect 56282 -7365 56292 -7313
+rect 56412 -7367 56422 -7315
+rect 56474 -7367 56484 -7315
+rect 56606 -7366 56616 -7314
+rect 56668 -7366 56678 -7314
+rect 56798 -7363 56808 -7311
+rect 56860 -7363 56870 -7311
+rect 56987 -7360 56997 -7308
+rect 57049 -7360 57059 -7308
+rect 57181 -7358 57191 -7306
+rect 57243 -7358 57253 -7306
+rect 57374 -7359 57384 -7307
+rect 57436 -7359 57446 -7307
+rect 57567 -7360 57577 -7308
+rect 57629 -7360 57639 -7308
+rect 57756 -7360 57766 -7308
+rect 57818 -7360 57828 -7308
+rect 57949 -7360 57959 -7308
+rect 58011 -7360 58021 -7308
+rect 58141 -7360 58151 -7308
+rect 58203 -7360 58213 -7308
+rect 58332 -7360 58342 -7308
+rect 58394 -7360 58404 -7308
+rect 58525 -7360 58535 -7308
+rect 58587 -7360 58597 -7308
+rect 58716 -7359 58726 -7307
+rect 58778 -7359 58788 -7307
+rect 58911 -7360 58921 -7308
+rect 58973 -7360 58983 -7308
+rect 59100 -7360 59110 -7308
+rect 59162 -7360 59172 -7308
+rect 59293 -7360 59303 -7308
+rect 59355 -7360 59365 -7308
+rect 59485 -7360 59495 -7308
+rect 59547 -7360 59557 -7308
+rect 59676 -7360 59686 -7308
+rect 59738 -7360 59748 -7308
+rect 59868 -7360 59878 -7308
+rect 59930 -7360 59940 -7308
+rect 60061 -7360 60071 -7308
+rect 60123 -7360 60133 -7308
+rect 60250 -7361 60260 -7309
+rect 60312 -7361 60322 -7309
+rect 60444 -7360 60454 -7308
+rect 60506 -7360 60516 -7308
+rect 60635 -7360 60645 -7308
+rect 60697 -7360 60707 -7308
+rect 60828 -7360 60838 -7308
+rect 60890 -7360 60900 -7308
+rect 61020 -7360 61030 -7308
+rect 61082 -7360 61092 -7308
+rect 61212 -7361 61222 -7309
+rect 61274 -7361 61284 -7309
+rect 61405 -7359 61415 -7307
+rect 61467 -7359 61477 -7307
+rect 61595 -7359 61605 -7307
+rect 61657 -7359 61667 -7307
+rect 61788 -7361 61798 -7309
+rect 61850 -7361 61860 -7309
+rect 61980 -7360 61990 -7308
+rect 62042 -7360 62052 -7308
+rect 62173 -7359 62183 -7307
+rect 62235 -7359 62245 -7307
+rect 62364 -7359 62374 -7307
+rect 62426 -7359 62436 -7307
+rect 62556 -7360 62566 -7308
+rect 62618 -7360 62628 -7308
+rect 62747 -7360 62757 -7308
+rect 62809 -7360 62819 -7308
+rect 62939 -7360 62949 -7308
+rect 63001 -7360 63011 -7308
+rect 63133 -7359 63143 -7307
+rect 63195 -7359 63205 -7307
+rect 63325 -7360 63335 -7308
+rect 63387 -7360 63397 -7308
+rect 63515 -7361 63525 -7309
+rect 63577 -7361 63587 -7309
+rect 63706 -7362 63716 -7310
+rect 63768 -7362 63778 -7310
+rect 63899 -7360 63909 -7308
+rect 63961 -7360 63971 -7308
+rect 64092 -7359 64102 -7307
+rect 64154 -7359 64164 -7307
+rect 64285 -7360 64295 -7308
+rect 64347 -7360 64357 -7308
+rect 64476 -7360 64486 -7308
+rect 64538 -7360 64548 -7308
+rect 64667 -7360 64677 -7308
+rect 64729 -7360 64739 -7308
+rect 64860 -7360 64870 -7308
+rect 64922 -7360 64932 -7308
+rect 65053 -7360 65063 -7308
+rect 65115 -7360 65125 -7308
+rect 65244 -7360 65254 -7308
+rect 65306 -7360 65316 -7308
+rect 65435 -7359 65445 -7307
+rect 65497 -7359 65507 -7307
+rect 65626 -7359 65636 -7307
+rect 65688 -7359 65698 -7307
+rect 65819 -7360 65829 -7308
+rect 65881 -7360 65891 -7308
+rect 66013 -7360 66023 -7308
+rect 66075 -7360 66085 -7308
+rect 66201 -7361 66211 -7309
+rect 66263 -7361 66273 -7309
+rect 66395 -7369 66405 -7317
+rect 66457 -7369 66467 -7317
+rect 49981 -7497 49991 -7445
+rect 50043 -7497 50053 -7445
+rect 50172 -7499 50182 -7447
+rect 50234 -7499 50244 -7447
+rect 50364 -7498 50374 -7446
+rect 50426 -7498 50436 -7446
+rect 50556 -7501 50566 -7449
+rect 50618 -7501 50628 -7449
+rect 50750 -7500 50760 -7448
+rect 50812 -7500 50822 -7448
+rect 50940 -7500 50950 -7448
+rect 51002 -7500 51012 -7448
+rect 51135 -7498 51145 -7446
+rect 51197 -7498 51207 -7446
+rect 51326 -7497 51336 -7445
+rect 51388 -7497 51398 -7445
+rect 51521 -7499 51531 -7447
+rect 51583 -7499 51593 -7447
+rect 51711 -7499 51721 -7447
+rect 51773 -7499 51783 -7447
+rect 51901 -7498 51911 -7446
+rect 51963 -7498 51973 -7446
+rect 52094 -7497 52104 -7445
+rect 52156 -7497 52166 -7445
+rect 52285 -7497 52295 -7445
+rect 52347 -7497 52357 -7445
+rect 52481 -7499 52491 -7447
+rect 52543 -7499 52553 -7447
+rect 52670 -7499 52680 -7447
+rect 52732 -7499 52742 -7447
+rect 52864 -7499 52874 -7447
+rect 52926 -7499 52936 -7447
+rect 53053 -7499 53063 -7447
+rect 53115 -7499 53125 -7447
+rect 53245 -7500 53255 -7448
+rect 53307 -7500 53317 -7448
+rect 53438 -7500 53448 -7448
+rect 53500 -7500 53510 -7448
+rect 53629 -7500 53639 -7448
+rect 53691 -7500 53701 -7448
+rect 53821 -7499 53831 -7447
+rect 53883 -7499 53893 -7447
+rect 54013 -7500 54023 -7448
+rect 54075 -7500 54085 -7448
+rect 54206 -7500 54216 -7448
+rect 54268 -7500 54278 -7448
+rect 54397 -7500 54407 -7448
+rect 54459 -7500 54469 -7448
+rect 54589 -7499 54599 -7447
+rect 54651 -7499 54661 -7447
+rect 54782 -7500 54792 -7448
+rect 54844 -7500 54854 -7448
+rect 54974 -7500 54984 -7448
+rect 55036 -7500 55046 -7448
+rect 55165 -7500 55175 -7448
+rect 55227 -7500 55237 -7448
+rect 55356 -7500 55366 -7448
+rect 55418 -7500 55428 -7448
+rect 55548 -7500 55558 -7448
+rect 55610 -7500 55620 -7448
+rect 55741 -7499 55751 -7447
+rect 55803 -7499 55813 -7447
+rect 55932 -7499 55942 -7447
+rect 55994 -7499 56004 -7447
+rect 56126 -7500 56136 -7448
+rect 56188 -7500 56198 -7448
+rect 56318 -7500 56328 -7448
+rect 56380 -7500 56390 -7448
+rect 56510 -7500 56520 -7448
+rect 56572 -7500 56582 -7448
+rect 56702 -7500 56712 -7448
+rect 56764 -7500 56774 -7448
+rect 56894 -7500 56904 -7448
+rect 56956 -7500 56966 -7448
+rect 57086 -7500 57096 -7448
+rect 57148 -7500 57158 -7448
+rect 57278 -7500 57288 -7448
+rect 57340 -7500 57350 -7448
+rect 57469 -7500 57479 -7448
+rect 57531 -7500 57541 -7448
+rect 57661 -7500 57671 -7448
+rect 57723 -7500 57733 -7448
+rect 57854 -7500 57864 -7448
+rect 57916 -7500 57926 -7448
+rect 58046 -7500 58056 -7448
+rect 58108 -7500 58118 -7448
+rect 58238 -7500 58248 -7448
+rect 58300 -7500 58310 -7448
+rect 58429 -7500 58439 -7448
+rect 58491 -7500 58501 -7448
+rect 58621 -7500 58631 -7448
+rect 58683 -7500 58693 -7448
+rect 58812 -7500 58822 -7448
+rect 58874 -7500 58884 -7448
+rect 59003 -7500 59013 -7448
+rect 59065 -7500 59075 -7448
+rect 59197 -7500 59207 -7448
+rect 59259 -7500 59269 -7448
+rect 59389 -7500 59399 -7448
+rect 59451 -7500 59461 -7448
+rect 59582 -7499 59592 -7447
+rect 59644 -7499 59654 -7447
+rect 59773 -7500 59783 -7448
+rect 59835 -7500 59845 -7448
+rect 59965 -7500 59975 -7448
+rect 60027 -7500 60037 -7448
+rect 60158 -7500 60168 -7448
+rect 60220 -7500 60230 -7448
+rect 60350 -7500 60360 -7448
+rect 60412 -7500 60422 -7448
+rect 60543 -7499 60553 -7447
+rect 60605 -7499 60615 -7447
+rect 60734 -7500 60744 -7448
+rect 60796 -7500 60806 -7448
+rect 60926 -7500 60936 -7448
+rect 60988 -7500 60998 -7448
+rect 61117 -7500 61127 -7448
+rect 61179 -7500 61189 -7448
+rect 61309 -7500 61319 -7448
+rect 61371 -7500 61381 -7448
+rect 61501 -7500 61511 -7448
+rect 61563 -7500 61573 -7448
+rect 61694 -7500 61704 -7448
+rect 61756 -7500 61766 -7448
+rect 61885 -7500 61895 -7448
+rect 61947 -7500 61957 -7448
+rect 62077 -7500 62087 -7448
+rect 62139 -7500 62149 -7448
+rect 62269 -7499 62279 -7447
+rect 62331 -7499 62341 -7447
+rect 62461 -7500 62471 -7448
+rect 62523 -7500 62533 -7448
+rect 62651 -7500 62661 -7448
+rect 62713 -7500 62723 -7448
+rect 62845 -7500 62855 -7448
+rect 62907 -7500 62917 -7448
+rect 63037 -7499 63047 -7447
+rect 63099 -7499 63109 -7447
+rect 63228 -7500 63238 -7448
+rect 63290 -7500 63300 -7448
+rect 63421 -7500 63431 -7448
+rect 63483 -7500 63493 -7448
+rect 63612 -7499 63622 -7447
+rect 63674 -7499 63684 -7447
+rect 63804 -7500 63814 -7448
+rect 63866 -7500 63876 -7448
+rect 63995 -7500 64005 -7448
+rect 64057 -7500 64067 -7448
+rect 64189 -7499 64199 -7447
+rect 64251 -7499 64261 -7447
+rect 64380 -7500 64390 -7448
+rect 64442 -7500 64452 -7448
+rect 64572 -7500 64582 -7448
+rect 64634 -7500 64644 -7448
+rect 64764 -7500 64774 -7448
+rect 64826 -7500 64836 -7448
+rect 64956 -7500 64966 -7448
+rect 65018 -7500 65028 -7448
+rect 65149 -7500 65159 -7448
+rect 65211 -7500 65221 -7448
+rect 65340 -7500 65350 -7448
+rect 65402 -7500 65412 -7448
+rect 65533 -7499 65543 -7447
+rect 65595 -7499 65605 -7447
+rect 65724 -7500 65734 -7448
+rect 65786 -7500 65796 -7448
+rect 65917 -7500 65927 -7448
+rect 65979 -7500 65989 -7448
+rect 66108 -7500 66118 -7448
+rect 66170 -7500 66180 -7448
+rect 66299 -7498 66309 -7446
+rect 66361 -7498 66371 -7446
+rect 66494 -7500 66504 -7448
+rect 66556 -7500 66566 -7448
+rect 66594 -7540 66624 -7260
+rect 49890 -7570 66624 -7540
+rect 68006 -7234 68368 -6644
+rect 68006 -7640 68374 -7234
+rect 68368 -7642 68374 -7640
+rect 49928 -7664 66600 -7658
+rect 48262 -7706 48458 -7700
+rect 48262 -7764 48274 -7706
+rect 48446 -7764 48458 -7706
+rect 49928 -7756 49940 -7664
+rect 66588 -7756 66600 -7664
+rect 49928 -7762 66600 -7756
+rect 68108 -7710 68304 -7704
+rect 48262 -7770 48458 -7764
+rect 68108 -7768 68120 -7710
+rect 68292 -7768 68304 -7710
+rect 68914 -7756 68924 -6672
+rect 69290 -7756 69300 -6672
+rect 68108 -7774 68304 -7768
+<< via1 >>
+rect 47260 -6264 47620 -5190
+rect 48286 -5236 48458 -5178
+rect 49920 -5280 66568 -5188
+rect 68070 -5236 68242 -5178
+rect 50087 -5494 50139 -5442
+rect 50277 -5493 50329 -5441
+rect 50470 -5496 50522 -5444
+rect 50662 -5491 50714 -5439
+rect 50854 -5495 50906 -5443
+rect 51046 -5490 51098 -5438
+rect 51238 -5491 51290 -5439
+rect 51428 -5490 51480 -5438
+rect 51622 -5501 51674 -5449
+rect 51811 -5499 51863 -5447
+rect 52001 -5499 52053 -5447
+rect 52200 -5500 52252 -5448
+rect 52392 -5499 52444 -5447
+rect 52582 -5500 52634 -5448
+rect 52774 -5493 52826 -5441
+rect 52966 -5495 53018 -5443
+rect 53159 -5494 53211 -5442
+rect 53351 -5492 53403 -5440
+rect 53542 -5492 53594 -5440
+rect 53736 -5497 53788 -5445
+rect 53927 -5493 53979 -5441
+rect 54119 -5489 54171 -5437
+rect 54312 -5493 54364 -5441
+rect 54504 -5493 54556 -5441
+rect 54697 -5494 54749 -5442
+rect 54889 -5492 54941 -5440
+rect 55080 -5491 55132 -5439
+rect 55271 -5490 55323 -5438
+rect 55464 -5490 55516 -5438
+rect 55655 -5499 55707 -5447
+rect 55846 -5500 55898 -5448
+rect 56036 -5501 56088 -5449
+rect 56230 -5505 56282 -5453
+rect 56422 -5507 56474 -5455
+rect 56616 -5506 56668 -5454
+rect 56808 -5503 56860 -5451
+rect 56997 -5500 57049 -5448
+rect 57191 -5498 57243 -5446
+rect 57384 -5499 57436 -5447
+rect 57577 -5500 57629 -5448
+rect 57766 -5500 57818 -5448
+rect 57959 -5500 58011 -5448
+rect 58151 -5500 58203 -5448
+rect 58342 -5500 58394 -5448
+rect 58535 -5500 58587 -5448
+rect 58726 -5499 58778 -5447
+rect 58921 -5500 58973 -5448
+rect 59110 -5500 59162 -5448
+rect 59303 -5500 59355 -5448
+rect 59495 -5500 59547 -5448
+rect 59686 -5500 59738 -5448
+rect 59878 -5500 59930 -5448
+rect 60071 -5500 60123 -5448
+rect 60260 -5501 60312 -5449
+rect 60454 -5500 60506 -5448
+rect 60645 -5500 60697 -5448
+rect 60838 -5500 60890 -5448
+rect 61030 -5500 61082 -5448
+rect 61222 -5501 61274 -5449
+rect 61415 -5499 61467 -5447
+rect 61605 -5499 61657 -5447
+rect 61798 -5501 61850 -5449
+rect 61990 -5500 62042 -5448
+rect 62183 -5499 62235 -5447
+rect 62374 -5499 62426 -5447
+rect 62566 -5500 62618 -5448
+rect 62757 -5500 62809 -5448
+rect 62949 -5500 63001 -5448
+rect 63143 -5499 63195 -5447
+rect 63335 -5500 63387 -5448
+rect 63525 -5501 63577 -5449
+rect 63716 -5502 63768 -5450
+rect 63909 -5500 63961 -5448
+rect 64102 -5499 64154 -5447
+rect 64295 -5500 64347 -5448
+rect 64486 -5500 64538 -5448
+rect 64677 -5500 64729 -5448
+rect 64870 -5500 64922 -5448
+rect 65063 -5500 65115 -5448
+rect 65254 -5500 65306 -5448
+rect 65445 -5499 65497 -5447
+rect 65636 -5499 65688 -5447
+rect 65829 -5500 65881 -5448
+rect 66023 -5500 66075 -5448
+rect 66211 -5501 66263 -5449
+rect 66405 -5509 66457 -5457
+rect 49991 -5637 50043 -5585
+rect 50182 -5639 50234 -5587
+rect 50374 -5638 50426 -5586
+rect 50566 -5641 50618 -5589
+rect 50760 -5640 50812 -5588
+rect 50950 -5640 51002 -5588
+rect 51145 -5638 51197 -5586
+rect 51336 -5637 51388 -5585
+rect 51531 -5639 51583 -5587
+rect 51721 -5639 51773 -5587
+rect 51911 -5638 51963 -5586
+rect 52104 -5637 52156 -5585
+rect 52295 -5637 52347 -5585
+rect 52491 -5639 52543 -5587
+rect 52680 -5639 52732 -5587
+rect 52874 -5639 52926 -5587
+rect 53063 -5639 53115 -5587
+rect 53255 -5640 53307 -5588
+rect 53448 -5640 53500 -5588
+rect 53639 -5640 53691 -5588
+rect 53831 -5639 53883 -5587
+rect 54023 -5640 54075 -5588
+rect 54216 -5640 54268 -5588
+rect 54407 -5640 54459 -5588
+rect 54599 -5639 54651 -5587
+rect 54792 -5640 54844 -5588
+rect 54984 -5640 55036 -5588
+rect 55175 -5640 55227 -5588
+rect 55366 -5640 55418 -5588
+rect 55558 -5640 55610 -5588
+rect 55751 -5639 55803 -5587
+rect 55942 -5639 55994 -5587
+rect 56136 -5640 56188 -5588
+rect 56328 -5640 56380 -5588
+rect 56520 -5640 56572 -5588
+rect 56712 -5640 56764 -5588
+rect 56904 -5640 56956 -5588
+rect 57096 -5640 57148 -5588
+rect 57288 -5640 57340 -5588
+rect 57479 -5640 57531 -5588
+rect 57671 -5640 57723 -5588
+rect 57864 -5640 57916 -5588
+rect 58056 -5640 58108 -5588
+rect 58248 -5640 58300 -5588
+rect 58439 -5640 58491 -5588
+rect 58631 -5640 58683 -5588
+rect 58822 -5640 58874 -5588
+rect 59013 -5640 59065 -5588
+rect 59207 -5640 59259 -5588
+rect 59399 -5640 59451 -5588
+rect 59592 -5639 59644 -5587
+rect 59783 -5640 59835 -5588
+rect 59975 -5640 60027 -5588
+rect 60168 -5640 60220 -5588
+rect 60360 -5640 60412 -5588
+rect 60553 -5639 60605 -5587
+rect 60744 -5640 60796 -5588
+rect 60936 -5640 60988 -5588
+rect 61127 -5640 61179 -5588
+rect 61319 -5640 61371 -5588
+rect 61511 -5640 61563 -5588
+rect 61704 -5640 61756 -5588
+rect 61895 -5640 61947 -5588
+rect 62087 -5640 62139 -5588
+rect 62279 -5639 62331 -5587
+rect 62471 -5640 62523 -5588
+rect 62661 -5640 62713 -5588
+rect 62855 -5640 62907 -5588
+rect 63047 -5639 63099 -5587
+rect 63238 -5640 63290 -5588
+rect 63431 -5640 63483 -5588
+rect 63622 -5639 63674 -5587
+rect 63814 -5640 63866 -5588
+rect 64005 -5640 64057 -5588
+rect 64199 -5639 64251 -5587
+rect 64390 -5640 64442 -5588
+rect 64582 -5640 64634 -5588
+rect 64774 -5640 64826 -5588
+rect 64966 -5640 65018 -5588
+rect 65159 -5640 65211 -5588
+rect 65350 -5640 65402 -5588
+rect 65543 -5639 65595 -5587
+rect 65734 -5640 65786 -5588
+rect 65927 -5640 65979 -5588
+rect 66118 -5640 66170 -5588
+rect 66309 -5638 66361 -5586
+rect 66504 -5640 66556 -5588
+rect 49430 -6126 49482 -6074
+rect 52047 -6123 52099 -6071
+rect 52237 -6122 52289 -6070
+rect 52429 -6121 52481 -6069
+rect 52620 -6121 52672 -6069
+rect 52814 -6121 52866 -6069
+rect 53004 -6122 53056 -6070
+rect 53198 -6121 53250 -6069
+rect 53390 -6121 53442 -6069
+rect 53581 -6122 53633 -6070
+rect 53776 -6122 53828 -6070
+rect 53966 -6122 54018 -6070
+rect 54157 -6122 54209 -6070
+rect 54349 -6122 54401 -6070
+rect 54542 -6121 54594 -6069
+rect 54734 -6122 54786 -6070
+rect 54926 -6122 54978 -6070
+rect 55119 -6122 55171 -6070
+rect 55310 -6121 55362 -6069
+rect 55502 -6122 55554 -6070
+rect 55694 -6121 55746 -6069
+rect 55886 -6121 55938 -6069
+rect 56077 -6122 56129 -6070
+rect 56270 -6121 56322 -6069
+rect 56462 -6121 56514 -6069
+rect 56656 -6122 56708 -6070
+rect 56847 -6123 56899 -6071
+rect 57036 -6122 57088 -6070
+rect 57229 -6122 57281 -6070
+rect 57422 -6122 57474 -6070
+rect 57613 -6121 57665 -6069
+rect 57804 -6121 57856 -6069
+rect 51949 -6262 52001 -6210
+rect 52141 -6262 52193 -6210
+rect 52334 -6262 52386 -6210
+rect 52526 -6262 52578 -6210
+rect 52719 -6262 52771 -6210
+rect 52911 -6261 52963 -6209
+rect 53102 -6262 53154 -6210
+rect 53294 -6262 53346 -6210
+rect 53486 -6261 53538 -6209
+rect 53678 -6261 53730 -6209
+rect 53870 -6262 53922 -6210
+rect 54062 -6261 54114 -6209
+rect 54254 -6262 54306 -6210
+rect 54445 -6261 54497 -6209
+rect 54639 -6262 54691 -6210
+rect 54829 -6262 54881 -6210
+rect 55021 -6262 55073 -6210
+rect 55214 -6262 55266 -6210
+rect 55405 -6262 55457 -6210
+rect 55598 -6261 55650 -6209
+rect 55791 -6262 55843 -6210
+rect 55982 -6262 56034 -6210
+rect 56175 -6262 56227 -6210
+rect 56365 -6262 56417 -6210
+rect 56559 -6261 56611 -6209
+rect 56748 -6262 56800 -6210
+rect 56941 -6262 56993 -6210
+rect 57134 -6262 57186 -6210
+rect 57324 -6262 57376 -6210
+rect 57517 -6261 57569 -6209
+rect 57706 -6261 57758 -6209
+rect 51116 -6448 51168 -6396
+rect 52040 -6380 52100 -6320
+rect 57800 -6370 57860 -6310
+rect 66918 -6002 66970 -5950
+rect 58241 -6122 58293 -6070
+rect 58431 -6121 58483 -6069
+rect 58623 -6120 58675 -6068
+rect 58814 -6120 58866 -6068
+rect 59008 -6120 59060 -6068
+rect 59198 -6121 59250 -6069
+rect 59392 -6120 59444 -6068
+rect 59584 -6120 59636 -6068
+rect 59775 -6121 59827 -6069
+rect 59970 -6121 60022 -6069
+rect 60160 -6121 60212 -6069
+rect 60351 -6121 60403 -6069
+rect 60543 -6121 60595 -6069
+rect 60736 -6120 60788 -6068
+rect 60928 -6121 60980 -6069
+rect 61120 -6121 61172 -6069
+rect 61313 -6121 61365 -6069
+rect 61504 -6120 61556 -6068
+rect 61696 -6121 61748 -6069
+rect 61888 -6120 61940 -6068
+rect 62080 -6120 62132 -6068
+rect 62271 -6121 62323 -6069
+rect 62464 -6120 62516 -6068
+rect 62656 -6120 62708 -6068
+rect 62850 -6121 62902 -6069
+rect 63041 -6122 63093 -6070
+rect 63230 -6121 63282 -6069
+rect 63423 -6121 63475 -6069
+rect 63616 -6121 63668 -6069
+rect 63807 -6120 63859 -6068
+rect 63998 -6120 64050 -6068
+rect 58143 -6261 58195 -6209
+rect 58335 -6261 58387 -6209
+rect 58528 -6261 58580 -6209
+rect 58720 -6261 58772 -6209
+rect 58913 -6261 58965 -6209
+rect 59105 -6260 59157 -6208
+rect 59296 -6261 59348 -6209
+rect 59488 -6261 59540 -6209
+rect 59680 -6260 59732 -6208
+rect 59872 -6260 59924 -6208
+rect 60064 -6261 60116 -6209
+rect 60256 -6260 60308 -6208
+rect 60448 -6261 60500 -6209
+rect 60639 -6260 60691 -6208
+rect 60833 -6261 60885 -6209
+rect 61023 -6261 61075 -6209
+rect 61215 -6261 61267 -6209
+rect 61408 -6261 61460 -6209
+rect 61599 -6261 61651 -6209
+rect 61792 -6260 61844 -6208
+rect 61985 -6261 62037 -6209
+rect 62176 -6261 62228 -6209
+rect 62369 -6261 62421 -6209
+rect 62559 -6261 62611 -6209
+rect 62753 -6260 62805 -6208
+rect 62942 -6261 62994 -6209
+rect 63135 -6261 63187 -6209
+rect 63328 -6261 63380 -6209
+rect 63518 -6261 63570 -6209
+rect 63711 -6260 63763 -6208
+rect 63900 -6260 63952 -6208
+rect 68930 -6272 69296 -5188
+rect 58230 -6370 58290 -6310
+rect 63990 -6360 64050 -6300
+rect 64530 -6432 64582 -6380
+rect 51116 -6552 51168 -6500
+rect 64536 -6548 64588 -6496
+rect 47254 -7752 47614 -6678
+rect 49436 -6924 49488 -6872
+rect 52048 -6741 52100 -6689
+rect 52238 -6740 52290 -6688
+rect 52430 -6739 52482 -6687
+rect 52621 -6739 52673 -6687
+rect 52815 -6739 52867 -6687
+rect 53005 -6740 53057 -6688
+rect 53199 -6739 53251 -6687
+rect 53391 -6739 53443 -6687
+rect 53582 -6740 53634 -6688
+rect 53777 -6740 53829 -6688
+rect 53967 -6740 54019 -6688
+rect 54158 -6740 54210 -6688
+rect 54350 -6740 54402 -6688
+rect 54543 -6739 54595 -6687
+rect 54735 -6740 54787 -6688
+rect 54927 -6740 54979 -6688
+rect 55120 -6740 55172 -6688
+rect 55311 -6739 55363 -6687
+rect 55503 -6740 55555 -6688
+rect 55695 -6739 55747 -6687
+rect 55887 -6739 55939 -6687
+rect 56078 -6740 56130 -6688
+rect 56271 -6739 56323 -6687
+rect 56463 -6739 56515 -6687
+rect 56657 -6740 56709 -6688
+rect 56848 -6741 56900 -6689
+rect 57037 -6740 57089 -6688
+rect 57230 -6740 57282 -6688
+rect 57423 -6740 57475 -6688
+rect 57614 -6739 57666 -6687
+rect 57805 -6739 57857 -6687
+rect 51950 -6880 52002 -6828
+rect 52142 -6880 52194 -6828
+rect 52335 -6880 52387 -6828
+rect 52527 -6880 52579 -6828
+rect 52720 -6880 52772 -6828
+rect 52912 -6879 52964 -6827
+rect 53103 -6880 53155 -6828
+rect 53295 -6880 53347 -6828
+rect 53487 -6879 53539 -6827
+rect 53679 -6879 53731 -6827
+rect 53871 -6880 53923 -6828
+rect 54063 -6879 54115 -6827
+rect 54255 -6880 54307 -6828
+rect 54446 -6879 54498 -6827
+rect 54640 -6880 54692 -6828
+rect 54830 -6880 54882 -6828
+rect 55022 -6880 55074 -6828
+rect 55215 -6880 55267 -6828
+rect 55406 -6880 55458 -6828
+rect 55599 -6879 55651 -6827
+rect 55792 -6880 55844 -6828
+rect 55983 -6880 56035 -6828
+rect 56176 -6880 56228 -6828
+rect 56366 -6880 56418 -6828
+rect 56560 -6879 56612 -6827
+rect 56749 -6880 56801 -6828
+rect 56942 -6880 56994 -6828
+rect 57135 -6880 57187 -6828
+rect 57325 -6880 57377 -6828
+rect 57518 -6879 57570 -6827
+rect 57707 -6879 57759 -6827
+rect 58236 -6740 58288 -6688
+rect 58426 -6739 58478 -6687
+rect 58618 -6738 58670 -6686
+rect 58809 -6738 58861 -6686
+rect 59003 -6738 59055 -6686
+rect 59193 -6739 59245 -6687
+rect 59387 -6738 59439 -6686
+rect 59579 -6738 59631 -6686
+rect 59770 -6739 59822 -6687
+rect 59965 -6739 60017 -6687
+rect 60155 -6739 60207 -6687
+rect 60346 -6739 60398 -6687
+rect 60538 -6739 60590 -6687
+rect 60731 -6738 60783 -6686
+rect 60923 -6739 60975 -6687
+rect 61115 -6739 61167 -6687
+rect 61308 -6739 61360 -6687
+rect 61499 -6738 61551 -6686
+rect 61691 -6739 61743 -6687
+rect 61883 -6738 61935 -6686
+rect 62075 -6738 62127 -6686
+rect 62266 -6739 62318 -6687
+rect 62459 -6738 62511 -6686
+rect 62651 -6738 62703 -6686
+rect 62845 -6739 62897 -6687
+rect 63036 -6740 63088 -6688
+rect 63225 -6739 63277 -6687
+rect 63418 -6739 63470 -6687
+rect 63611 -6739 63663 -6687
+rect 63802 -6738 63854 -6686
+rect 63993 -6738 64045 -6686
+rect 58138 -6879 58190 -6827
+rect 58330 -6879 58382 -6827
+rect 58523 -6879 58575 -6827
+rect 58715 -6879 58767 -6827
+rect 58908 -6879 58960 -6827
+rect 59100 -6878 59152 -6826
+rect 59291 -6879 59343 -6827
+rect 59483 -6879 59535 -6827
+rect 59675 -6878 59727 -6826
+rect 59867 -6878 59919 -6826
+rect 60059 -6879 60111 -6827
+rect 60251 -6878 60303 -6826
+rect 60443 -6879 60495 -6827
+rect 60634 -6878 60686 -6826
+rect 60828 -6879 60880 -6827
+rect 61018 -6879 61070 -6827
+rect 61210 -6879 61262 -6827
+rect 61403 -6879 61455 -6827
+rect 61594 -6879 61646 -6827
+rect 61787 -6878 61839 -6826
+rect 61980 -6879 62032 -6827
+rect 62171 -6879 62223 -6827
+rect 62364 -6879 62416 -6827
+rect 62554 -6879 62606 -6827
+rect 62748 -6878 62800 -6826
+rect 62937 -6879 62989 -6827
+rect 63130 -6879 63182 -6827
+rect 63323 -6879 63375 -6827
+rect 63513 -6879 63565 -6827
+rect 63706 -6878 63758 -6826
+rect 63895 -6878 63947 -6826
+rect 66912 -6902 66964 -6850
+rect 50087 -7354 50139 -7302
+rect 50277 -7353 50329 -7301
+rect 50470 -7356 50522 -7304
+rect 50662 -7351 50714 -7299
+rect 50854 -7355 50906 -7303
+rect 51046 -7350 51098 -7298
+rect 51238 -7351 51290 -7299
+rect 51428 -7350 51480 -7298
+rect 51622 -7361 51674 -7309
+rect 51811 -7359 51863 -7307
+rect 52001 -7359 52053 -7307
+rect 52200 -7360 52252 -7308
+rect 52392 -7359 52444 -7307
+rect 52582 -7360 52634 -7308
+rect 52774 -7353 52826 -7301
+rect 52966 -7355 53018 -7303
+rect 53159 -7354 53211 -7302
+rect 53351 -7352 53403 -7300
+rect 53542 -7352 53594 -7300
+rect 53736 -7357 53788 -7305
+rect 53927 -7353 53979 -7301
+rect 54119 -7349 54171 -7297
+rect 54312 -7353 54364 -7301
+rect 54504 -7353 54556 -7301
+rect 54697 -7354 54749 -7302
+rect 54889 -7352 54941 -7300
+rect 55080 -7351 55132 -7299
+rect 55271 -7350 55323 -7298
+rect 55464 -7350 55516 -7298
+rect 55655 -7359 55707 -7307
+rect 55846 -7360 55898 -7308
+rect 56036 -7361 56088 -7309
+rect 56230 -7365 56282 -7313
+rect 56422 -7367 56474 -7315
+rect 56616 -7366 56668 -7314
+rect 56808 -7363 56860 -7311
+rect 56997 -7360 57049 -7308
+rect 57191 -7358 57243 -7306
+rect 57384 -7359 57436 -7307
+rect 57577 -7360 57629 -7308
+rect 57766 -7360 57818 -7308
+rect 57959 -7360 58011 -7308
+rect 58151 -7360 58203 -7308
+rect 58342 -7360 58394 -7308
+rect 58535 -7360 58587 -7308
+rect 58726 -7359 58778 -7307
+rect 58921 -7360 58973 -7308
+rect 59110 -7360 59162 -7308
+rect 59303 -7360 59355 -7308
+rect 59495 -7360 59547 -7308
+rect 59686 -7360 59738 -7308
+rect 59878 -7360 59930 -7308
+rect 60071 -7360 60123 -7308
+rect 60260 -7361 60312 -7309
+rect 60454 -7360 60506 -7308
+rect 60645 -7360 60697 -7308
+rect 60838 -7360 60890 -7308
+rect 61030 -7360 61082 -7308
+rect 61222 -7361 61274 -7309
+rect 61415 -7359 61467 -7307
+rect 61605 -7359 61657 -7307
+rect 61798 -7361 61850 -7309
+rect 61990 -7360 62042 -7308
+rect 62183 -7359 62235 -7307
+rect 62374 -7359 62426 -7307
+rect 62566 -7360 62618 -7308
+rect 62757 -7360 62809 -7308
+rect 62949 -7360 63001 -7308
+rect 63143 -7359 63195 -7307
+rect 63335 -7360 63387 -7308
+rect 63525 -7361 63577 -7309
+rect 63716 -7362 63768 -7310
+rect 63909 -7360 63961 -7308
+rect 64102 -7359 64154 -7307
+rect 64295 -7360 64347 -7308
+rect 64486 -7360 64538 -7308
+rect 64677 -7360 64729 -7308
+rect 64870 -7360 64922 -7308
+rect 65063 -7360 65115 -7308
+rect 65254 -7360 65306 -7308
+rect 65445 -7359 65497 -7307
+rect 65636 -7359 65688 -7307
+rect 65829 -7360 65881 -7308
+rect 66023 -7360 66075 -7308
+rect 66211 -7361 66263 -7309
+rect 66405 -7369 66457 -7317
+rect 49991 -7497 50043 -7445
+rect 50182 -7499 50234 -7447
+rect 50374 -7498 50426 -7446
+rect 50566 -7501 50618 -7449
+rect 50760 -7500 50812 -7448
+rect 50950 -7500 51002 -7448
+rect 51145 -7498 51197 -7446
+rect 51336 -7497 51388 -7445
+rect 51531 -7499 51583 -7447
+rect 51721 -7499 51773 -7447
+rect 51911 -7498 51963 -7446
+rect 52104 -7497 52156 -7445
+rect 52295 -7497 52347 -7445
+rect 52491 -7499 52543 -7447
+rect 52680 -7499 52732 -7447
+rect 52874 -7499 52926 -7447
+rect 53063 -7499 53115 -7447
+rect 53255 -7500 53307 -7448
+rect 53448 -7500 53500 -7448
+rect 53639 -7500 53691 -7448
+rect 53831 -7499 53883 -7447
+rect 54023 -7500 54075 -7448
+rect 54216 -7500 54268 -7448
+rect 54407 -7500 54459 -7448
+rect 54599 -7499 54651 -7447
+rect 54792 -7500 54844 -7448
+rect 54984 -7500 55036 -7448
+rect 55175 -7500 55227 -7448
+rect 55366 -7500 55418 -7448
+rect 55558 -7500 55610 -7448
+rect 55751 -7499 55803 -7447
+rect 55942 -7499 55994 -7447
+rect 56136 -7500 56188 -7448
+rect 56328 -7500 56380 -7448
+rect 56520 -7500 56572 -7448
+rect 56712 -7500 56764 -7448
+rect 56904 -7500 56956 -7448
+rect 57096 -7500 57148 -7448
+rect 57288 -7500 57340 -7448
+rect 57479 -7500 57531 -7448
+rect 57671 -7500 57723 -7448
+rect 57864 -7500 57916 -7448
+rect 58056 -7500 58108 -7448
+rect 58248 -7500 58300 -7448
+rect 58439 -7500 58491 -7448
+rect 58631 -7500 58683 -7448
+rect 58822 -7500 58874 -7448
+rect 59013 -7500 59065 -7448
+rect 59207 -7500 59259 -7448
+rect 59399 -7500 59451 -7448
+rect 59592 -7499 59644 -7447
+rect 59783 -7500 59835 -7448
+rect 59975 -7500 60027 -7448
+rect 60168 -7500 60220 -7448
+rect 60360 -7500 60412 -7448
+rect 60553 -7499 60605 -7447
+rect 60744 -7500 60796 -7448
+rect 60936 -7500 60988 -7448
+rect 61127 -7500 61179 -7448
+rect 61319 -7500 61371 -7448
+rect 61511 -7500 61563 -7448
+rect 61704 -7500 61756 -7448
+rect 61895 -7500 61947 -7448
+rect 62087 -7500 62139 -7448
+rect 62279 -7499 62331 -7447
+rect 62471 -7500 62523 -7448
+rect 62661 -7500 62713 -7448
+rect 62855 -7500 62907 -7448
+rect 63047 -7499 63099 -7447
+rect 63238 -7500 63290 -7448
+rect 63431 -7500 63483 -7448
+rect 63622 -7499 63674 -7447
+rect 63814 -7500 63866 -7448
+rect 64005 -7500 64057 -7448
+rect 64199 -7499 64251 -7447
+rect 64390 -7500 64442 -7448
+rect 64582 -7500 64634 -7448
+rect 64774 -7500 64826 -7448
+rect 64966 -7500 65018 -7448
+rect 65159 -7500 65211 -7448
+rect 65350 -7500 65402 -7448
+rect 65543 -7499 65595 -7447
+rect 65734 -7500 65786 -7448
+rect 65927 -7500 65979 -7448
+rect 66118 -7500 66170 -7448
+rect 66309 -7498 66361 -7446
+rect 66504 -7500 66556 -7448
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+rect 68924 -7756 69290 -6672
+<< metal2 >>
+rect 68894 -4738 69326 -4736
+rect 68674 -4740 69326 -4738
+rect 47224 -5130 69326 -4740
+rect 47224 -5190 47652 -5130
+rect 47224 -6264 47260 -5190
+rect 47620 -6264 47652 -5190
+rect 48286 -5178 48458 -5168
+rect 68070 -5178 68242 -5168
+rect 48286 -5246 48458 -5236
+rect 49920 -5188 66568 -5178
+rect 68070 -5246 68242 -5236
+rect 68894 -5188 69326 -5130
+rect 49920 -5290 66568 -5280
+rect 50090 -5432 50160 -5290
+rect 50087 -5440 50160 -5432
+rect 50277 -5440 50329 -5431
+rect 50470 -5440 50522 -5434
+rect 50662 -5439 50714 -5430
+rect 50087 -5441 50662 -5440
+rect 50087 -5442 50277 -5441
+rect 50139 -5470 50277 -5442
+rect 50087 -5504 50139 -5494
+rect 50329 -5444 50662 -5441
+rect 50329 -5470 50470 -5444
+rect 50277 -5503 50329 -5493
+rect 50522 -5470 50662 -5444
+rect 50470 -5506 50522 -5496
+rect 50854 -5440 50906 -5433
+rect 51046 -5438 51098 -5430
+rect 50714 -5443 51046 -5440
+rect 50714 -5470 50854 -5443
+rect 50662 -5501 50714 -5491
+rect 50906 -5470 51046 -5443
+rect 50854 -5505 50906 -5495
+rect 51238 -5439 51290 -5430
+rect 51098 -5470 51238 -5440
+rect 51046 -5500 51098 -5490
+rect 51428 -5438 51480 -5430
+rect 51290 -5470 51428 -5440
+rect 51238 -5501 51290 -5491
+rect 51622 -5440 51674 -5439
+rect 51811 -5440 51863 -5437
+rect 52001 -5440 52053 -5437
+rect 52200 -5440 52252 -5438
+rect 52392 -5440 52444 -5437
+rect 52582 -5440 52634 -5438
+rect 52774 -5440 52826 -5431
+rect 52966 -5440 53018 -5433
+rect 53159 -5440 53211 -5432
+rect 53351 -5440 53403 -5430
+rect 53542 -5440 53594 -5430
+rect 53736 -5440 53788 -5435
+rect 53927 -5440 53979 -5431
+rect 54119 -5437 54171 -5430
+rect 51480 -5441 53351 -5440
+rect 51480 -5447 52774 -5441
+rect 51480 -5449 51811 -5447
+rect 51480 -5470 51622 -5449
+rect 51428 -5500 51480 -5490
+rect 51674 -5470 51811 -5449
+rect 51622 -5511 51674 -5501
+rect 51863 -5470 52001 -5447
+rect 51811 -5509 51863 -5499
+rect 52053 -5448 52392 -5447
+rect 52053 -5470 52200 -5448
+rect 52001 -5509 52053 -5499
+rect 52252 -5470 52392 -5448
+rect 52200 -5510 52252 -5500
+rect 52444 -5448 52774 -5447
+rect 52444 -5470 52582 -5448
+rect 52392 -5509 52444 -5499
+rect 52634 -5470 52774 -5448
+rect 52582 -5510 52634 -5500
+rect 52826 -5442 53351 -5441
+rect 52826 -5443 53159 -5442
+rect 52826 -5470 52966 -5443
+rect 52774 -5503 52826 -5493
+rect 53018 -5470 53159 -5443
+rect 52966 -5505 53018 -5495
+rect 53211 -5470 53351 -5442
+rect 53159 -5504 53211 -5494
+rect 53403 -5470 53542 -5440
+rect 53351 -5502 53403 -5492
+rect 53594 -5441 54119 -5440
+rect 53594 -5445 53927 -5441
+rect 53594 -5470 53736 -5445
+rect 53542 -5502 53594 -5492
+rect 53788 -5470 53927 -5445
+rect 53736 -5507 53788 -5497
+rect 53979 -5470 54119 -5441
+rect 53927 -5503 53979 -5493
+rect 54312 -5440 54364 -5431
+rect 54504 -5440 54556 -5431
+rect 54697 -5440 54749 -5432
+rect 54889 -5440 54941 -5430
+rect 55080 -5439 55132 -5430
+rect 54171 -5441 54889 -5440
+rect 54171 -5470 54312 -5441
+rect 54119 -5499 54171 -5489
+rect 54364 -5470 54504 -5441
+rect 54312 -5503 54364 -5493
+rect 54556 -5442 54889 -5441
+rect 54556 -5470 54697 -5442
+rect 54504 -5503 54556 -5493
+rect 54749 -5470 54889 -5442
+rect 54697 -5504 54749 -5494
+rect 54941 -5470 55080 -5440
+rect 54889 -5502 54941 -5492
+rect 55271 -5438 55323 -5430
+rect 55132 -5470 55271 -5440
+rect 55080 -5501 55132 -5491
+rect 55464 -5438 55516 -5430
+rect 55323 -5470 55464 -5440
+rect 55271 -5500 55323 -5490
+rect 55655 -5440 55707 -5437
+rect 55846 -5440 55898 -5438
+rect 56036 -5440 56088 -5439
+rect 56997 -5440 57049 -5438
+rect 57191 -5440 57243 -5436
+rect 57384 -5440 57436 -5437
+rect 57577 -5440 57629 -5438
+rect 57766 -5440 57818 -5438
+rect 57959 -5440 58011 -5438
+rect 58151 -5440 58203 -5438
+rect 58342 -5440 58394 -5438
+rect 58535 -5440 58587 -5438
+rect 58726 -5440 58778 -5437
+rect 58921 -5440 58973 -5438
+rect 59110 -5440 59162 -5438
+rect 59303 -5440 59355 -5438
+rect 59495 -5440 59547 -5438
+rect 59686 -5440 59738 -5438
+rect 59878 -5440 59930 -5438
+rect 60071 -5440 60123 -5438
+rect 60260 -5440 60312 -5439
+rect 60454 -5440 60506 -5438
+rect 60645 -5440 60697 -5438
+rect 60838 -5440 60890 -5438
+rect 61030 -5440 61082 -5438
+rect 61222 -5440 61274 -5439
+rect 61415 -5440 61467 -5437
+rect 61605 -5440 61657 -5437
+rect 61798 -5440 61850 -5439
+rect 61990 -5440 62042 -5438
+rect 62183 -5440 62235 -5437
+rect 62374 -5440 62426 -5437
+rect 62566 -5440 62618 -5438
+rect 62757 -5440 62809 -5438
+rect 62949 -5440 63001 -5438
+rect 63143 -5440 63195 -5437
+rect 63335 -5440 63387 -5438
+rect 63525 -5440 63577 -5439
+rect 63909 -5440 63961 -5438
+rect 64102 -5440 64154 -5437
+rect 64295 -5440 64347 -5438
+rect 64486 -5440 64538 -5438
+rect 64677 -5440 64729 -5438
+rect 64870 -5440 64922 -5438
+rect 65063 -5440 65115 -5438
+rect 65254 -5440 65306 -5438
+rect 65445 -5440 65497 -5437
+rect 65636 -5440 65688 -5437
+rect 65829 -5440 65881 -5438
+rect 66023 -5440 66075 -5438
+rect 66211 -5440 66263 -5439
+rect 66400 -5440 66470 -5290
+rect 55516 -5446 66470 -5440
+rect 55516 -5447 57191 -5446
+rect 55516 -5470 55655 -5447
+rect 55464 -5500 55516 -5490
+rect 55707 -5448 57191 -5447
+rect 55707 -5470 55846 -5448
+rect 55655 -5509 55707 -5499
+rect 55898 -5449 56997 -5448
+rect 55898 -5470 56036 -5449
+rect 55846 -5510 55898 -5500
+rect 56088 -5451 56997 -5449
+rect 56088 -5453 56808 -5451
+rect 56088 -5470 56230 -5453
+rect 56036 -5511 56088 -5501
+rect 56282 -5454 56808 -5453
+rect 56282 -5455 56616 -5454
+rect 56282 -5470 56422 -5455
+rect 56230 -5515 56282 -5505
+rect 56474 -5470 56616 -5455
+rect 56422 -5517 56474 -5507
+rect 56668 -5470 56808 -5454
+rect 56616 -5516 56668 -5506
+rect 56860 -5470 56997 -5451
+rect 56808 -5513 56860 -5503
+rect 57049 -5470 57191 -5448
+rect 56997 -5510 57049 -5500
+rect 57243 -5447 66470 -5446
+rect 57243 -5470 57384 -5447
+rect 57191 -5508 57243 -5498
+rect 57436 -5448 58726 -5447
+rect 57436 -5470 57577 -5448
+rect 57384 -5509 57436 -5499
+rect 57629 -5470 57766 -5448
+rect 57577 -5510 57629 -5500
+rect 57818 -5470 57959 -5448
+rect 57766 -5510 57818 -5500
+rect 58011 -5470 58151 -5448
+rect 57959 -5510 58011 -5500
+rect 58203 -5470 58342 -5448
+rect 58151 -5510 58203 -5500
+rect 58394 -5470 58535 -5448
+rect 58342 -5510 58394 -5500
+rect 58587 -5470 58726 -5448
+rect 58535 -5510 58587 -5500
+rect 58778 -5448 61415 -5447
+rect 58778 -5470 58921 -5448
+rect 58726 -5509 58778 -5499
+rect 58973 -5470 59110 -5448
+rect 58921 -5510 58973 -5500
+rect 59162 -5470 59303 -5448
+rect 59110 -5510 59162 -5500
+rect 59355 -5470 59495 -5448
+rect 59303 -5510 59355 -5500
+rect 59547 -5470 59686 -5448
+rect 59495 -5510 59547 -5500
+rect 59738 -5470 59878 -5448
+rect 59686 -5510 59738 -5500
+rect 59930 -5470 60071 -5448
+rect 59878 -5510 59930 -5500
+rect 60123 -5449 60454 -5448
+rect 60123 -5470 60260 -5449
+rect 60071 -5510 60123 -5500
+rect 60312 -5470 60454 -5449
+rect 60260 -5511 60312 -5501
+rect 60506 -5470 60645 -5448
+rect 60454 -5510 60506 -5500
+rect 60697 -5470 60838 -5448
+rect 60645 -5510 60697 -5500
+rect 60890 -5470 61030 -5448
+rect 60838 -5510 60890 -5500
+rect 61082 -5449 61415 -5448
+rect 61082 -5470 61222 -5449
+rect 61030 -5510 61082 -5500
+rect 61274 -5470 61415 -5449
+rect 61222 -5511 61274 -5501
+rect 61467 -5470 61605 -5447
+rect 61415 -5509 61467 -5499
+rect 61657 -5448 62183 -5447
+rect 61657 -5449 61990 -5448
+rect 61657 -5470 61798 -5449
+rect 61605 -5509 61657 -5499
+rect 61850 -5470 61990 -5449
+rect 61798 -5511 61850 -5501
+rect 62042 -5470 62183 -5448
+rect 61990 -5510 62042 -5500
+rect 62235 -5470 62374 -5447
+rect 62183 -5509 62235 -5499
+rect 62426 -5448 63143 -5447
+rect 62426 -5470 62566 -5448
+rect 62374 -5509 62426 -5499
+rect 62618 -5470 62757 -5448
+rect 62566 -5510 62618 -5500
+rect 62809 -5470 62949 -5448
+rect 62757 -5510 62809 -5500
+rect 63001 -5470 63143 -5448
+rect 62949 -5510 63001 -5500
+rect 63195 -5448 64102 -5447
+rect 63195 -5470 63335 -5448
+rect 63143 -5509 63195 -5499
+rect 63387 -5449 63909 -5448
+rect 63387 -5470 63525 -5449
+rect 63335 -5510 63387 -5500
+rect 63577 -5450 63909 -5449
+rect 63577 -5470 63716 -5450
+rect 63525 -5511 63577 -5501
+rect 63768 -5470 63909 -5450
+rect 63716 -5512 63768 -5502
+rect 63961 -5470 64102 -5448
+rect 63909 -5510 63961 -5500
+rect 64154 -5448 65445 -5447
+rect 64154 -5470 64295 -5448
+rect 64102 -5509 64154 -5499
+rect 64347 -5470 64486 -5448
+rect 64295 -5510 64347 -5500
+rect 64538 -5470 64677 -5448
+rect 64486 -5510 64538 -5500
+rect 64729 -5470 64870 -5448
+rect 64677 -5510 64729 -5500
+rect 64922 -5470 65063 -5448
+rect 64870 -5510 64922 -5500
+rect 65115 -5470 65254 -5448
+rect 65063 -5510 65115 -5500
+rect 65306 -5470 65445 -5448
+rect 65254 -5510 65306 -5500
+rect 65497 -5470 65636 -5447
+rect 65445 -5509 65497 -5499
+rect 65688 -5448 66470 -5447
+rect 65688 -5470 65829 -5448
+rect 65636 -5509 65688 -5499
+rect 65881 -5470 66023 -5448
+rect 65829 -5510 65881 -5500
+rect 66075 -5449 66470 -5448
+rect 66075 -5470 66211 -5449
+rect 66023 -5510 66075 -5500
+rect 66263 -5457 66470 -5449
+rect 66263 -5470 66405 -5457
+rect 66211 -5511 66263 -5501
+rect 66457 -5470 66470 -5457
+rect 66405 -5519 66457 -5509
+rect 49991 -5585 50043 -5575
+rect 50182 -5587 50234 -5577
+rect 50043 -5630 50182 -5600
+rect 49991 -5647 50043 -5637
+rect 50374 -5586 50426 -5576
+rect 50234 -5630 50374 -5600
+rect 50182 -5649 50234 -5639
+rect 50566 -5589 50618 -5579
+rect 50426 -5630 50566 -5600
+rect 50374 -5648 50426 -5638
+rect 50760 -5588 50812 -5578
+rect 50618 -5630 50760 -5600
+rect 50566 -5651 50618 -5641
+rect 50950 -5588 51002 -5578
+rect 50812 -5630 50950 -5600
+rect 50760 -5650 50812 -5640
+rect 51145 -5586 51197 -5576
+rect 51002 -5630 51145 -5600
+rect 50950 -5650 51002 -5640
+rect 51336 -5585 51388 -5575
+rect 51197 -5630 51336 -5600
+rect 51145 -5648 51197 -5638
+rect 51531 -5587 51583 -5577
+rect 51388 -5630 51531 -5600
+rect 51336 -5647 51388 -5637
+rect 51721 -5587 51773 -5577
+rect 51583 -5630 51721 -5600
+rect 51531 -5649 51583 -5639
+rect 51911 -5586 51963 -5576
+rect 51773 -5630 51911 -5600
+rect 51721 -5649 51773 -5639
+rect 52104 -5585 52156 -5575
+rect 51963 -5630 52104 -5600
+rect 51911 -5648 51963 -5638
+rect 52050 -5637 52104 -5630
+rect 52295 -5585 52347 -5575
+rect 52156 -5630 52295 -5600
+rect 52050 -5647 52156 -5637
+rect 52491 -5587 52543 -5577
+rect 52347 -5630 52491 -5600
+rect 52295 -5647 52347 -5637
+rect 52430 -5639 52491 -5630
+rect 52680 -5587 52732 -5577
+rect 52543 -5630 52680 -5600
+rect 52050 -6060 52110 -5647
+rect 52430 -5649 52543 -5639
+rect 52874 -5587 52926 -5577
+rect 52732 -5630 52874 -5600
+rect 52680 -5649 52732 -5639
+rect 52820 -5639 52874 -5630
+rect 53063 -5587 53115 -5577
+rect 52926 -5630 53063 -5600
+rect 52820 -5649 52926 -5639
+rect 53255 -5588 53307 -5578
+rect 53115 -5630 53255 -5600
+rect 53063 -5649 53115 -5639
+rect 53200 -5640 53255 -5630
+rect 53448 -5588 53500 -5578
+rect 53307 -5630 53448 -5600
+rect 52430 -6059 52490 -5649
+rect 52820 -6059 52880 -5649
+rect 53200 -5650 53307 -5640
+rect 53639 -5588 53691 -5578
+rect 53500 -5630 53639 -5600
+rect 53448 -5650 53500 -5640
+rect 53580 -5640 53639 -5630
+rect 53831 -5587 53883 -5577
+rect 53691 -5630 53831 -5600
+rect 53580 -5650 53691 -5640
+rect 54023 -5588 54075 -5578
+rect 53883 -5630 54023 -5600
+rect 53831 -5649 53883 -5639
+rect 53970 -5640 54023 -5630
+rect 54216 -5588 54268 -5578
+rect 54075 -5630 54216 -5600
+rect 53970 -5650 54075 -5640
+rect 54407 -5588 54459 -5578
+rect 54268 -5630 54407 -5600
+rect 54216 -5650 54268 -5640
+rect 54350 -5640 54407 -5630
+rect 54599 -5587 54651 -5577
+rect 54459 -5630 54599 -5600
+rect 54350 -5650 54459 -5640
+rect 54792 -5588 54844 -5578
+rect 54651 -5630 54792 -5600
+rect 54599 -5649 54651 -5639
+rect 54740 -5640 54792 -5630
+rect 54984 -5588 55036 -5578
+rect 54844 -5630 54984 -5600
+rect 54740 -5650 54844 -5640
+rect 55175 -5588 55227 -5578
+rect 55036 -5630 55175 -5600
+rect 54984 -5650 55036 -5640
+rect 55120 -5640 55175 -5630
+rect 55366 -5588 55418 -5578
+rect 55227 -5630 55366 -5600
+rect 55120 -5650 55227 -5640
+rect 55558 -5588 55610 -5578
+rect 55418 -5630 55558 -5600
+rect 55366 -5650 55418 -5640
+rect 55500 -5640 55558 -5630
+rect 55751 -5587 55803 -5577
+rect 55610 -5630 55751 -5600
+rect 55500 -5650 55610 -5640
+rect 55942 -5587 55994 -5577
+rect 55803 -5630 55942 -5600
+rect 55751 -5649 55803 -5639
+rect 55890 -5639 55942 -5630
+rect 56136 -5588 56188 -5578
+rect 55994 -5630 56136 -5600
+rect 55890 -5649 55994 -5639
+rect 56328 -5588 56380 -5578
+rect 56188 -5630 56328 -5600
+rect 53200 -6059 53260 -5650
+rect 52429 -6060 52490 -6059
+rect 52620 -6060 52672 -6059
+rect 52814 -6060 52880 -6059
+rect 53198 -6060 53260 -6059
+rect 53390 -6060 53442 -6059
+rect 53580 -6060 53640 -5650
+rect 53970 -6060 54030 -5650
+rect 54350 -6060 54410 -5650
+rect 54542 -6060 54594 -6059
+rect 54740 -6060 54800 -5650
+rect 55120 -6060 55180 -5650
+rect 55310 -6060 55362 -6059
+rect 55500 -6060 55560 -5650
+rect 55890 -6059 55950 -5649
+rect 56136 -5650 56188 -5640
+rect 56270 -5640 56328 -5630
+rect 56520 -5588 56572 -5578
+rect 56380 -5630 56520 -5600
+rect 56270 -5650 56380 -5640
+rect 56712 -5588 56764 -5578
+rect 56572 -5630 56712 -5600
+rect 56520 -5650 56572 -5640
+rect 56660 -5640 56712 -5630
+rect 56904 -5588 56956 -5578
+rect 56764 -5630 56904 -5600
+rect 56660 -5650 56764 -5640
+rect 57096 -5588 57148 -5578
+rect 56956 -5630 57096 -5600
+rect 56904 -5650 56956 -5640
+rect 57040 -5640 57096 -5630
+rect 57288 -5588 57340 -5578
+rect 57148 -5630 57288 -5600
+rect 57040 -5650 57148 -5640
+rect 57479 -5588 57531 -5578
+rect 57340 -5630 57479 -5600
+rect 57288 -5650 57340 -5640
+rect 57420 -5640 57479 -5630
+rect 57671 -5588 57723 -5578
+rect 57531 -5630 57671 -5600
+rect 57420 -5650 57531 -5640
+rect 57864 -5588 57916 -5578
+rect 57723 -5630 57864 -5600
+rect 57671 -5650 57723 -5640
+rect 57810 -5640 57864 -5630
+rect 58056 -5588 58108 -5578
+rect 57916 -5630 58056 -5600
+rect 57810 -5650 57916 -5640
+rect 58248 -5588 58300 -5578
+rect 58108 -5630 58248 -5600
+rect 58056 -5650 58108 -5640
+rect 58240 -5640 58248 -5630
+rect 58439 -5588 58491 -5578
+rect 58300 -5630 58439 -5600
+rect 55694 -6060 55746 -6059
+rect 55886 -6060 55950 -6059
+rect 56270 -6060 56330 -5650
+rect 56462 -6060 56514 -6059
+rect 56660 -6060 56720 -5650
+rect 57040 -6060 57100 -5650
+rect 57420 -6060 57480 -5650
+rect 57810 -6059 57870 -5650
+rect 57613 -6060 57665 -6059
+rect 57804 -6060 57870 -6059
+rect 58240 -6060 58300 -5640
+rect 58631 -5588 58683 -5578
+rect 58491 -5630 58631 -5600
+rect 58439 -5650 58491 -5640
+rect 58620 -5640 58631 -5630
+rect 58822 -5588 58874 -5578
+rect 58683 -5630 58822 -5600
+rect 58620 -5650 58683 -5640
+rect 59013 -5588 59065 -5578
+rect 58874 -5630 59013 -5600
+rect 58822 -5650 58874 -5640
+rect 59010 -5640 59013 -5630
+rect 59207 -5588 59259 -5578
+rect 59065 -5630 59207 -5600
+rect 59065 -5640 59070 -5630
+rect 52050 -6061 58300 -6060
+rect 58431 -6061 58483 -6059
+rect 58620 -6061 58680 -5650
+rect 59010 -6058 59070 -5640
+rect 59399 -5588 59451 -5578
+rect 59259 -5630 59399 -5600
+rect 59207 -5650 59259 -5640
+rect 59592 -5587 59644 -5577
+rect 59451 -5630 59592 -5600
+rect 59451 -5640 59460 -5630
+rect 59399 -5650 59460 -5640
+rect 59783 -5588 59835 -5578
+rect 59644 -5630 59783 -5600
+rect 59592 -5649 59644 -5639
+rect 59780 -5640 59783 -5630
+rect 59975 -5588 60027 -5578
+rect 59835 -5630 59975 -5600
+rect 59835 -5640 59840 -5630
+rect 59400 -6058 59460 -5650
+rect 58814 -6061 58866 -6058
+rect 59008 -6061 59070 -6058
+rect 59198 -6061 59250 -6059
+rect 59392 -6061 59460 -6058
+rect 59584 -6061 59636 -6058
+rect 59780 -6059 59840 -5640
+rect 60168 -5588 60220 -5578
+rect 60027 -5630 60168 -5600
+rect 59975 -5650 60027 -5640
+rect 60160 -5640 60168 -5630
+rect 60360 -5588 60412 -5578
+rect 60220 -5630 60360 -5600
+rect 59775 -6061 59840 -6059
+rect 59970 -6061 60022 -6059
+rect 60160 -6061 60220 -5640
+rect 60553 -5587 60605 -5577
+rect 60412 -5630 60553 -5600
+rect 60360 -5650 60412 -5640
+rect 60540 -5639 60553 -5630
+rect 60744 -5588 60796 -5578
+rect 60605 -5630 60744 -5600
+rect 60540 -5649 60605 -5639
+rect 60936 -5588 60988 -5578
+rect 60796 -5630 60936 -5600
+rect 60351 -6061 60403 -6059
+rect 60540 -6061 60600 -5649
+rect 60744 -5650 60796 -5640
+rect 60930 -5640 60936 -5630
+rect 61127 -5588 61179 -5578
+rect 60988 -5630 61127 -5600
+rect 60988 -5640 60990 -5630
+rect 60736 -6061 60788 -6058
+rect 60930 -6059 60990 -5640
+rect 61319 -5588 61371 -5578
+rect 61179 -5630 61319 -5600
+rect 61127 -5650 61179 -5640
+rect 61511 -5588 61563 -5578
+rect 61371 -5630 61511 -5600
+rect 61371 -5640 61380 -5630
+rect 61319 -5650 61380 -5640
+rect 61704 -5588 61756 -5578
+rect 61563 -5630 61704 -5600
+rect 61511 -5650 61563 -5640
+rect 61700 -5640 61704 -5630
+rect 61895 -5588 61947 -5578
+rect 61756 -5630 61895 -5600
+rect 61756 -5640 61760 -5630
+rect 61320 -6059 61380 -5650
+rect 60928 -6061 60990 -6059
+rect 61120 -6061 61172 -6059
+rect 61313 -6061 61380 -6059
+rect 61504 -6061 61556 -6058
+rect 61700 -6059 61760 -5640
+rect 62087 -5588 62139 -5578
+rect 61947 -5630 62087 -5600
+rect 61895 -5650 61947 -5640
+rect 62080 -5640 62087 -5630
+rect 62279 -5587 62331 -5577
+rect 62139 -5630 62279 -5600
+rect 62139 -5640 62140 -5630
+rect 61696 -6061 61760 -6059
+rect 61888 -6061 61940 -6058
+rect 62080 -6061 62140 -5640
+rect 62471 -5588 62523 -5578
+rect 62331 -5630 62471 -5600
+rect 62279 -5649 62331 -5639
+rect 62470 -5640 62471 -5630
+rect 62661 -5588 62713 -5578
+rect 62523 -5630 62661 -5600
+rect 62523 -5640 62530 -5630
+rect 62470 -6058 62530 -5640
+rect 62855 -5588 62907 -5578
+rect 62713 -5630 62855 -5600
+rect 62661 -5650 62713 -5640
+rect 62850 -5640 62855 -5630
+rect 63047 -5587 63099 -5577
+rect 62907 -5630 63047 -5600
+rect 62907 -5640 62910 -5630
+rect 62271 -6061 62323 -6059
+rect 62464 -6061 62530 -6058
+rect 62656 -6061 62708 -6058
+rect 62850 -6061 62910 -5640
+rect 63238 -5588 63290 -5578
+rect 63099 -5630 63238 -5600
+rect 63047 -5649 63099 -5639
+rect 63230 -5640 63238 -5630
+rect 63431 -5588 63483 -5578
+rect 63290 -5630 63431 -5600
+rect 63041 -6061 63093 -6060
+rect 63230 -6061 63290 -5640
+rect 63622 -5587 63674 -5577
+rect 63483 -5630 63622 -5600
+rect 63431 -5650 63483 -5640
+rect 63620 -5639 63622 -5630
+rect 63814 -5588 63866 -5578
+rect 63674 -5630 63814 -5600
+rect 63674 -5639 63680 -5630
+rect 63620 -6059 63680 -5639
+rect 64005 -5588 64057 -5578
+rect 63866 -5630 64005 -5600
+rect 63814 -5650 63866 -5640
+rect 64000 -5640 64005 -5630
+rect 64199 -5587 64251 -5577
+rect 64057 -5630 64199 -5600
+rect 64057 -5640 64060 -5630
+rect 64000 -6058 64060 -5640
+rect 64390 -5588 64442 -5578
+rect 64251 -5630 64390 -5600
+rect 64199 -5649 64251 -5639
+rect 64582 -5588 64634 -5578
+rect 64442 -5630 64582 -5600
+rect 64390 -5650 64442 -5640
+rect 64774 -5588 64826 -5578
+rect 64634 -5630 64774 -5600
+rect 64582 -5650 64634 -5640
+rect 64966 -5588 65018 -5578
+rect 64826 -5630 64966 -5600
+rect 64774 -5650 64826 -5640
+rect 65159 -5588 65211 -5578
+rect 65018 -5630 65159 -5600
+rect 64966 -5650 65018 -5640
+rect 65350 -5588 65402 -5578
+rect 65211 -5630 65350 -5600
+rect 65159 -5650 65211 -5640
+rect 65543 -5587 65595 -5577
+rect 65402 -5630 65543 -5600
+rect 65350 -5650 65402 -5640
+rect 65734 -5588 65786 -5578
+rect 65595 -5630 65734 -5600
+rect 65543 -5649 65595 -5639
+rect 65927 -5588 65979 -5578
+rect 65786 -5630 65927 -5600
+rect 65734 -5650 65786 -5640
+rect 66118 -5588 66170 -5578
+rect 65979 -5630 66118 -5600
+rect 65927 -5650 65979 -5640
+rect 66309 -5586 66361 -5576
+rect 66170 -5630 66309 -5600
+rect 66118 -5650 66170 -5640
+rect 66504 -5588 66556 -5578
+rect 66361 -5630 66504 -5600
+rect 66309 -5648 66361 -5638
+rect 66504 -5650 66556 -5640
+rect 66918 -5950 66970 -5940
+rect 66918 -6012 66970 -6002
+rect 63423 -6061 63475 -6059
+rect 63616 -6061 63680 -6059
+rect 63807 -6061 63859 -6058
+rect 63998 -6061 64060 -6058
+rect 49430 -6074 49482 -6064
+rect 49430 -6136 49482 -6126
+rect 52047 -6068 64060 -6061
+rect 52047 -6069 58623 -6068
+rect 52047 -6070 52429 -6069
+rect 52047 -6071 52237 -6070
+rect 52099 -6092 52237 -6071
+rect 52047 -6133 52099 -6123
+rect 52289 -6092 52429 -6070
+rect 52237 -6132 52289 -6122
+rect 52481 -6092 52620 -6069
+rect 52429 -6131 52481 -6121
+rect 52672 -6092 52814 -6069
+rect 52620 -6131 52672 -6121
+rect 52866 -6070 53198 -6069
+rect 52866 -6092 53004 -6070
+rect 52814 -6131 52866 -6121
+rect 53056 -6092 53198 -6070
+rect 53004 -6132 53056 -6122
+rect 53250 -6092 53390 -6069
+rect 53198 -6131 53250 -6121
+rect 53442 -6070 54542 -6069
+rect 53442 -6092 53581 -6070
+rect 53390 -6131 53442 -6121
+rect 53633 -6092 53776 -6070
+rect 53581 -6132 53633 -6122
+rect 53828 -6092 53966 -6070
+rect 53776 -6132 53828 -6122
+rect 54018 -6092 54157 -6070
+rect 53966 -6132 54018 -6122
+rect 54209 -6092 54349 -6070
+rect 54157 -6132 54209 -6122
+rect 54401 -6092 54542 -6070
+rect 54349 -6132 54401 -6122
+rect 54594 -6070 55310 -6069
+rect 54594 -6092 54734 -6070
+rect 54542 -6131 54594 -6121
+rect 54786 -6092 54926 -6070
+rect 54734 -6132 54786 -6122
+rect 54978 -6092 55119 -6070
+rect 54926 -6132 54978 -6122
+rect 55171 -6092 55310 -6070
+rect 55119 -6132 55171 -6122
+rect 55362 -6070 55694 -6069
+rect 55362 -6092 55502 -6070
+rect 55310 -6131 55362 -6121
+rect 55554 -6092 55694 -6070
+rect 55502 -6132 55554 -6122
+rect 55746 -6092 55886 -6069
+rect 55694 -6131 55746 -6121
+rect 55938 -6070 56270 -6069
+rect 55938 -6092 56077 -6070
+rect 55886 -6131 55938 -6121
+rect 56129 -6092 56270 -6070
+rect 56077 -6132 56129 -6122
+rect 56322 -6092 56462 -6069
+rect 56270 -6131 56322 -6121
+rect 56514 -6070 57613 -6069
+rect 56514 -6092 56656 -6070
+rect 56462 -6131 56514 -6121
+rect 56708 -6071 57036 -6070
+rect 56708 -6092 56847 -6071
+rect 56656 -6132 56708 -6122
+rect 56899 -6092 57036 -6071
+rect 56847 -6133 56899 -6123
+rect 57088 -6092 57229 -6070
+rect 57036 -6132 57088 -6122
+rect 57281 -6092 57422 -6070
+rect 57229 -6132 57281 -6122
+rect 57474 -6092 57613 -6070
+rect 57422 -6132 57474 -6122
+rect 57665 -6092 57804 -6069
+rect 57613 -6131 57665 -6121
+rect 57856 -6070 58431 -6069
+rect 57856 -6090 58241 -6070
+rect 57804 -6131 57856 -6121
+rect 58293 -6091 58431 -6070
+rect 58241 -6132 58293 -6122
+rect 58483 -6091 58623 -6069
+rect 58431 -6131 58483 -6121
+rect 58675 -6091 58814 -6068
+rect 58623 -6130 58675 -6120
+rect 58866 -6091 59008 -6068
+rect 58814 -6130 58866 -6120
+rect 59060 -6069 59392 -6068
+rect 59060 -6091 59198 -6069
+rect 59008 -6130 59060 -6120
+rect 59250 -6091 59392 -6069
+rect 59198 -6131 59250 -6121
+rect 59444 -6091 59584 -6068
+rect 59392 -6130 59444 -6120
+rect 59636 -6069 60736 -6068
+rect 59636 -6091 59775 -6069
+rect 59584 -6130 59636 -6120
+rect 59827 -6091 59970 -6069
+rect 59775 -6131 59827 -6121
+rect 60022 -6091 60160 -6069
+rect 59970 -6131 60022 -6121
+rect 60212 -6091 60351 -6069
+rect 60160 -6131 60212 -6121
+rect 60403 -6091 60543 -6069
+rect 60351 -6131 60403 -6121
+rect 60595 -6091 60736 -6069
+rect 60543 -6131 60595 -6121
+rect 60788 -6069 61504 -6068
+rect 60788 -6091 60928 -6069
+rect 60736 -6130 60788 -6120
+rect 60980 -6091 61120 -6069
+rect 60928 -6131 60980 -6121
+rect 61172 -6091 61313 -6069
+rect 61120 -6131 61172 -6121
+rect 61365 -6091 61504 -6069
+rect 61313 -6131 61365 -6121
+rect 61556 -6069 61888 -6068
+rect 61556 -6091 61696 -6069
+rect 61504 -6130 61556 -6120
+rect 61748 -6091 61888 -6069
+rect 61696 -6131 61748 -6121
+rect 61940 -6091 62080 -6068
+rect 61888 -6130 61940 -6120
+rect 62132 -6069 62464 -6068
+rect 62132 -6091 62271 -6069
+rect 62080 -6130 62132 -6120
+rect 62323 -6091 62464 -6069
+rect 62271 -6131 62323 -6121
+rect 62516 -6091 62656 -6068
+rect 62464 -6130 62516 -6120
+rect 62708 -6069 63807 -6068
+rect 62708 -6091 62850 -6069
+rect 62656 -6130 62708 -6120
+rect 62902 -6070 63230 -6069
+rect 62902 -6091 63041 -6070
+rect 62850 -6131 62902 -6121
+rect 63093 -6091 63230 -6070
+rect 63041 -6132 63093 -6122
+rect 63282 -6091 63423 -6069
+rect 63230 -6131 63282 -6121
+rect 63475 -6091 63616 -6069
+rect 63423 -6131 63475 -6121
+rect 63668 -6091 63807 -6069
+rect 63616 -6131 63668 -6121
+rect 63859 -6091 63998 -6068
+rect 63807 -6130 63859 -6120
+rect 64050 -6070 64060 -6068
+rect 63998 -6130 64050 -6120
+rect 49440 -6220 49470 -6136
+rect 51949 -6210 52001 -6200
+rect 49440 -6260 51949 -6220
+rect 47224 -6678 47652 -6264
+rect 51116 -6396 51168 -6260
+rect 52141 -6210 52193 -6200
+rect 52001 -6252 52141 -6222
+rect 51949 -6272 52001 -6262
+rect 52334 -6210 52386 -6200
+rect 52193 -6252 52334 -6222
+rect 52141 -6272 52193 -6262
+rect 52526 -6210 52578 -6200
+rect 52386 -6252 52526 -6222
+rect 52334 -6272 52386 -6262
+rect 52719 -6210 52771 -6200
+rect 52578 -6252 52719 -6222
+rect 52526 -6272 52578 -6262
+rect 52911 -6209 52963 -6199
+rect 52771 -6252 52911 -6222
+rect 52719 -6272 52771 -6262
+rect 53102 -6210 53154 -6200
+rect 52963 -6252 53102 -6222
+rect 52911 -6271 52963 -6261
+rect 53294 -6210 53346 -6200
+rect 53154 -6252 53294 -6222
+rect 53102 -6272 53154 -6262
+rect 53486 -6209 53538 -6199
+rect 53346 -6252 53486 -6222
+rect 53294 -6272 53346 -6262
+rect 53678 -6209 53730 -6199
+rect 53538 -6252 53678 -6222
+rect 53486 -6271 53538 -6261
+rect 53870 -6210 53922 -6200
+rect 53730 -6252 53870 -6222
+rect 53678 -6271 53730 -6261
+rect 54062 -6209 54114 -6199
+rect 53922 -6252 54062 -6222
+rect 53870 -6272 53922 -6262
+rect 54254 -6210 54306 -6200
+rect 54114 -6252 54254 -6222
+rect 54062 -6271 54114 -6261
+rect 54445 -6209 54497 -6199
+rect 54306 -6252 54445 -6222
+rect 54254 -6272 54306 -6262
+rect 54639 -6210 54691 -6200
+rect 54497 -6252 54639 -6222
+rect 54445 -6271 54497 -6261
+rect 54829 -6210 54881 -6200
+rect 54691 -6252 54829 -6222
+rect 54639 -6272 54691 -6262
+rect 55021 -6210 55073 -6200
+rect 54881 -6252 55021 -6222
+rect 54829 -6272 54881 -6262
+rect 55214 -6210 55266 -6200
+rect 55073 -6252 55214 -6222
+rect 55021 -6272 55073 -6262
+rect 55405 -6210 55457 -6200
+rect 55266 -6252 55405 -6222
+rect 55214 -6272 55266 -6262
+rect 55598 -6209 55650 -6199
+rect 55457 -6252 55598 -6222
+rect 55405 -6272 55457 -6262
+rect 55791 -6210 55843 -6200
+rect 55650 -6252 55791 -6222
+rect 55598 -6271 55650 -6261
+rect 55982 -6210 56034 -6200
+rect 55843 -6252 55982 -6222
+rect 55791 -6272 55843 -6262
+rect 56175 -6210 56227 -6200
+rect 56034 -6252 56175 -6222
+rect 55982 -6272 56034 -6262
+rect 56365 -6210 56417 -6200
+rect 56227 -6252 56365 -6222
+rect 56175 -6272 56227 -6262
+rect 56559 -6209 56611 -6199
+rect 56417 -6252 56559 -6222
+rect 56365 -6272 56417 -6262
+rect 56748 -6210 56800 -6200
+rect 56611 -6252 56748 -6222
+rect 56559 -6271 56611 -6261
+rect 56941 -6210 56993 -6200
+rect 56800 -6252 56941 -6222
+rect 56748 -6272 56800 -6262
+rect 57134 -6210 57186 -6200
+rect 56993 -6252 57134 -6222
+rect 56941 -6272 56993 -6262
+rect 57324 -6210 57376 -6200
+rect 57186 -6252 57324 -6222
+rect 57134 -6272 57186 -6262
+rect 57517 -6209 57569 -6199
+rect 57376 -6252 57517 -6222
+rect 57324 -6272 57376 -6262
+rect 57706 -6209 57758 -6199
+rect 57569 -6252 57706 -6222
+rect 57517 -6271 57569 -6261
+rect 57706 -6271 57758 -6261
+rect 58143 -6209 58195 -6199
+rect 58335 -6209 58387 -6199
+rect 58195 -6251 58335 -6221
+rect 58143 -6271 58195 -6261
+rect 58528 -6209 58580 -6199
+rect 58387 -6251 58528 -6221
+rect 58335 -6271 58387 -6261
+rect 58720 -6209 58772 -6199
+rect 58580 -6251 58720 -6221
+rect 58528 -6271 58580 -6261
+rect 58913 -6209 58965 -6199
+rect 58772 -6251 58913 -6221
+rect 58720 -6271 58772 -6261
+rect 59105 -6208 59157 -6198
+rect 58965 -6251 59105 -6221
+rect 58913 -6271 58965 -6261
+rect 59296 -6209 59348 -6199
+rect 59157 -6251 59296 -6221
+rect 59105 -6270 59157 -6260
+rect 59488 -6209 59540 -6199
+rect 59348 -6251 59488 -6221
+rect 59296 -6271 59348 -6261
+rect 59680 -6208 59732 -6198
+rect 59540 -6251 59680 -6221
+rect 59488 -6271 59540 -6261
+rect 59872 -6208 59924 -6198
+rect 59732 -6251 59872 -6221
+rect 59680 -6270 59732 -6260
+rect 60064 -6209 60116 -6199
+rect 59924 -6251 60064 -6221
+rect 59872 -6270 59924 -6260
+rect 60256 -6208 60308 -6198
+rect 60116 -6251 60256 -6221
+rect 60064 -6271 60116 -6261
+rect 60448 -6209 60500 -6199
+rect 60308 -6251 60448 -6221
+rect 60256 -6270 60308 -6260
+rect 60639 -6208 60691 -6198
+rect 60500 -6251 60639 -6221
+rect 60448 -6271 60500 -6261
+rect 60833 -6209 60885 -6199
+rect 60691 -6251 60833 -6221
+rect 60639 -6270 60691 -6260
+rect 61023 -6209 61075 -6199
+rect 60885 -6251 61023 -6221
+rect 60833 -6271 60885 -6261
+rect 61215 -6209 61267 -6199
+rect 61075 -6251 61215 -6221
+rect 61023 -6271 61075 -6261
+rect 61408 -6209 61460 -6199
+rect 61267 -6251 61408 -6221
+rect 61215 -6271 61267 -6261
+rect 61599 -6209 61651 -6199
+rect 61460 -6251 61599 -6221
+rect 61408 -6271 61460 -6261
+rect 61792 -6208 61844 -6198
+rect 61651 -6251 61792 -6221
+rect 61599 -6271 61651 -6261
+rect 61985 -6209 62037 -6199
+rect 61844 -6251 61985 -6221
+rect 61792 -6270 61844 -6260
+rect 62176 -6209 62228 -6199
+rect 62037 -6251 62176 -6221
+rect 61985 -6271 62037 -6261
+rect 62369 -6209 62421 -6199
+rect 62228 -6251 62369 -6221
+rect 62176 -6271 62228 -6261
+rect 62559 -6209 62611 -6199
+rect 62421 -6251 62559 -6221
+rect 62369 -6271 62421 -6261
+rect 62753 -6208 62805 -6198
+rect 62611 -6251 62753 -6221
+rect 62559 -6271 62611 -6261
+rect 62942 -6209 62994 -6199
+rect 62805 -6251 62942 -6221
+rect 62753 -6270 62805 -6260
+rect 63135 -6209 63187 -6199
+rect 62994 -6251 63135 -6221
+rect 62942 -6271 62994 -6261
+rect 63328 -6209 63380 -6199
+rect 63187 -6251 63328 -6221
+rect 63135 -6271 63187 -6261
+rect 63518 -6209 63570 -6199
+rect 63380 -6251 63518 -6221
+rect 63328 -6271 63380 -6261
+rect 63711 -6208 63763 -6198
+rect 63570 -6251 63711 -6221
+rect 63518 -6271 63570 -6261
+rect 63900 -6208 63952 -6198
+rect 63763 -6251 63900 -6221
+rect 63711 -6270 63763 -6260
+rect 66930 -6220 66960 -6012
+rect 63952 -6250 66960 -6220
+rect 63900 -6270 63952 -6260
+rect 63990 -6300 64050 -6290
+rect 57800 -6310 57860 -6300
+rect 51116 -6458 51168 -6448
+rect 52040 -6320 52100 -6310
+rect 47224 -7752 47254 -6678
+rect 47614 -7752 47652 -6678
+rect 51116 -6500 51168 -6490
+rect 51116 -6680 51168 -6552
+rect 52040 -6680 52100 -6380
+rect 52238 -6680 52290 -6678
+rect 52430 -6680 52482 -6677
+rect 52621 -6680 52673 -6677
+rect 52815 -6680 52867 -6677
+rect 53005 -6680 53057 -6678
+rect 53199 -6680 53251 -6677
+rect 53391 -6680 53443 -6677
+rect 53582 -6680 53634 -6678
+rect 53777 -6680 53829 -6678
+rect 53967 -6680 54019 -6678
+rect 54158 -6680 54210 -6678
+rect 54350 -6680 54402 -6678
+rect 54543 -6680 54595 -6677
+rect 54735 -6680 54787 -6678
+rect 54927 -6680 54979 -6678
+rect 55120 -6680 55172 -6678
+rect 55311 -6680 55363 -6677
+rect 55503 -6680 55555 -6678
+rect 55695 -6680 55747 -6677
+rect 55887 -6680 55939 -6677
+rect 56078 -6680 56130 -6678
+rect 56271 -6680 56323 -6677
+rect 56463 -6680 56515 -6677
+rect 56657 -6680 56709 -6678
+rect 56848 -6680 56900 -6679
+rect 57037 -6680 57089 -6678
+rect 57230 -6680 57282 -6678
+rect 57423 -6680 57475 -6678
+rect 57614 -6680 57666 -6677
+rect 57800 -6680 57860 -6370
+rect 49440 -6687 57860 -6680
+rect 49440 -6688 52430 -6687
+rect 49440 -6689 52238 -6688
+rect 49440 -6720 52048 -6689
+rect 49440 -6862 49470 -6720
+rect 52100 -6710 52238 -6689
+rect 52048 -6751 52100 -6741
+rect 52290 -6710 52430 -6688
+rect 52238 -6750 52290 -6740
+rect 52482 -6710 52621 -6687
+rect 52430 -6749 52482 -6739
+rect 52673 -6710 52815 -6687
+rect 52621 -6749 52673 -6739
+rect 52867 -6688 53199 -6687
+rect 52867 -6710 53005 -6688
+rect 52815 -6749 52867 -6739
+rect 53057 -6710 53199 -6688
+rect 53005 -6750 53057 -6740
+rect 53251 -6710 53391 -6687
+rect 53199 -6749 53251 -6739
+rect 53443 -6688 54543 -6687
+rect 53443 -6710 53582 -6688
+rect 53391 -6749 53443 -6739
+rect 53634 -6710 53777 -6688
+rect 53582 -6750 53634 -6740
+rect 53829 -6710 53967 -6688
+rect 53777 -6750 53829 -6740
+rect 54019 -6710 54158 -6688
+rect 53967 -6750 54019 -6740
+rect 54210 -6710 54350 -6688
+rect 54158 -6750 54210 -6740
+rect 54402 -6710 54543 -6688
+rect 54350 -6750 54402 -6740
+rect 54595 -6688 55311 -6687
+rect 54595 -6710 54735 -6688
+rect 54543 -6749 54595 -6739
+rect 54787 -6710 54927 -6688
+rect 54735 -6750 54787 -6740
+rect 54979 -6710 55120 -6688
+rect 54927 -6750 54979 -6740
+rect 55172 -6710 55311 -6688
+rect 55120 -6750 55172 -6740
+rect 55363 -6688 55695 -6687
+rect 55363 -6710 55503 -6688
+rect 55311 -6749 55363 -6739
+rect 55555 -6710 55695 -6688
+rect 55503 -6750 55555 -6740
+rect 55747 -6710 55887 -6687
+rect 55695 -6749 55747 -6739
+rect 55939 -6688 56271 -6687
+rect 55939 -6710 56078 -6688
+rect 55887 -6749 55939 -6739
+rect 56130 -6710 56271 -6688
+rect 56078 -6750 56130 -6740
+rect 56323 -6710 56463 -6687
+rect 56271 -6749 56323 -6739
+rect 56515 -6688 57614 -6687
+rect 56515 -6710 56657 -6688
+rect 56463 -6749 56515 -6739
+rect 56709 -6689 57037 -6688
+rect 56709 -6710 56848 -6689
+rect 56657 -6750 56709 -6740
+rect 56900 -6710 57037 -6689
+rect 56848 -6751 56900 -6741
+rect 57089 -6710 57230 -6688
+rect 57037 -6750 57089 -6740
+rect 57282 -6710 57423 -6688
+rect 57230 -6750 57282 -6740
+rect 57475 -6710 57614 -6688
+rect 57423 -6750 57475 -6740
+rect 57666 -6710 57805 -6687
+rect 57614 -6749 57666 -6739
+rect 57857 -6690 57860 -6687
+rect 58230 -6310 58290 -6300
+rect 58230 -6679 58290 -6370
+rect 58426 -6679 58478 -6677
+rect 58618 -6679 58670 -6676
+rect 58809 -6679 58861 -6676
+rect 59003 -6679 59055 -6676
+rect 59193 -6679 59245 -6677
+rect 59387 -6679 59439 -6676
+rect 59579 -6679 59631 -6676
+rect 59770 -6679 59822 -6677
+rect 59965 -6679 60017 -6677
+rect 60155 -6679 60207 -6677
+rect 60346 -6679 60398 -6677
+rect 60538 -6679 60590 -6677
+rect 60731 -6679 60783 -6676
+rect 60923 -6679 60975 -6677
+rect 61115 -6679 61167 -6677
+rect 61308 -6679 61360 -6677
+rect 61499 -6679 61551 -6676
+rect 61691 -6679 61743 -6677
+rect 61883 -6679 61935 -6676
+rect 62075 -6679 62127 -6676
+rect 62266 -6679 62318 -6677
+rect 62459 -6679 62511 -6676
+rect 62651 -6679 62703 -6676
+rect 62845 -6679 62897 -6677
+rect 63036 -6679 63088 -6678
+rect 63225 -6679 63277 -6677
+rect 63418 -6679 63470 -6677
+rect 63611 -6679 63663 -6677
+rect 63802 -6679 63854 -6676
+rect 63990 -6679 64050 -6360
+rect 64530 -6380 64582 -6250
+rect 64530 -6442 64582 -6432
+rect 68894 -6272 68930 -5188
+rect 69296 -6272 69326 -5188
+rect 58230 -6680 64050 -6679
+rect 64536 -6496 64588 -6486
+rect 64536 -6680 64588 -6548
+rect 68894 -6672 69326 -6272
+rect 58230 -6686 66950 -6680
+rect 58230 -6687 58618 -6686
+rect 58230 -6688 58426 -6687
+rect 58230 -6690 58236 -6688
+rect 57805 -6749 57857 -6739
+rect 58288 -6709 58426 -6688
+rect 58236 -6750 58288 -6740
+rect 58478 -6709 58618 -6687
+rect 58426 -6749 58478 -6739
+rect 58670 -6709 58809 -6686
+rect 58618 -6748 58670 -6738
+rect 58861 -6709 59003 -6686
+rect 58809 -6748 58861 -6738
+rect 59055 -6687 59387 -6686
+rect 59055 -6709 59193 -6687
+rect 59003 -6748 59055 -6738
+rect 59245 -6709 59387 -6687
+rect 59193 -6749 59245 -6739
+rect 59439 -6709 59579 -6686
+rect 59387 -6748 59439 -6738
+rect 59631 -6687 60731 -6686
+rect 59631 -6709 59770 -6687
+rect 59579 -6748 59631 -6738
+rect 59822 -6709 59965 -6687
+rect 59770 -6749 59822 -6739
+rect 60017 -6709 60155 -6687
+rect 59965 -6749 60017 -6739
+rect 60207 -6709 60346 -6687
+rect 60155 -6749 60207 -6739
+rect 60398 -6709 60538 -6687
+rect 60346 -6749 60398 -6739
+rect 60590 -6709 60731 -6687
+rect 60538 -6749 60590 -6739
+rect 60783 -6687 61499 -6686
+rect 60783 -6709 60923 -6687
+rect 60731 -6748 60783 -6738
+rect 60975 -6709 61115 -6687
+rect 60923 -6749 60975 -6739
+rect 61167 -6709 61308 -6687
+rect 61115 -6749 61167 -6739
+rect 61360 -6709 61499 -6687
+rect 61308 -6749 61360 -6739
+rect 61551 -6687 61883 -6686
+rect 61551 -6709 61691 -6687
+rect 61499 -6748 61551 -6738
+rect 61743 -6709 61883 -6687
+rect 61691 -6749 61743 -6739
+rect 61935 -6709 62075 -6686
+rect 61883 -6748 61935 -6738
+rect 62127 -6687 62459 -6686
+rect 62127 -6709 62266 -6687
+rect 62075 -6748 62127 -6738
+rect 62318 -6709 62459 -6687
+rect 62266 -6749 62318 -6739
+rect 62511 -6709 62651 -6686
+rect 62459 -6748 62511 -6738
+rect 62703 -6687 63802 -6686
+rect 62703 -6709 62845 -6687
+rect 62651 -6748 62703 -6738
+rect 62897 -6688 63225 -6687
+rect 62897 -6709 63036 -6688
+rect 62845 -6749 62897 -6739
+rect 63088 -6709 63225 -6688
+rect 63036 -6750 63088 -6740
+rect 63277 -6709 63418 -6687
+rect 63225 -6749 63277 -6739
+rect 63470 -6709 63611 -6687
+rect 63418 -6749 63470 -6739
+rect 63663 -6709 63802 -6687
+rect 63611 -6749 63663 -6739
+rect 63854 -6709 63993 -6686
+rect 63802 -6748 63854 -6738
+rect 64045 -6710 66950 -6686
+rect 63993 -6748 64045 -6738
+rect 51950 -6826 63950 -6810
+rect 51950 -6827 59100 -6826
+rect 51950 -6828 52912 -6827
+rect 49436 -6872 49488 -6862
+rect 52002 -6880 52142 -6828
+rect 52194 -6880 52335 -6828
+rect 52387 -6880 52527 -6828
+rect 52579 -6880 52720 -6828
+rect 52772 -6879 52912 -6828
+rect 52964 -6828 53487 -6827
+rect 52964 -6879 53103 -6828
+rect 52772 -6880 53103 -6879
+rect 53155 -6880 53295 -6828
+rect 53347 -6879 53487 -6828
+rect 53539 -6879 53679 -6827
+rect 53731 -6828 54063 -6827
+rect 53731 -6879 53871 -6828
+rect 53347 -6880 53871 -6879
+rect 53923 -6879 54063 -6828
+rect 54115 -6828 54446 -6827
+rect 54115 -6879 54255 -6828
+rect 53923 -6880 54255 -6879
+rect 54307 -6879 54446 -6828
+rect 54498 -6828 55599 -6827
+rect 54498 -6879 54640 -6828
+rect 54307 -6880 54640 -6879
+rect 54692 -6880 54830 -6828
+rect 54882 -6880 55022 -6828
+rect 55074 -6880 55215 -6828
+rect 55267 -6880 55406 -6828
+rect 55458 -6879 55599 -6828
+rect 55651 -6828 56560 -6827
+rect 55651 -6879 55792 -6828
+rect 55458 -6880 55792 -6879
+rect 55844 -6880 55983 -6828
+rect 56035 -6880 56176 -6828
+rect 56228 -6880 56366 -6828
+rect 56418 -6879 56560 -6828
+rect 56612 -6828 57518 -6827
+rect 56612 -6879 56749 -6828
+rect 56418 -6880 56749 -6879
+rect 56801 -6880 56942 -6828
+rect 56994 -6880 57135 -6828
+rect 57187 -6880 57325 -6828
+rect 57377 -6879 57518 -6828
+rect 57570 -6879 57707 -6827
+rect 57759 -6879 58138 -6827
+rect 58190 -6879 58330 -6827
+rect 58382 -6879 58523 -6827
+rect 58575 -6879 58715 -6827
+rect 58767 -6879 58908 -6827
+rect 58960 -6878 59100 -6827
+rect 59152 -6827 59675 -6826
+rect 59152 -6878 59291 -6827
+rect 58960 -6879 59291 -6878
+rect 59343 -6879 59483 -6827
+rect 59535 -6878 59675 -6827
+rect 59727 -6878 59867 -6826
+rect 59919 -6827 60251 -6826
+rect 59919 -6878 60059 -6827
+rect 59535 -6879 60059 -6878
+rect 60111 -6878 60251 -6827
+rect 60303 -6827 60634 -6826
+rect 60303 -6878 60443 -6827
+rect 60111 -6879 60443 -6878
+rect 60495 -6878 60634 -6827
+rect 60686 -6827 61787 -6826
+rect 60686 -6878 60828 -6827
+rect 60495 -6879 60828 -6878
+rect 60880 -6879 61018 -6827
+rect 61070 -6879 61210 -6827
+rect 61262 -6879 61403 -6827
+rect 61455 -6879 61594 -6827
+rect 61646 -6878 61787 -6827
+rect 61839 -6827 62748 -6826
+rect 61839 -6878 61980 -6827
+rect 61646 -6879 61980 -6878
+rect 62032 -6879 62171 -6827
+rect 62223 -6879 62364 -6827
+rect 62416 -6879 62554 -6827
+rect 62606 -6878 62748 -6827
+rect 62800 -6827 63706 -6826
+rect 62800 -6878 62937 -6827
+rect 62606 -6879 62937 -6878
+rect 62989 -6879 63130 -6827
+rect 63182 -6879 63323 -6827
+rect 63375 -6879 63513 -6827
+rect 63565 -6878 63706 -6827
+rect 63758 -6878 63895 -6826
+rect 63947 -6878 63950 -6826
+rect 66920 -6840 66950 -6710
+rect 63565 -6879 63950 -6878
+rect 57377 -6880 63950 -6879
+rect 51950 -6890 63950 -6880
+rect 66912 -6850 66964 -6840
+rect 49436 -6934 49488 -6924
+rect 50087 -7300 50139 -7292
+rect 50277 -7300 50329 -7291
+rect 50470 -7300 50522 -7294
+rect 50662 -7299 50714 -7289
+rect 50087 -7301 50662 -7300
+rect 50087 -7302 50277 -7301
+rect 50139 -7330 50277 -7302
+rect 50087 -7364 50139 -7354
+rect 50329 -7304 50662 -7301
+rect 50329 -7330 50470 -7304
+rect 50277 -7363 50329 -7353
+rect 50522 -7330 50662 -7304
+rect 50470 -7366 50522 -7356
+rect 50854 -7300 50906 -7293
+rect 51046 -7298 51098 -7288
+rect 50714 -7303 51046 -7300
+rect 50714 -7330 50854 -7303
+rect 50662 -7361 50714 -7351
+rect 50906 -7330 51046 -7303
+rect 50854 -7365 50906 -7355
+rect 51238 -7299 51290 -7289
+rect 51098 -7330 51238 -7300
+rect 51046 -7360 51098 -7350
+rect 51428 -7298 51480 -7288
+rect 51290 -7330 51428 -7300
+rect 51238 -7361 51290 -7351
+rect 51622 -7300 51674 -7299
+rect 51811 -7300 51863 -7297
+rect 52000 -7300 52060 -6890
+rect 52200 -7300 52252 -7298
+rect 52390 -7300 52450 -6890
+rect 52582 -7300 52634 -7298
+rect 52774 -7300 52826 -7291
+rect 52960 -7300 53020 -6890
+rect 53159 -7300 53211 -7292
+rect 53350 -7300 53410 -6890
+rect 53542 -7300 53594 -7290
+rect 53730 -7300 53790 -6890
+rect 54120 -7287 54180 -6890
+rect 53927 -7300 53979 -7291
+rect 54119 -7297 54180 -7287
+rect 54510 -7291 54570 -6890
+rect 54890 -7290 54950 -6890
+rect 55260 -7288 55320 -6890
+rect 51480 -7301 53351 -7300
+rect 51480 -7307 52774 -7301
+rect 51480 -7309 51811 -7307
+rect 51480 -7330 51622 -7309
+rect 51428 -7360 51480 -7350
+rect 51674 -7330 51811 -7309
+rect 51622 -7371 51674 -7361
+rect 51863 -7330 52001 -7307
+rect 51811 -7369 51863 -7359
+rect 52053 -7308 52392 -7307
+rect 52053 -7330 52200 -7308
+rect 52001 -7369 52053 -7359
+rect 52252 -7330 52392 -7308
+rect 52200 -7370 52252 -7360
+rect 52444 -7308 52774 -7307
+rect 52444 -7330 52582 -7308
+rect 52392 -7369 52444 -7359
+rect 52634 -7330 52774 -7308
+rect 52582 -7370 52634 -7360
+rect 52826 -7302 53351 -7301
+rect 52826 -7303 53159 -7302
+rect 52826 -7330 52966 -7303
+rect 52774 -7363 52826 -7353
+rect 53018 -7330 53159 -7303
+rect 52966 -7365 53018 -7355
+rect 53211 -7330 53351 -7302
+rect 53159 -7364 53211 -7354
+rect 53403 -7330 53542 -7300
+rect 53351 -7362 53403 -7352
+rect 53594 -7301 54119 -7300
+rect 53594 -7305 53927 -7301
+rect 53594 -7330 53736 -7305
+rect 53542 -7362 53594 -7352
+rect 53788 -7330 53927 -7305
+rect 53736 -7367 53788 -7357
+rect 53979 -7330 54119 -7301
+rect 53927 -7363 53979 -7353
+rect 54171 -7300 54180 -7297
+rect 54312 -7300 54364 -7291
+rect 54504 -7300 54570 -7291
+rect 54697 -7300 54749 -7292
+rect 54889 -7300 54950 -7290
+rect 55080 -7299 55132 -7289
+rect 54171 -7301 54889 -7300
+rect 54171 -7330 54312 -7301
+rect 54119 -7359 54171 -7349
+rect 54364 -7330 54504 -7301
+rect 54312 -7363 54364 -7353
+rect 54556 -7302 54889 -7301
+rect 54556 -7330 54697 -7302
+rect 54504 -7363 54556 -7353
+rect 54749 -7330 54889 -7302
+rect 54697 -7364 54749 -7354
+rect 54941 -7330 55080 -7300
+rect 54889 -7362 54941 -7352
+rect 55260 -7298 55323 -7288
+rect 55260 -7300 55271 -7298
+rect 55132 -7330 55271 -7300
+rect 55080 -7361 55132 -7351
+rect 55464 -7298 55516 -7288
+rect 55323 -7330 55464 -7300
+rect 55271 -7360 55323 -7350
+rect 55650 -7300 55710 -6890
+rect 55846 -7300 55898 -7298
+rect 56030 -7300 56090 -6890
+rect 56410 -7300 56470 -6890
+rect 56810 -7300 56870 -6890
+rect 57180 -7296 57240 -6890
+rect 56997 -7300 57049 -7298
+rect 57180 -7300 57243 -7296
+rect 57384 -7300 57436 -7297
+rect 57570 -7300 57630 -6890
+rect 57960 -7298 58020 -6890
+rect 57766 -7300 57818 -7298
+rect 57959 -7300 58020 -7298
+rect 58151 -7300 58203 -7298
+rect 58340 -7300 58400 -6890
+rect 58730 -7297 58790 -6890
+rect 58535 -7300 58587 -7298
+rect 58726 -7300 58790 -7297
+rect 58921 -7300 58973 -7298
+rect 59110 -7300 59170 -6890
+rect 59303 -7300 59355 -7298
+rect 59490 -7300 59550 -6890
+rect 59880 -7298 59940 -6890
+rect 59686 -7300 59738 -7298
+rect 59878 -7300 59940 -7298
+rect 60071 -7300 60123 -7298
+rect 60260 -7300 60320 -6890
+rect 60454 -7300 60506 -7298
+rect 60640 -7300 60700 -6890
+rect 60838 -7300 60890 -7298
+rect 61030 -7300 61090 -6890
+rect 61420 -7297 61480 -6890
+rect 61222 -7300 61274 -7299
+rect 61415 -7300 61480 -7297
+rect 61605 -7300 61657 -7297
+rect 61800 -7299 61860 -6890
+rect 61798 -7300 61860 -7299
+rect 61990 -7300 62042 -7298
+rect 62180 -7300 62240 -6890
+rect 62374 -7300 62426 -7297
+rect 62570 -7298 62630 -6890
+rect 62950 -7298 63010 -6890
+rect 62566 -7300 62630 -7298
+rect 62757 -7300 62809 -7298
+rect 62949 -7300 63010 -7298
+rect 63143 -7300 63195 -7297
+rect 63340 -7298 63400 -6890
+rect 63335 -7300 63400 -7298
+rect 63525 -7300 63577 -7299
+rect 63720 -7300 63780 -6890
+rect 66912 -6912 66964 -6902
+rect 63909 -7300 63961 -7298
+rect 64102 -7300 64154 -7297
+rect 64295 -7300 64347 -7298
+rect 64486 -7300 64538 -7298
+rect 64677 -7300 64729 -7298
+rect 64870 -7300 64922 -7298
+rect 65063 -7300 65115 -7298
+rect 65254 -7300 65306 -7298
+rect 65445 -7300 65497 -7297
+rect 65636 -7300 65688 -7297
+rect 65829 -7300 65881 -7298
+rect 66023 -7300 66075 -7298
+rect 66211 -7300 66263 -7299
+rect 55516 -7306 66470 -7300
+rect 55516 -7307 57191 -7306
+rect 55516 -7330 55655 -7307
+rect 55464 -7360 55516 -7350
+rect 55707 -7308 57191 -7307
+rect 55707 -7330 55846 -7308
+rect 55655 -7369 55707 -7359
+rect 55898 -7309 56997 -7308
+rect 55898 -7330 56036 -7309
+rect 55846 -7370 55898 -7360
+rect 56088 -7311 56997 -7309
+rect 56088 -7313 56808 -7311
+rect 56088 -7330 56230 -7313
+rect 56036 -7371 56088 -7361
+rect 56282 -7314 56808 -7313
+rect 56282 -7315 56616 -7314
+rect 56282 -7330 56422 -7315
+rect 56230 -7375 56282 -7365
+rect 56474 -7330 56616 -7315
+rect 56422 -7377 56474 -7367
+rect 56668 -7330 56808 -7314
+rect 56616 -7376 56668 -7366
+rect 56860 -7330 56997 -7311
+rect 56808 -7373 56860 -7363
+rect 57049 -7330 57191 -7308
+rect 56997 -7370 57049 -7360
+rect 57243 -7307 66470 -7306
+rect 57243 -7330 57384 -7307
+rect 57191 -7368 57243 -7358
+rect 57436 -7308 58726 -7307
+rect 57436 -7330 57577 -7308
+rect 57384 -7369 57436 -7359
+rect 57629 -7330 57766 -7308
+rect 57577 -7370 57629 -7360
+rect 57818 -7330 57959 -7308
+rect 57766 -7370 57818 -7360
+rect 58011 -7330 58151 -7308
+rect 57959 -7370 58011 -7360
+rect 58203 -7330 58342 -7308
+rect 58151 -7370 58203 -7360
+rect 58394 -7330 58535 -7308
+rect 58342 -7370 58394 -7360
+rect 58587 -7330 58726 -7308
+rect 58535 -7370 58587 -7360
+rect 58778 -7308 61415 -7307
+rect 58778 -7330 58921 -7308
+rect 58726 -7369 58778 -7359
+rect 58973 -7330 59110 -7308
+rect 58921 -7370 58973 -7360
+rect 59162 -7330 59303 -7308
+rect 59110 -7370 59162 -7360
+rect 59355 -7330 59495 -7308
+rect 59303 -7370 59355 -7360
+rect 59547 -7330 59686 -7308
+rect 59495 -7370 59547 -7360
+rect 59738 -7330 59878 -7308
+rect 59686 -7370 59738 -7360
+rect 59930 -7330 60071 -7308
+rect 59878 -7370 59930 -7360
+rect 60123 -7309 60454 -7308
+rect 60123 -7330 60260 -7309
+rect 60071 -7370 60123 -7360
+rect 60312 -7330 60454 -7309
+rect 60260 -7371 60312 -7361
+rect 60506 -7330 60645 -7308
+rect 60454 -7370 60506 -7360
+rect 60697 -7330 60838 -7308
+rect 60645 -7370 60697 -7360
+rect 60890 -7330 61030 -7308
+rect 60838 -7370 60890 -7360
+rect 61082 -7309 61415 -7308
+rect 61082 -7330 61222 -7309
+rect 61030 -7370 61082 -7360
+rect 61274 -7330 61415 -7309
+rect 61222 -7371 61274 -7361
+rect 61467 -7330 61605 -7307
+rect 61415 -7369 61467 -7359
+rect 61657 -7308 62183 -7307
+rect 61657 -7309 61990 -7308
+rect 61657 -7330 61798 -7309
+rect 61605 -7369 61657 -7359
+rect 61850 -7330 61990 -7309
+rect 61798 -7371 61850 -7361
+rect 62042 -7330 62183 -7308
+rect 61990 -7370 62042 -7360
+rect 62235 -7330 62374 -7307
+rect 62183 -7369 62235 -7359
+rect 62426 -7308 63143 -7307
+rect 62426 -7330 62566 -7308
+rect 62374 -7369 62426 -7359
+rect 62618 -7330 62757 -7308
+rect 62566 -7370 62618 -7360
+rect 62809 -7330 62949 -7308
+rect 62757 -7370 62809 -7360
+rect 63001 -7330 63143 -7308
+rect 62949 -7370 63001 -7360
+rect 63195 -7308 64102 -7307
+rect 63195 -7330 63335 -7308
+rect 63143 -7369 63195 -7359
+rect 63387 -7309 63909 -7308
+rect 63387 -7330 63525 -7309
+rect 63335 -7370 63387 -7360
+rect 63577 -7310 63909 -7309
+rect 63577 -7330 63716 -7310
+rect 63525 -7371 63577 -7361
+rect 63768 -7330 63909 -7310
+rect 63716 -7372 63768 -7362
+rect 63961 -7330 64102 -7308
+rect 63909 -7370 63961 -7360
+rect 64154 -7308 65445 -7307
+rect 64154 -7330 64295 -7308
+rect 64102 -7369 64154 -7359
+rect 64347 -7330 64486 -7308
+rect 64295 -7370 64347 -7360
+rect 64538 -7330 64677 -7308
+rect 64486 -7370 64538 -7360
+rect 64729 -7330 64870 -7308
+rect 64677 -7370 64729 -7360
+rect 64922 -7330 65063 -7308
+rect 64870 -7370 64922 -7360
+rect 65115 -7330 65254 -7308
+rect 65063 -7370 65115 -7360
+rect 65306 -7330 65445 -7308
+rect 65254 -7370 65306 -7360
+rect 65497 -7330 65636 -7307
+rect 65445 -7369 65497 -7359
+rect 65688 -7308 66470 -7307
+rect 65688 -7330 65829 -7308
+rect 65636 -7369 65688 -7359
+rect 65881 -7330 66023 -7308
+rect 65829 -7370 65881 -7360
+rect 66075 -7309 66470 -7308
+rect 66075 -7330 66211 -7309
+rect 66023 -7370 66075 -7360
+rect 66263 -7317 66470 -7309
+rect 66263 -7330 66405 -7317
+rect 66211 -7371 66263 -7361
+rect 66457 -7330 66470 -7317
+rect 66405 -7379 66457 -7369
+rect 49991 -7445 50043 -7435
+rect 50182 -7447 50234 -7437
+rect 50043 -7490 50182 -7460
+rect 50043 -7497 50070 -7490
+rect 49991 -7507 50070 -7497
+rect 50000 -7654 50070 -7507
+rect 50374 -7446 50426 -7436
+rect 50234 -7490 50374 -7460
+rect 50182 -7509 50234 -7499
+rect 50566 -7449 50618 -7439
+rect 50426 -7490 50566 -7460
+rect 50374 -7508 50426 -7498
+rect 50760 -7448 50812 -7438
+rect 50618 -7490 50760 -7460
+rect 50566 -7511 50618 -7501
+rect 50950 -7448 51002 -7438
+rect 50812 -7490 50950 -7460
+rect 50760 -7510 50812 -7500
+rect 51145 -7446 51197 -7436
+rect 51002 -7490 51145 -7460
+rect 50950 -7510 51002 -7500
+rect 51336 -7445 51388 -7435
+rect 51197 -7490 51336 -7460
+rect 51145 -7508 51197 -7498
+rect 51531 -7447 51583 -7437
+rect 51388 -7490 51531 -7460
+rect 51336 -7507 51388 -7497
+rect 51721 -7447 51773 -7437
+rect 51583 -7490 51721 -7460
+rect 51531 -7509 51583 -7499
+rect 51911 -7446 51963 -7436
+rect 51773 -7490 51911 -7460
+rect 51721 -7509 51773 -7499
+rect 52104 -7445 52156 -7435
+rect 51963 -7490 52104 -7460
+rect 51911 -7508 51963 -7498
+rect 52295 -7445 52347 -7435
+rect 52156 -7490 52295 -7460
+rect 52104 -7507 52156 -7497
+rect 52491 -7447 52543 -7437
+rect 52347 -7490 52491 -7460
+rect 52295 -7507 52347 -7497
+rect 52680 -7447 52732 -7437
+rect 52543 -7490 52680 -7460
+rect 52491 -7509 52543 -7499
+rect 52874 -7447 52926 -7437
+rect 52732 -7490 52874 -7460
+rect 52680 -7509 52732 -7499
+rect 53063 -7447 53115 -7437
+rect 52926 -7490 53063 -7460
+rect 52874 -7509 52926 -7499
+rect 53255 -7448 53307 -7438
+rect 53115 -7490 53255 -7460
+rect 53063 -7509 53115 -7499
+rect 53448 -7448 53500 -7438
+rect 53307 -7490 53448 -7460
+rect 53255 -7510 53307 -7500
+rect 53639 -7448 53691 -7438
+rect 53500 -7490 53639 -7460
+rect 53448 -7510 53500 -7500
+rect 53831 -7447 53883 -7437
+rect 53691 -7490 53831 -7460
+rect 53639 -7510 53691 -7500
+rect 54023 -7448 54075 -7438
+rect 53883 -7490 54023 -7460
+rect 53831 -7509 53883 -7499
+rect 54216 -7448 54268 -7438
+rect 54075 -7490 54216 -7460
+rect 54023 -7510 54075 -7500
+rect 54407 -7448 54459 -7438
+rect 54268 -7490 54407 -7460
+rect 54216 -7510 54268 -7500
+rect 54599 -7447 54651 -7437
+rect 54459 -7490 54599 -7460
+rect 54407 -7510 54459 -7500
+rect 54792 -7448 54844 -7438
+rect 54651 -7490 54792 -7460
+rect 54599 -7509 54651 -7499
+rect 54984 -7448 55036 -7438
+rect 54844 -7490 54984 -7460
+rect 54792 -7510 54844 -7500
+rect 55175 -7448 55227 -7438
+rect 55036 -7490 55175 -7460
+rect 54984 -7510 55036 -7500
+rect 55366 -7448 55418 -7438
+rect 55227 -7490 55366 -7460
+rect 55175 -7510 55227 -7500
+rect 55558 -7448 55610 -7438
+rect 55418 -7490 55558 -7460
+rect 55366 -7510 55418 -7500
+rect 55751 -7447 55803 -7437
+rect 55610 -7490 55751 -7460
+rect 55558 -7510 55610 -7500
+rect 55942 -7447 55994 -7437
+rect 55803 -7490 55942 -7460
+rect 55751 -7509 55803 -7499
+rect 56136 -7448 56188 -7438
+rect 55994 -7490 56136 -7460
+rect 55942 -7509 55994 -7499
+rect 56328 -7448 56380 -7438
+rect 56188 -7490 56328 -7460
+rect 56136 -7510 56188 -7500
+rect 56520 -7448 56572 -7438
+rect 56380 -7490 56520 -7460
+rect 56328 -7510 56380 -7500
+rect 56712 -7448 56764 -7438
+rect 56572 -7490 56712 -7460
+rect 56520 -7510 56572 -7500
+rect 56904 -7448 56956 -7438
+rect 56764 -7490 56904 -7460
+rect 56712 -7510 56764 -7500
+rect 57096 -7448 57148 -7438
+rect 56956 -7490 57096 -7460
+rect 56904 -7510 56956 -7500
+rect 57288 -7448 57340 -7438
+rect 57148 -7490 57288 -7460
+rect 57096 -7510 57148 -7500
+rect 57479 -7448 57531 -7438
+rect 57340 -7490 57479 -7460
+rect 57288 -7510 57340 -7500
+rect 57671 -7448 57723 -7438
+rect 57531 -7490 57671 -7460
+rect 57479 -7510 57531 -7500
+rect 57864 -7448 57916 -7438
+rect 57723 -7490 57864 -7460
+rect 57671 -7510 57723 -7500
+rect 58056 -7448 58108 -7438
+rect 57916 -7490 58056 -7460
+rect 57864 -7510 57916 -7500
+rect 58248 -7448 58300 -7438
+rect 58108 -7490 58248 -7460
+rect 58056 -7510 58108 -7500
+rect 58439 -7448 58491 -7438
+rect 58300 -7490 58439 -7460
+rect 58248 -7510 58300 -7500
+rect 58631 -7448 58683 -7438
+rect 58491 -7490 58631 -7460
+rect 58439 -7510 58491 -7500
+rect 58822 -7448 58874 -7438
+rect 58683 -7490 58822 -7460
+rect 58631 -7510 58683 -7500
+rect 59013 -7448 59065 -7438
+rect 58874 -7490 59013 -7460
+rect 58822 -7510 58874 -7500
+rect 59207 -7448 59259 -7438
+rect 59065 -7490 59207 -7460
+rect 59013 -7510 59065 -7500
+rect 59399 -7448 59451 -7438
+rect 59259 -7490 59399 -7460
+rect 59207 -7510 59259 -7500
+rect 59592 -7447 59644 -7437
+rect 59451 -7490 59592 -7460
+rect 59399 -7510 59451 -7500
+rect 59783 -7448 59835 -7438
+rect 59644 -7490 59783 -7460
+rect 59592 -7509 59644 -7499
+rect 59975 -7448 60027 -7438
+rect 59835 -7490 59975 -7460
+rect 59783 -7510 59835 -7500
+rect 60168 -7448 60220 -7438
+rect 60027 -7490 60168 -7460
+rect 59975 -7510 60027 -7500
+rect 60360 -7448 60412 -7438
+rect 60220 -7490 60360 -7460
+rect 60168 -7510 60220 -7500
+rect 60553 -7447 60605 -7437
+rect 60412 -7490 60553 -7460
+rect 60360 -7510 60412 -7500
+rect 60744 -7448 60796 -7438
+rect 60605 -7490 60744 -7460
+rect 60553 -7509 60605 -7499
+rect 60936 -7448 60988 -7438
+rect 60796 -7490 60936 -7460
+rect 60744 -7510 60796 -7500
+rect 61127 -7448 61179 -7438
+rect 60988 -7490 61127 -7460
+rect 60936 -7510 60988 -7500
+rect 61319 -7448 61371 -7438
+rect 61179 -7490 61319 -7460
+rect 61127 -7510 61179 -7500
+rect 61511 -7448 61563 -7438
+rect 61371 -7490 61511 -7460
+rect 61319 -7510 61371 -7500
+rect 61704 -7448 61756 -7438
+rect 61563 -7490 61704 -7460
+rect 61511 -7510 61563 -7500
+rect 61895 -7448 61947 -7438
+rect 61756 -7490 61895 -7460
+rect 61704 -7510 61756 -7500
+rect 62087 -7448 62139 -7438
+rect 61947 -7490 62087 -7460
+rect 61895 -7510 61947 -7500
+rect 62279 -7447 62331 -7437
+rect 62139 -7490 62279 -7460
+rect 62087 -7510 62139 -7500
+rect 62471 -7448 62523 -7438
+rect 62331 -7490 62471 -7460
+rect 62279 -7509 62331 -7499
+rect 62661 -7448 62713 -7438
+rect 62523 -7490 62661 -7460
+rect 62471 -7510 62523 -7500
+rect 62855 -7448 62907 -7438
+rect 62713 -7490 62855 -7460
+rect 62661 -7510 62713 -7500
+rect 63047 -7447 63099 -7437
+rect 62907 -7490 63047 -7460
+rect 62855 -7510 62907 -7500
+rect 63238 -7448 63290 -7438
+rect 63099 -7490 63238 -7460
+rect 63047 -7509 63099 -7499
+rect 63431 -7448 63483 -7438
+rect 63290 -7490 63431 -7460
+rect 63238 -7510 63290 -7500
+rect 63622 -7447 63674 -7437
+rect 63483 -7490 63622 -7460
+rect 63431 -7510 63483 -7500
+rect 63814 -7448 63866 -7438
+rect 63674 -7490 63814 -7460
+rect 63622 -7509 63674 -7499
+rect 64005 -7448 64057 -7438
+rect 63866 -7490 64005 -7460
+rect 63814 -7510 63866 -7500
+rect 64199 -7447 64251 -7437
+rect 64057 -7490 64199 -7460
+rect 64005 -7510 64057 -7500
+rect 64390 -7448 64442 -7438
+rect 64251 -7490 64390 -7460
+rect 64199 -7509 64251 -7499
+rect 64582 -7448 64634 -7438
+rect 64442 -7490 64582 -7460
+rect 64390 -7510 64442 -7500
+rect 64774 -7448 64826 -7438
+rect 64634 -7490 64774 -7460
+rect 64582 -7510 64634 -7500
+rect 64966 -7448 65018 -7438
+rect 64826 -7490 64966 -7460
+rect 64774 -7510 64826 -7500
+rect 65159 -7448 65211 -7438
+rect 65018 -7490 65159 -7460
+rect 64966 -7510 65018 -7500
+rect 65350 -7448 65402 -7438
+rect 65211 -7490 65350 -7460
+rect 65159 -7510 65211 -7500
+rect 65543 -7447 65595 -7437
+rect 65402 -7490 65543 -7460
+rect 65350 -7510 65402 -7500
+rect 65734 -7448 65786 -7438
+rect 65595 -7490 65734 -7460
+rect 65543 -7509 65595 -7499
+rect 65927 -7448 65979 -7438
+rect 65786 -7490 65927 -7460
+rect 65734 -7510 65786 -7500
+rect 66118 -7448 66170 -7438
+rect 65979 -7490 66118 -7460
+rect 65927 -7510 65979 -7500
+rect 66309 -7446 66361 -7436
+rect 66170 -7490 66309 -7460
+rect 66118 -7510 66170 -7500
+rect 66504 -7448 66556 -7438
+rect 66361 -7490 66504 -7460
+rect 66309 -7508 66361 -7498
+rect 66440 -7500 66504 -7490
+rect 66440 -7510 66556 -7500
+rect 66440 -7654 66510 -7510
+rect 49940 -7664 66588 -7654
+rect 47224 -7808 47652 -7752
+rect 48274 -7706 48446 -7696
+rect 48274 -7774 48446 -7764
+rect 49940 -7766 66588 -7756
+rect 68120 -7710 68292 -7700
+rect 68120 -7778 68292 -7768
+rect 68894 -7756 68924 -6672
+rect 69290 -7756 69326 -6672
+rect 68894 -7806 69326 -7756
+rect 68584 -7808 69326 -7806
+rect 47224 -8196 69326 -7808
+rect 47482 -8198 69326 -8196
+<< via2 >>
+rect 48286 -5236 48444 -5178
+rect 49920 -5280 66554 -5188
+rect 68070 -5236 68228 -5178
+rect 48274 -7764 48432 -7706
+rect 49940 -7756 66574 -7664
+rect 68120 -7768 68278 -7710
+<< metal3 >>
+rect 62104 -5160 62384 -5150
+rect 67454 -5160 67734 -5150
+rect 46340 -5178 69982 -5160
+rect 46340 -5236 48286 -5178
+rect 48444 -5188 68070 -5178
+rect 48444 -5236 49920 -5188
+rect 46340 -5280 49920 -5236
+rect 66554 -5236 68070 -5188
+rect 68228 -5236 69982 -5178
+rect 66554 -5280 69982 -5236
+rect 46340 -5438 69982 -5280
+rect 49104 -6370 49384 -5438
+rect 52114 -6370 52394 -5438
+rect 55614 -6370 55894 -5438
+rect 62104 -6370 62384 -5438
+rect 64654 -6370 64934 -5438
+rect 67454 -6370 67734 -5438
+rect 46324 -6648 69966 -6370
+rect 49104 -7510 49384 -6648
+rect 52114 -7510 52394 -6648
+rect 55614 -7510 55894 -6648
+rect 62104 -7510 62384 -6648
+rect 64654 -7510 64934 -6648
+rect 67454 -7510 67734 -6648
+rect 46350 -7664 69992 -7510
+rect 46350 -7706 49940 -7664
+rect 46350 -7764 48274 -7706
+rect 48432 -7756 49940 -7706
+rect 66574 -7710 69992 -7664
+rect 66574 -7756 68120 -7710
+rect 48432 -7764 68120 -7756
+rect 46350 -7768 68120 -7764
+rect 68278 -7768 69992 -7710
+rect 46350 -7788 69992 -7768
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM20
+timestamp 1662412052
+transform 1 0 54905 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM21
+timestamp 1662412052
+transform 1 0 54905 0 1 -6160
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM22
+timestamp 1662412052
+transform 1 0 61095 0 1 -6160
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM23
+timestamp 1662412052
+transform 1 0 61095 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM24
+timestamp 1662412052
+transform 1 0 58273 0 1 -5540
+box -8423 -310 8423 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM25
+timestamp 1662412052
+transform 1 0 58273 0 1 -7398
+box -8423 -310 8423 310
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR19
+timestamp 1662952458
+transform 0 1 47715 -1 0 -7211
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR20
+timestamp 1662952458
+transform 0 1 47715 -1 0 -5727
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_QP6N54  XR21
+timestamp 1662952458
+transform 1 0 49111 0 1 -6518
+box -739 -748 739 748
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR22
+timestamp 1662952458
+transform 0 1 68837 -1 0 -5731
+box -739 -657 739 657
+use sky130_fd_pr__nfet_01v8_lvt_6H2JYD  sky130_fd_pr__nfet_01v8_lvt_6H2JYD_0
+timestamp 1662976792
+transform 0 1 51148 -1 0 -6469
+box -211 -310 211 310
+use sky130_fd_pr__nfet_01v8_lvt_6H2JYD  sky130_fd_pr__nfet_01v8_lvt_6H2JYD_1
+timestamp 1662976792
+transform 0 1 64558 -1 0 -6463
+box -211 -310 211 310
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  sky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0
+timestamp 1662952458
+transform 0 1 68837 -1 0 -7211
+box -739 -657 739 657
+use sky130_fd_pr__res_xhigh_po_5p73_QP6N54  sky130_fd_pr__res_xhigh_po_5p73_QP6N54_0
+timestamp 1662952458
+transform 1 0 67439 0 1 -6468
+box -739 -748 739 748
+<< labels >>
+rlabel metal3 46330 -6470 46330 -6470 7 vss
+port 1 w
+rlabel metal2 51160 -6220 51160 -6220 1 voutp
+port 3 n
+rlabel metal2 65180 -6220 65180 -6220 1 voutn
+port 4 n
+rlabel metal2 50660 -6720 50660 -6720 5 vd21
+port 5 s
+rlabel metal2 65770 -6710 65770 -6710 1 vd22
+port 6 n
+rlabel metal1 57930 -6930 57930 -6930 3 vinp
+port 10 e
+rlabel metal1 58070 -6930 58070 -6930 1 vinn
+port 11 n
+rlabel metal1 49890 -7550 49890 -7550 7 vc1
+port 7 w
+rlabel metal1 49880 -5390 49880 -5390 7 vc2
+port 8 w
+<< end >>
diff --git a/mag/fb.mag b/mag/fb.mag
new file mode 100644
index 0000000..ae05911
--- /dev/null
+++ b/mag/fb.mag
@@ -0,0 +1,1948 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662983156
+<< pwell >>
+rect 53403 -7310 53408 -7308
+<< ndiff >>
+rect 53403 -7310 53408 -7308
+<< locali >>
+rect 48302 -6398 48426 -6396
+rect 48302 -6542 48820 -6398
+rect 68132 -6416 68246 -6400
+rect 67728 -6536 68246 -6416
+rect 68132 -6542 68246 -6536
+rect 49802 -7670 49900 -7624
+<< viali >>
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+<< metal1 >>
+rect 48934 -5718 49008 -5432
+rect 67544 -5802 67616 -5516
+rect 47244 -7752 47254 -6678
+rect 47614 -7752 47624 -6678
+rect 48194 -7112 48556 -6644
+rect 49426 -6924 49436 -6872
+rect 49488 -6924 49498 -6872
+rect 49432 -7112 49484 -6924
+rect 48194 -7202 49484 -7112
+rect 48194 -7240 48556 -7202
+rect 48190 -7640 48556 -7240
+rect 49538 -7692 49590 -7036
+rect 49672 -7312 49724 -6302
+rect 51874 -6610 51904 -6358
+rect 64088 -6610 64118 -6352
+rect 51874 -6640 57930 -6610
+rect 51874 -6920 51904 -6640
+rect 52038 -6741 52048 -6689
+rect 52100 -6741 52110 -6689
+rect 52228 -6740 52238 -6688
+rect 52290 -6740 52300 -6688
+rect 52420 -6739 52430 -6687
+rect 52482 -6739 52492 -6687
+rect 52611 -6739 52621 -6687
+rect 52673 -6739 52683 -6687
+rect 52805 -6739 52815 -6687
+rect 52867 -6739 52877 -6687
+rect 52995 -6740 53005 -6688
+rect 53057 -6740 53067 -6688
+rect 53189 -6739 53199 -6687
+rect 53251 -6739 53261 -6687
+rect 53381 -6739 53391 -6687
+rect 53443 -6739 53453 -6687
+rect 53572 -6740 53582 -6688
+rect 53634 -6740 53644 -6688
+rect 53767 -6740 53777 -6688
+rect 53829 -6740 53839 -6688
+rect 53957 -6740 53967 -6688
+rect 54019 -6740 54029 -6688
+rect 54148 -6740 54158 -6688
+rect 54210 -6740 54220 -6688
+rect 54340 -6740 54350 -6688
+rect 54402 -6740 54412 -6688
+rect 54533 -6739 54543 -6687
+rect 54595 -6739 54605 -6687
+rect 54725 -6740 54735 -6688
+rect 54787 -6740 54797 -6688
+rect 54917 -6740 54927 -6688
+rect 54979 -6740 54989 -6688
+rect 55110 -6740 55120 -6688
+rect 55172 -6740 55182 -6688
+rect 55301 -6739 55311 -6687
+rect 55363 -6739 55373 -6687
+rect 55493 -6740 55503 -6688
+rect 55555 -6740 55565 -6688
+rect 55685 -6739 55695 -6687
+rect 55747 -6739 55757 -6687
+rect 55877 -6739 55887 -6687
+rect 55939 -6739 55949 -6687
+rect 56068 -6740 56078 -6688
+rect 56130 -6740 56140 -6688
+rect 56261 -6739 56271 -6687
+rect 56323 -6739 56333 -6687
+rect 56453 -6739 56463 -6687
+rect 56515 -6739 56525 -6687
+rect 56647 -6740 56657 -6688
+rect 56709 -6740 56719 -6688
+rect 56838 -6741 56848 -6689
+rect 56900 -6741 56910 -6689
+rect 57027 -6740 57037 -6688
+rect 57089 -6740 57099 -6688
+rect 57220 -6740 57230 -6688
+rect 57282 -6740 57292 -6688
+rect 57413 -6740 57423 -6688
+rect 57475 -6740 57485 -6688
+rect 57604 -6739 57614 -6687
+rect 57666 -6739 57676 -6687
+rect 57795 -6739 57805 -6687
+rect 57857 -6739 57867 -6687
+rect 51940 -6880 51950 -6828
+rect 52002 -6880 52012 -6828
+rect 52132 -6880 52142 -6828
+rect 52194 -6880 52204 -6828
+rect 52325 -6880 52335 -6828
+rect 52387 -6880 52397 -6828
+rect 52517 -6880 52527 -6828
+rect 52579 -6880 52589 -6828
+rect 52710 -6880 52720 -6828
+rect 52772 -6880 52782 -6828
+rect 52902 -6879 52912 -6827
+rect 52964 -6879 52974 -6827
+rect 53093 -6880 53103 -6828
+rect 53155 -6880 53165 -6828
+rect 53285 -6880 53295 -6828
+rect 53347 -6880 53357 -6828
+rect 53477 -6879 53487 -6827
+rect 53539 -6879 53549 -6827
+rect 53669 -6879 53679 -6827
+rect 53731 -6879 53741 -6827
+rect 53861 -6880 53871 -6828
+rect 53923 -6880 53933 -6828
+rect 54053 -6879 54063 -6827
+rect 54115 -6879 54125 -6827
+rect 54245 -6880 54255 -6828
+rect 54307 -6880 54317 -6828
+rect 54436 -6879 54446 -6827
+rect 54498 -6879 54508 -6827
+rect 54630 -6880 54640 -6828
+rect 54692 -6880 54702 -6828
+rect 54820 -6880 54830 -6828
+rect 54882 -6880 54892 -6828
+rect 55012 -6880 55022 -6828
+rect 55074 -6880 55084 -6828
+rect 55205 -6880 55215 -6828
+rect 55267 -6880 55277 -6828
+rect 55396 -6880 55406 -6828
+rect 55458 -6880 55468 -6828
+rect 55589 -6879 55599 -6827
+rect 55651 -6879 55661 -6827
+rect 55782 -6880 55792 -6828
+rect 55844 -6880 55854 -6828
+rect 55973 -6880 55983 -6828
+rect 56035 -6880 56045 -6828
+rect 56166 -6880 56176 -6828
+rect 56228 -6880 56238 -6828
+rect 56356 -6880 56366 -6828
+rect 56418 -6880 56428 -6828
+rect 56550 -6879 56560 -6827
+rect 56612 -6879 56622 -6827
+rect 56739 -6880 56749 -6828
+rect 56801 -6880 56811 -6828
+rect 56932 -6880 56942 -6828
+rect 56994 -6880 57004 -6828
+rect 57125 -6880 57135 -6828
+rect 57187 -6880 57197 -6828
+rect 57315 -6880 57325 -6828
+rect 57377 -6880 57387 -6828
+rect 57508 -6879 57518 -6827
+rect 57570 -6879 57580 -6827
+rect 57697 -6879 57707 -6827
+rect 57759 -6879 57769 -6827
+rect 57900 -6920 57930 -6640
+rect 51874 -6950 57930 -6920
+rect 58070 -6640 64118 -6610
+rect 58070 -6920 58100 -6640
+rect 58226 -6740 58236 -6688
+rect 58288 -6740 58298 -6688
+rect 58416 -6739 58426 -6687
+rect 58478 -6739 58488 -6687
+rect 58608 -6738 58618 -6686
+rect 58670 -6738 58680 -6686
+rect 58799 -6738 58809 -6686
+rect 58861 -6738 58871 -6686
+rect 58993 -6738 59003 -6686
+rect 59055 -6738 59065 -6686
+rect 59183 -6739 59193 -6687
+rect 59245 -6739 59255 -6687
+rect 59377 -6738 59387 -6686
+rect 59439 -6738 59449 -6686
+rect 59569 -6738 59579 -6686
+rect 59631 -6738 59641 -6686
+rect 59760 -6739 59770 -6687
+rect 59822 -6739 59832 -6687
+rect 59955 -6739 59965 -6687
+rect 60017 -6739 60027 -6687
+rect 60145 -6739 60155 -6687
+rect 60207 -6739 60217 -6687
+rect 60336 -6739 60346 -6687
+rect 60398 -6739 60408 -6687
+rect 60528 -6739 60538 -6687
+rect 60590 -6739 60600 -6687
+rect 60721 -6738 60731 -6686
+rect 60783 -6738 60793 -6686
+rect 60913 -6739 60923 -6687
+rect 60975 -6739 60985 -6687
+rect 61105 -6739 61115 -6687
+rect 61167 -6739 61177 -6687
+rect 61298 -6739 61308 -6687
+rect 61360 -6739 61370 -6687
+rect 61489 -6738 61499 -6686
+rect 61551 -6738 61561 -6686
+rect 61681 -6739 61691 -6687
+rect 61743 -6739 61753 -6687
+rect 61873 -6738 61883 -6686
+rect 61935 -6738 61945 -6686
+rect 62065 -6738 62075 -6686
+rect 62127 -6738 62137 -6686
+rect 62256 -6739 62266 -6687
+rect 62318 -6739 62328 -6687
+rect 62449 -6738 62459 -6686
+rect 62511 -6738 62521 -6686
+rect 62641 -6738 62651 -6686
+rect 62703 -6738 62713 -6686
+rect 62835 -6739 62845 -6687
+rect 62897 -6739 62907 -6687
+rect 63026 -6740 63036 -6688
+rect 63088 -6740 63098 -6688
+rect 63215 -6739 63225 -6687
+rect 63277 -6739 63287 -6687
+rect 63408 -6739 63418 -6687
+rect 63470 -6739 63480 -6687
+rect 63601 -6739 63611 -6687
+rect 63663 -6739 63673 -6687
+rect 63792 -6738 63802 -6686
+rect 63854 -6738 63864 -6686
+rect 63983 -6738 63993 -6686
+rect 64045 -6738 64055 -6686
+rect 58130 -6879 58138 -6827
+rect 58190 -6879 58200 -6827
+rect 58320 -6879 58330 -6827
+rect 58382 -6879 58392 -6827
+rect 58513 -6879 58523 -6827
+rect 58575 -6879 58585 -6827
+rect 58705 -6879 58715 -6827
+rect 58767 -6879 58777 -6827
+rect 58898 -6879 58908 -6827
+rect 58960 -6879 58970 -6827
+rect 59090 -6878 59100 -6826
+rect 59152 -6878 59162 -6826
+rect 59281 -6879 59291 -6827
+rect 59343 -6879 59353 -6827
+rect 59473 -6879 59483 -6827
+rect 59535 -6879 59545 -6827
+rect 59665 -6878 59675 -6826
+rect 59727 -6878 59737 -6826
+rect 59857 -6878 59867 -6826
+rect 59919 -6878 59929 -6826
+rect 60049 -6879 60059 -6827
+rect 60111 -6879 60121 -6827
+rect 60241 -6878 60251 -6826
+rect 60303 -6878 60313 -6826
+rect 60433 -6879 60443 -6827
+rect 60495 -6879 60505 -6827
+rect 60624 -6878 60634 -6826
+rect 60686 -6878 60696 -6826
+rect 60818 -6879 60828 -6827
+rect 60880 -6879 60890 -6827
+rect 61008 -6879 61018 -6827
+rect 61070 -6879 61080 -6827
+rect 61200 -6879 61210 -6827
+rect 61262 -6879 61272 -6827
+rect 61393 -6879 61403 -6827
+rect 61455 -6879 61465 -6827
+rect 61584 -6879 61594 -6827
+rect 61646 -6879 61656 -6827
+rect 61777 -6878 61787 -6826
+rect 61839 -6878 61849 -6826
+rect 61970 -6879 61980 -6827
+rect 62032 -6879 62042 -6827
+rect 62161 -6879 62171 -6827
+rect 62223 -6879 62233 -6827
+rect 62354 -6879 62364 -6827
+rect 62416 -6879 62426 -6827
+rect 62544 -6879 62554 -6827
+rect 62606 -6879 62616 -6827
+rect 62738 -6878 62748 -6826
+rect 62800 -6878 62810 -6826
+rect 62927 -6879 62937 -6827
+rect 62989 -6879 62999 -6827
+rect 63120 -6879 63130 -6827
+rect 63182 -6879 63192 -6827
+rect 63313 -6879 63323 -6827
+rect 63375 -6879 63385 -6827
+rect 63503 -6879 63513 -6827
+rect 63565 -6879 63575 -6827
+rect 63696 -6878 63706 -6826
+rect 63758 -6878 63768 -6826
+rect 63885 -6878 63895 -6826
+rect 63947 -6878 63957 -6826
+rect 64084 -6920 64118 -6640
+rect 66902 -6902 66912 -6850
+rect 66964 -6902 66974 -6850
+rect 58070 -6944 64118 -6920
+rect 58070 -6950 64114 -6944
+rect 49890 -7260 66624 -7230
+rect 49890 -7312 49920 -7260
+rect 49672 -7350 49920 -7312
+rect 49672 -7584 49724 -7350
+rect 49890 -7540 49920 -7350
+rect 50077 -7354 50087 -7302
+rect 50139 -7354 50149 -7302
+rect 50267 -7353 50277 -7301
+rect 50329 -7353 50339 -7301
+rect 50460 -7356 50470 -7304
+rect 50522 -7356 50532 -7304
+rect 50652 -7351 50662 -7299
+rect 50714 -7351 50724 -7299
+rect 50844 -7355 50854 -7303
+rect 50906 -7355 50916 -7303
+rect 51036 -7350 51046 -7298
+rect 51098 -7350 51108 -7298
+rect 51228 -7351 51238 -7299
+rect 51290 -7351 51300 -7299
+rect 51418 -7350 51428 -7298
+rect 51480 -7350 51490 -7298
+rect 51612 -7361 51622 -7309
+rect 51674 -7361 51684 -7309
+rect 51801 -7359 51811 -7307
+rect 51863 -7359 51873 -7307
+rect 51991 -7359 52001 -7307
+rect 52053 -7359 52063 -7307
+rect 52190 -7360 52200 -7308
+rect 52252 -7360 52262 -7308
+rect 52382 -7359 52392 -7307
+rect 52444 -7359 52454 -7307
+rect 52572 -7360 52582 -7308
+rect 52634 -7360 52644 -7308
+rect 52764 -7353 52774 -7301
+rect 52826 -7353 52836 -7301
+rect 52956 -7303 53028 -7298
+rect 52956 -7355 52966 -7303
+rect 53018 -7355 53028 -7303
+rect 53149 -7354 53159 -7302
+rect 53211 -7354 53221 -7302
+rect 53341 -7352 53351 -7300
+rect 53403 -7352 53413 -7300
+rect 53532 -7352 53542 -7300
+rect 53594 -7352 53604 -7300
+rect 53726 -7357 53736 -7305
+rect 53788 -7357 53798 -7305
+rect 53917 -7353 53927 -7301
+rect 53979 -7353 53989 -7301
+rect 54109 -7349 54119 -7297
+rect 54171 -7349 54181 -7297
+rect 54302 -7353 54312 -7301
+rect 54364 -7353 54374 -7301
+rect 54494 -7353 54504 -7301
+rect 54556 -7353 54566 -7301
+rect 54687 -7354 54697 -7302
+rect 54749 -7354 54759 -7302
+rect 54879 -7352 54889 -7300
+rect 54941 -7352 54951 -7300
+rect 55070 -7351 55080 -7299
+rect 55132 -7351 55142 -7299
+rect 55261 -7350 55271 -7298
+rect 55323 -7350 55333 -7298
+rect 55454 -7350 55464 -7298
+rect 55516 -7350 55526 -7298
+rect 55645 -7359 55655 -7307
+rect 55707 -7359 55717 -7307
+rect 55836 -7360 55846 -7308
+rect 55898 -7360 55908 -7308
+rect 56026 -7361 56036 -7309
+rect 56088 -7361 56098 -7309
+rect 56220 -7365 56230 -7313
+rect 56282 -7365 56292 -7313
+rect 56412 -7367 56422 -7315
+rect 56474 -7367 56484 -7315
+rect 56606 -7366 56616 -7314
+rect 56668 -7366 56678 -7314
+rect 56798 -7363 56808 -7311
+rect 56860 -7363 56870 -7311
+rect 56987 -7360 56997 -7308
+rect 57049 -7360 57059 -7308
+rect 57181 -7358 57191 -7306
+rect 57243 -7358 57253 -7306
+rect 57374 -7359 57384 -7307
+rect 57436 -7359 57446 -7307
+rect 57567 -7360 57577 -7308
+rect 57629 -7360 57639 -7308
+rect 57756 -7360 57766 -7308
+rect 57818 -7360 57828 -7308
+rect 57949 -7360 57959 -7308
+rect 58011 -7360 58021 -7308
+rect 58141 -7360 58151 -7308
+rect 58203 -7360 58213 -7308
+rect 58332 -7360 58342 -7308
+rect 58394 -7360 58404 -7308
+rect 58525 -7360 58535 -7308
+rect 58587 -7360 58597 -7308
+rect 58716 -7359 58726 -7307
+rect 58778 -7359 58788 -7307
+rect 58911 -7360 58921 -7308
+rect 58973 -7360 58983 -7308
+rect 59100 -7360 59110 -7308
+rect 59162 -7360 59172 -7308
+rect 59293 -7360 59303 -7308
+rect 59355 -7360 59365 -7308
+rect 59485 -7360 59495 -7308
+rect 59547 -7360 59557 -7308
+rect 59676 -7360 59686 -7308
+rect 59738 -7360 59748 -7308
+rect 59868 -7360 59878 -7308
+rect 59930 -7360 59940 -7308
+rect 60061 -7360 60071 -7308
+rect 60123 -7360 60133 -7308
+rect 60250 -7361 60260 -7309
+rect 60312 -7361 60322 -7309
+rect 60444 -7360 60454 -7308
+rect 60506 -7360 60516 -7308
+rect 60635 -7360 60645 -7308
+rect 60697 -7360 60707 -7308
+rect 60828 -7360 60838 -7308
+rect 60890 -7360 60900 -7308
+rect 61020 -7360 61030 -7308
+rect 61082 -7360 61092 -7308
+rect 61212 -7361 61222 -7309
+rect 61274 -7361 61284 -7309
+rect 61405 -7359 61415 -7307
+rect 61467 -7359 61477 -7307
+rect 61595 -7359 61605 -7307
+rect 61657 -7359 61667 -7307
+rect 61788 -7361 61798 -7309
+rect 61850 -7361 61860 -7309
+rect 61980 -7360 61990 -7308
+rect 62042 -7360 62052 -7308
+rect 62173 -7359 62183 -7307
+rect 62235 -7359 62245 -7307
+rect 62364 -7359 62374 -7307
+rect 62426 -7359 62436 -7307
+rect 62556 -7360 62566 -7308
+rect 62618 -7360 62628 -7308
+rect 62747 -7360 62757 -7308
+rect 62809 -7360 62819 -7308
+rect 62939 -7360 62949 -7308
+rect 63001 -7360 63011 -7308
+rect 63133 -7359 63143 -7307
+rect 63195 -7359 63205 -7307
+rect 63325 -7360 63335 -7308
+rect 63387 -7360 63397 -7308
+rect 63515 -7361 63525 -7309
+rect 63577 -7361 63587 -7309
+rect 63706 -7362 63716 -7310
+rect 63768 -7362 63778 -7310
+rect 63899 -7360 63909 -7308
+rect 63961 -7360 63971 -7308
+rect 64092 -7359 64102 -7307
+rect 64154 -7359 64164 -7307
+rect 64285 -7360 64295 -7308
+rect 64347 -7360 64357 -7308
+rect 64476 -7360 64486 -7308
+rect 64538 -7360 64548 -7308
+rect 64667 -7360 64677 -7308
+rect 64729 -7360 64739 -7308
+rect 64860 -7360 64870 -7308
+rect 64922 -7360 64932 -7308
+rect 65053 -7360 65063 -7308
+rect 65115 -7360 65125 -7308
+rect 65244 -7360 65254 -7308
+rect 65306 -7360 65316 -7308
+rect 65435 -7359 65445 -7307
+rect 65497 -7359 65507 -7307
+rect 65626 -7359 65636 -7307
+rect 65688 -7359 65698 -7307
+rect 65819 -7360 65829 -7308
+rect 65881 -7360 65891 -7308
+rect 66013 -7360 66023 -7308
+rect 66075 -7360 66085 -7308
+rect 66201 -7361 66211 -7309
+rect 66263 -7361 66273 -7309
+rect 66395 -7369 66405 -7317
+rect 66457 -7369 66467 -7317
+rect 49981 -7497 49991 -7445
+rect 50043 -7497 50053 -7445
+rect 50172 -7499 50182 -7447
+rect 50234 -7499 50244 -7447
+rect 50364 -7498 50374 -7446
+rect 50426 -7498 50436 -7446
+rect 50556 -7501 50566 -7449
+rect 50618 -7501 50628 -7449
+rect 50750 -7500 50760 -7448
+rect 50812 -7500 50822 -7448
+rect 50940 -7500 50950 -7448
+rect 51002 -7500 51012 -7448
+rect 51135 -7498 51145 -7446
+rect 51197 -7498 51207 -7446
+rect 51326 -7497 51336 -7445
+rect 51388 -7497 51398 -7445
+rect 51521 -7499 51531 -7447
+rect 51583 -7499 51593 -7447
+rect 51711 -7499 51721 -7447
+rect 51773 -7499 51783 -7447
+rect 51901 -7498 51911 -7446
+rect 51963 -7498 51973 -7446
+rect 52094 -7497 52104 -7445
+rect 52156 -7497 52166 -7445
+rect 52285 -7497 52295 -7445
+rect 52347 -7497 52357 -7445
+rect 52481 -7499 52491 -7447
+rect 52543 -7499 52553 -7447
+rect 52670 -7499 52680 -7447
+rect 52732 -7499 52742 -7447
+rect 52864 -7499 52874 -7447
+rect 52926 -7499 52936 -7447
+rect 53053 -7499 53063 -7447
+rect 53115 -7499 53125 -7447
+rect 53245 -7500 53255 -7448
+rect 53307 -7500 53317 -7448
+rect 53438 -7500 53448 -7448
+rect 53500 -7500 53510 -7448
+rect 53629 -7500 53639 -7448
+rect 53691 -7500 53701 -7448
+rect 53821 -7499 53831 -7447
+rect 53883 -7499 53893 -7447
+rect 54013 -7500 54023 -7448
+rect 54075 -7500 54085 -7448
+rect 54206 -7500 54216 -7448
+rect 54268 -7500 54278 -7448
+rect 54397 -7500 54407 -7448
+rect 54459 -7500 54469 -7448
+rect 54589 -7499 54599 -7447
+rect 54651 -7499 54661 -7447
+rect 54782 -7500 54792 -7448
+rect 54844 -7500 54854 -7448
+rect 54974 -7500 54984 -7448
+rect 55036 -7500 55046 -7448
+rect 55165 -7500 55175 -7448
+rect 55227 -7500 55237 -7448
+rect 55356 -7500 55366 -7448
+rect 55418 -7500 55428 -7448
+rect 55548 -7500 55558 -7448
+rect 55610 -7500 55620 -7448
+rect 55741 -7499 55751 -7447
+rect 55803 -7499 55813 -7447
+rect 55932 -7499 55942 -7447
+rect 55994 -7499 56004 -7447
+rect 56126 -7500 56136 -7448
+rect 56188 -7500 56198 -7448
+rect 56318 -7500 56328 -7448
+rect 56380 -7500 56390 -7448
+rect 56510 -7500 56520 -7448
+rect 56572 -7500 56582 -7448
+rect 56702 -7500 56712 -7448
+rect 56764 -7500 56774 -7448
+rect 56894 -7500 56904 -7448
+rect 56956 -7500 56966 -7448
+rect 57086 -7500 57096 -7448
+rect 57148 -7500 57158 -7448
+rect 57278 -7500 57288 -7448
+rect 57340 -7500 57350 -7448
+rect 57469 -7500 57479 -7448
+rect 57531 -7500 57541 -7448
+rect 57661 -7500 57671 -7448
+rect 57723 -7500 57733 -7448
+rect 57854 -7500 57864 -7448
+rect 57916 -7500 57926 -7448
+rect 58046 -7500 58056 -7448
+rect 58108 -7500 58118 -7448
+rect 58238 -7500 58248 -7448
+rect 58300 -7500 58310 -7448
+rect 58429 -7500 58439 -7448
+rect 58491 -7500 58501 -7448
+rect 58621 -7500 58631 -7448
+rect 58683 -7500 58693 -7448
+rect 58812 -7500 58822 -7448
+rect 58874 -7500 58884 -7448
+rect 59003 -7500 59013 -7448
+rect 59065 -7500 59075 -7448
+rect 59197 -7500 59207 -7448
+rect 59259 -7500 59269 -7448
+rect 59389 -7500 59399 -7448
+rect 59451 -7500 59461 -7448
+rect 59582 -7499 59592 -7447
+rect 59644 -7499 59654 -7447
+rect 59773 -7500 59783 -7448
+rect 59835 -7500 59845 -7448
+rect 59965 -7500 59975 -7448
+rect 60027 -7500 60037 -7448
+rect 60158 -7500 60168 -7448
+rect 60220 -7500 60230 -7448
+rect 60350 -7500 60360 -7448
+rect 60412 -7500 60422 -7448
+rect 60543 -7499 60553 -7447
+rect 60605 -7499 60615 -7447
+rect 60734 -7500 60744 -7448
+rect 60796 -7500 60806 -7448
+rect 60926 -7500 60936 -7448
+rect 60988 -7500 60998 -7448
+rect 61117 -7500 61127 -7448
+rect 61179 -7500 61189 -7448
+rect 61309 -7500 61319 -7448
+rect 61371 -7500 61381 -7448
+rect 61501 -7500 61511 -7448
+rect 61563 -7500 61573 -7448
+rect 61694 -7500 61704 -7448
+rect 61756 -7500 61766 -7448
+rect 61885 -7500 61895 -7448
+rect 61947 -7500 61957 -7448
+rect 62077 -7500 62087 -7448
+rect 62139 -7500 62149 -7448
+rect 62269 -7499 62279 -7447
+rect 62331 -7499 62341 -7447
+rect 62461 -7500 62471 -7448
+rect 62523 -7500 62533 -7448
+rect 62651 -7500 62661 -7448
+rect 62713 -7500 62723 -7448
+rect 62845 -7500 62855 -7448
+rect 62907 -7500 62917 -7448
+rect 63037 -7499 63047 -7447
+rect 63099 -7499 63109 -7447
+rect 63228 -7500 63238 -7448
+rect 63290 -7500 63300 -7448
+rect 63421 -7500 63431 -7448
+rect 63483 -7500 63493 -7448
+rect 63612 -7499 63622 -7447
+rect 63674 -7499 63684 -7447
+rect 63804 -7500 63814 -7448
+rect 63866 -7500 63876 -7448
+rect 63995 -7500 64005 -7448
+rect 64057 -7500 64067 -7448
+rect 64189 -7499 64199 -7447
+rect 64251 -7499 64261 -7447
+rect 64380 -7500 64390 -7448
+rect 64442 -7500 64452 -7448
+rect 64572 -7500 64582 -7448
+rect 64634 -7500 64644 -7448
+rect 64764 -7500 64774 -7448
+rect 64826 -7500 64836 -7448
+rect 64956 -7500 64966 -7448
+rect 65018 -7500 65028 -7448
+rect 65149 -7500 65159 -7448
+rect 65211 -7500 65221 -7448
+rect 65340 -7500 65350 -7448
+rect 65402 -7500 65412 -7448
+rect 65533 -7499 65543 -7447
+rect 65595 -7499 65605 -7447
+rect 65724 -7500 65734 -7448
+rect 65786 -7500 65796 -7448
+rect 65917 -7500 65927 -7448
+rect 65979 -7500 65989 -7448
+rect 66108 -7500 66118 -7448
+rect 66170 -7500 66180 -7448
+rect 66299 -7498 66309 -7446
+rect 66361 -7498 66371 -7446
+rect 66494 -7500 66504 -7448
+rect 66556 -7500 66566 -7448
+rect 66594 -7540 66624 -7260
+rect 66912 -7270 66974 -6902
+rect 68006 -7234 68368 -6644
+rect 68006 -7270 68374 -7234
+rect 66912 -7334 68374 -7270
+rect 66932 -7338 68374 -7334
+rect 49890 -7570 66624 -7540
+rect 68006 -7640 68374 -7338
+rect 68368 -7642 68374 -7640
+rect 49928 -7664 66600 -7658
+rect 49928 -7692 49940 -7664
+rect 48262 -7706 48458 -7700
+rect 48262 -7764 48274 -7706
+rect 48446 -7764 48458 -7706
+rect 49524 -7752 49940 -7692
+rect 49928 -7756 49940 -7752
+rect 66588 -7756 66600 -7664
+rect 49928 -7762 66600 -7756
+rect 68108 -7710 68304 -7704
+rect 48262 -7770 48458 -7764
+rect 68108 -7768 68120 -7710
+rect 68292 -7768 68304 -7710
+rect 68914 -7756 68924 -6672
+rect 69290 -7756 69300 -6672
+rect 68108 -7774 68304 -7768
+<< via1 >>
+rect 47254 -7752 47614 -6678
+rect 49436 -6924 49488 -6872
+rect 52048 -6741 52100 -6689
+rect 52238 -6740 52290 -6688
+rect 52430 -6739 52482 -6687
+rect 52621 -6739 52673 -6687
+rect 52815 -6739 52867 -6687
+rect 53005 -6740 53057 -6688
+rect 53199 -6739 53251 -6687
+rect 53391 -6739 53443 -6687
+rect 53582 -6740 53634 -6688
+rect 53777 -6740 53829 -6688
+rect 53967 -6740 54019 -6688
+rect 54158 -6740 54210 -6688
+rect 54350 -6740 54402 -6688
+rect 54543 -6739 54595 -6687
+rect 54735 -6740 54787 -6688
+rect 54927 -6740 54979 -6688
+rect 55120 -6740 55172 -6688
+rect 55311 -6739 55363 -6687
+rect 55503 -6740 55555 -6688
+rect 55695 -6739 55747 -6687
+rect 55887 -6739 55939 -6687
+rect 56078 -6740 56130 -6688
+rect 56271 -6739 56323 -6687
+rect 56463 -6739 56515 -6687
+rect 56657 -6740 56709 -6688
+rect 56848 -6741 56900 -6689
+rect 57037 -6740 57089 -6688
+rect 57230 -6740 57282 -6688
+rect 57423 -6740 57475 -6688
+rect 57614 -6739 57666 -6687
+rect 57805 -6739 57857 -6687
+rect 51950 -6880 52002 -6828
+rect 52142 -6880 52194 -6828
+rect 52335 -6880 52387 -6828
+rect 52527 -6880 52579 -6828
+rect 52720 -6880 52772 -6828
+rect 52912 -6879 52964 -6827
+rect 53103 -6880 53155 -6828
+rect 53295 -6880 53347 -6828
+rect 53487 -6879 53539 -6827
+rect 53679 -6879 53731 -6827
+rect 53871 -6880 53923 -6828
+rect 54063 -6879 54115 -6827
+rect 54255 -6880 54307 -6828
+rect 54446 -6879 54498 -6827
+rect 54640 -6880 54692 -6828
+rect 54830 -6880 54882 -6828
+rect 55022 -6880 55074 -6828
+rect 55215 -6880 55267 -6828
+rect 55406 -6880 55458 -6828
+rect 55599 -6879 55651 -6827
+rect 55792 -6880 55844 -6828
+rect 55983 -6880 56035 -6828
+rect 56176 -6880 56228 -6828
+rect 56366 -6880 56418 -6828
+rect 56560 -6879 56612 -6827
+rect 56749 -6880 56801 -6828
+rect 56942 -6880 56994 -6828
+rect 57135 -6880 57187 -6828
+rect 57325 -6880 57377 -6828
+rect 57518 -6879 57570 -6827
+rect 57707 -6879 57759 -6827
+rect 58236 -6740 58288 -6688
+rect 58426 -6739 58478 -6687
+rect 58618 -6738 58670 -6686
+rect 58809 -6738 58861 -6686
+rect 59003 -6738 59055 -6686
+rect 59193 -6739 59245 -6687
+rect 59387 -6738 59439 -6686
+rect 59579 -6738 59631 -6686
+rect 59770 -6739 59822 -6687
+rect 59965 -6739 60017 -6687
+rect 60155 -6739 60207 -6687
+rect 60346 -6739 60398 -6687
+rect 60538 -6739 60590 -6687
+rect 60731 -6738 60783 -6686
+rect 60923 -6739 60975 -6687
+rect 61115 -6739 61167 -6687
+rect 61308 -6739 61360 -6687
+rect 61499 -6738 61551 -6686
+rect 61691 -6739 61743 -6687
+rect 61883 -6738 61935 -6686
+rect 62075 -6738 62127 -6686
+rect 62266 -6739 62318 -6687
+rect 62459 -6738 62511 -6686
+rect 62651 -6738 62703 -6686
+rect 62845 -6739 62897 -6687
+rect 63036 -6740 63088 -6688
+rect 63225 -6739 63277 -6687
+rect 63418 -6739 63470 -6687
+rect 63611 -6739 63663 -6687
+rect 63802 -6738 63854 -6686
+rect 63993 -6738 64045 -6686
+rect 58138 -6879 58190 -6827
+rect 58330 -6879 58382 -6827
+rect 58523 -6879 58575 -6827
+rect 58715 -6879 58767 -6827
+rect 58908 -6879 58960 -6827
+rect 59100 -6878 59152 -6826
+rect 59291 -6879 59343 -6827
+rect 59483 -6879 59535 -6827
+rect 59675 -6878 59727 -6826
+rect 59867 -6878 59919 -6826
+rect 60059 -6879 60111 -6827
+rect 60251 -6878 60303 -6826
+rect 60443 -6879 60495 -6827
+rect 60634 -6878 60686 -6826
+rect 60828 -6879 60880 -6827
+rect 61018 -6879 61070 -6827
+rect 61210 -6879 61262 -6827
+rect 61403 -6879 61455 -6827
+rect 61594 -6879 61646 -6827
+rect 61787 -6878 61839 -6826
+rect 61980 -6879 62032 -6827
+rect 62171 -6879 62223 -6827
+rect 62364 -6879 62416 -6827
+rect 62554 -6879 62606 -6827
+rect 62748 -6878 62800 -6826
+rect 62937 -6879 62989 -6827
+rect 63130 -6879 63182 -6827
+rect 63323 -6879 63375 -6827
+rect 63513 -6879 63565 -6827
+rect 63706 -6878 63758 -6826
+rect 63895 -6878 63947 -6826
+rect 66912 -6902 66964 -6850
+rect 50087 -7354 50139 -7302
+rect 50277 -7353 50329 -7301
+rect 50470 -7356 50522 -7304
+rect 50662 -7351 50714 -7299
+rect 50854 -7355 50906 -7303
+rect 51046 -7350 51098 -7298
+rect 51238 -7351 51290 -7299
+rect 51428 -7350 51480 -7298
+rect 51622 -7361 51674 -7309
+rect 51811 -7359 51863 -7307
+rect 52001 -7359 52053 -7307
+rect 52200 -7360 52252 -7308
+rect 52392 -7359 52444 -7307
+rect 52582 -7360 52634 -7308
+rect 52774 -7353 52826 -7301
+rect 52966 -7355 53018 -7303
+rect 53159 -7354 53211 -7302
+rect 53351 -7352 53403 -7300
+rect 53542 -7352 53594 -7300
+rect 53736 -7357 53788 -7305
+rect 53927 -7353 53979 -7301
+rect 54119 -7349 54171 -7297
+rect 54312 -7353 54364 -7301
+rect 54504 -7353 54556 -7301
+rect 54697 -7354 54749 -7302
+rect 54889 -7352 54941 -7300
+rect 55080 -7351 55132 -7299
+rect 55271 -7350 55323 -7298
+rect 55464 -7350 55516 -7298
+rect 55655 -7359 55707 -7307
+rect 55846 -7360 55898 -7308
+rect 56036 -7361 56088 -7309
+rect 56230 -7365 56282 -7313
+rect 56422 -7367 56474 -7315
+rect 56616 -7366 56668 -7314
+rect 56808 -7363 56860 -7311
+rect 56997 -7360 57049 -7308
+rect 57191 -7358 57243 -7306
+rect 57384 -7359 57436 -7307
+rect 57577 -7360 57629 -7308
+rect 57766 -7360 57818 -7308
+rect 57959 -7360 58011 -7308
+rect 58151 -7360 58203 -7308
+rect 58342 -7360 58394 -7308
+rect 58535 -7360 58587 -7308
+rect 58726 -7359 58778 -7307
+rect 58921 -7360 58973 -7308
+rect 59110 -7360 59162 -7308
+rect 59303 -7360 59355 -7308
+rect 59495 -7360 59547 -7308
+rect 59686 -7360 59738 -7308
+rect 59878 -7360 59930 -7308
+rect 60071 -7360 60123 -7308
+rect 60260 -7361 60312 -7309
+rect 60454 -7360 60506 -7308
+rect 60645 -7360 60697 -7308
+rect 60838 -7360 60890 -7308
+rect 61030 -7360 61082 -7308
+rect 61222 -7361 61274 -7309
+rect 61415 -7359 61467 -7307
+rect 61605 -7359 61657 -7307
+rect 61798 -7361 61850 -7309
+rect 61990 -7360 62042 -7308
+rect 62183 -7359 62235 -7307
+rect 62374 -7359 62426 -7307
+rect 62566 -7360 62618 -7308
+rect 62757 -7360 62809 -7308
+rect 62949 -7360 63001 -7308
+rect 63143 -7359 63195 -7307
+rect 63335 -7360 63387 -7308
+rect 63525 -7361 63577 -7309
+rect 63716 -7362 63768 -7310
+rect 63909 -7360 63961 -7308
+rect 64102 -7359 64154 -7307
+rect 64295 -7360 64347 -7308
+rect 64486 -7360 64538 -7308
+rect 64677 -7360 64729 -7308
+rect 64870 -7360 64922 -7308
+rect 65063 -7360 65115 -7308
+rect 65254 -7360 65306 -7308
+rect 65445 -7359 65497 -7307
+rect 65636 -7359 65688 -7307
+rect 65829 -7360 65881 -7308
+rect 66023 -7360 66075 -7308
+rect 66211 -7361 66263 -7309
+rect 66405 -7369 66457 -7317
+rect 49991 -7497 50043 -7445
+rect 50182 -7499 50234 -7447
+rect 50374 -7498 50426 -7446
+rect 50566 -7501 50618 -7449
+rect 50760 -7500 50812 -7448
+rect 50950 -7500 51002 -7448
+rect 51145 -7498 51197 -7446
+rect 51336 -7497 51388 -7445
+rect 51531 -7499 51583 -7447
+rect 51721 -7499 51773 -7447
+rect 51911 -7498 51963 -7446
+rect 52104 -7497 52156 -7445
+rect 52295 -7497 52347 -7445
+rect 52491 -7499 52543 -7447
+rect 52680 -7499 52732 -7447
+rect 52874 -7499 52926 -7447
+rect 53063 -7499 53115 -7447
+rect 53255 -7500 53307 -7448
+rect 53448 -7500 53500 -7448
+rect 53639 -7500 53691 -7448
+rect 53831 -7499 53883 -7447
+rect 54023 -7500 54075 -7448
+rect 54216 -7500 54268 -7448
+rect 54407 -7500 54459 -7448
+rect 54599 -7499 54651 -7447
+rect 54792 -7500 54844 -7448
+rect 54984 -7500 55036 -7448
+rect 55175 -7500 55227 -7448
+rect 55366 -7500 55418 -7448
+rect 55558 -7500 55610 -7448
+rect 55751 -7499 55803 -7447
+rect 55942 -7499 55994 -7447
+rect 56136 -7500 56188 -7448
+rect 56328 -7500 56380 -7448
+rect 56520 -7500 56572 -7448
+rect 56712 -7500 56764 -7448
+rect 56904 -7500 56956 -7448
+rect 57096 -7500 57148 -7448
+rect 57288 -7500 57340 -7448
+rect 57479 -7500 57531 -7448
+rect 57671 -7500 57723 -7448
+rect 57864 -7500 57916 -7448
+rect 58056 -7500 58108 -7448
+rect 58248 -7500 58300 -7448
+rect 58439 -7500 58491 -7448
+rect 58631 -7500 58683 -7448
+rect 58822 -7500 58874 -7448
+rect 59013 -7500 59065 -7448
+rect 59207 -7500 59259 -7448
+rect 59399 -7500 59451 -7448
+rect 59592 -7499 59644 -7447
+rect 59783 -7500 59835 -7448
+rect 59975 -7500 60027 -7448
+rect 60168 -7500 60220 -7448
+rect 60360 -7500 60412 -7448
+rect 60553 -7499 60605 -7447
+rect 60744 -7500 60796 -7448
+rect 60936 -7500 60988 -7448
+rect 61127 -7500 61179 -7448
+rect 61319 -7500 61371 -7448
+rect 61511 -7500 61563 -7448
+rect 61704 -7500 61756 -7448
+rect 61895 -7500 61947 -7448
+rect 62087 -7500 62139 -7448
+rect 62279 -7499 62331 -7447
+rect 62471 -7500 62523 -7448
+rect 62661 -7500 62713 -7448
+rect 62855 -7500 62907 -7448
+rect 63047 -7499 63099 -7447
+rect 63238 -7500 63290 -7448
+rect 63431 -7500 63483 -7448
+rect 63622 -7499 63674 -7447
+rect 63814 -7500 63866 -7448
+rect 64005 -7500 64057 -7448
+rect 64199 -7499 64251 -7447
+rect 64390 -7500 64442 -7448
+rect 64582 -7500 64634 -7448
+rect 64774 -7500 64826 -7448
+rect 64966 -7500 65018 -7448
+rect 65159 -7500 65211 -7448
+rect 65350 -7500 65402 -7448
+rect 65543 -7499 65595 -7447
+rect 65734 -7500 65786 -7448
+rect 65927 -7500 65979 -7448
+rect 66118 -7500 66170 -7448
+rect 66309 -7498 66361 -7446
+rect 66504 -7500 66556 -7448
+rect 48274 -7764 48446 -7706
+rect 49940 -7756 66588 -7664
+rect 68120 -7768 68292 -7710
+rect 68924 -7756 69290 -6672
+<< metal2 >>
+rect 47224 -6678 47652 -6366
+rect 47224 -7752 47254 -6678
+rect 47614 -7752 47652 -6678
+rect 52040 -6680 52100 -6434
+rect 52238 -6680 52290 -6678
+rect 52430 -6680 52482 -6677
+rect 52621 -6680 52673 -6677
+rect 52815 -6680 52867 -6677
+rect 53005 -6680 53057 -6678
+rect 53199 -6680 53251 -6677
+rect 53391 -6680 53443 -6677
+rect 53582 -6680 53634 -6678
+rect 53777 -6680 53829 -6678
+rect 53967 -6680 54019 -6678
+rect 54158 -6680 54210 -6678
+rect 54350 -6680 54402 -6678
+rect 54543 -6680 54595 -6677
+rect 54735 -6680 54787 -6678
+rect 54927 -6680 54979 -6678
+rect 55120 -6680 55172 -6678
+rect 55311 -6680 55363 -6677
+rect 55503 -6680 55555 -6678
+rect 55695 -6680 55747 -6677
+rect 55887 -6680 55939 -6677
+rect 56078 -6680 56130 -6678
+rect 56271 -6680 56323 -6677
+rect 56463 -6680 56515 -6677
+rect 56657 -6680 56709 -6678
+rect 56848 -6680 56900 -6679
+rect 57037 -6680 57089 -6678
+rect 57230 -6680 57282 -6678
+rect 57423 -6680 57475 -6678
+rect 57614 -6680 57666 -6677
+rect 57800 -6680 57860 -6388
+rect 49440 -6687 57860 -6680
+rect 49440 -6688 52430 -6687
+rect 49440 -6689 52238 -6688
+rect 49440 -6720 52048 -6689
+rect 49440 -6862 49470 -6720
+rect 52100 -6710 52238 -6689
+rect 52048 -6751 52100 -6741
+rect 52290 -6710 52430 -6688
+rect 52238 -6750 52290 -6740
+rect 52482 -6710 52621 -6687
+rect 52430 -6749 52482 -6739
+rect 52673 -6710 52815 -6687
+rect 52621 -6749 52673 -6739
+rect 52867 -6688 53199 -6687
+rect 52867 -6710 53005 -6688
+rect 52815 -6749 52867 -6739
+rect 53057 -6710 53199 -6688
+rect 53005 -6750 53057 -6740
+rect 53251 -6710 53391 -6687
+rect 53199 -6749 53251 -6739
+rect 53443 -6688 54543 -6687
+rect 53443 -6710 53582 -6688
+rect 53391 -6749 53443 -6739
+rect 53634 -6710 53777 -6688
+rect 53582 -6750 53634 -6740
+rect 53829 -6710 53967 -6688
+rect 53777 -6750 53829 -6740
+rect 54019 -6710 54158 -6688
+rect 53967 -6750 54019 -6740
+rect 54210 -6710 54350 -6688
+rect 54158 -6750 54210 -6740
+rect 54402 -6710 54543 -6688
+rect 54350 -6750 54402 -6740
+rect 54595 -6688 55311 -6687
+rect 54595 -6710 54735 -6688
+rect 54543 -6749 54595 -6739
+rect 54787 -6710 54927 -6688
+rect 54735 -6750 54787 -6740
+rect 54979 -6710 55120 -6688
+rect 54927 -6750 54979 -6740
+rect 55172 -6710 55311 -6688
+rect 55120 -6750 55172 -6740
+rect 55363 -6688 55695 -6687
+rect 55363 -6710 55503 -6688
+rect 55311 -6749 55363 -6739
+rect 55555 -6710 55695 -6688
+rect 55503 -6750 55555 -6740
+rect 55747 -6710 55887 -6687
+rect 55695 -6749 55747 -6739
+rect 55939 -6688 56271 -6687
+rect 55939 -6710 56078 -6688
+rect 55887 -6749 55939 -6739
+rect 56130 -6710 56271 -6688
+rect 56078 -6750 56130 -6740
+rect 56323 -6710 56463 -6687
+rect 56271 -6749 56323 -6739
+rect 56515 -6688 57614 -6687
+rect 56515 -6710 56657 -6688
+rect 56463 -6749 56515 -6739
+rect 56709 -6689 57037 -6688
+rect 56709 -6710 56848 -6689
+rect 56657 -6750 56709 -6740
+rect 56900 -6710 57037 -6689
+rect 56848 -6751 56900 -6741
+rect 57089 -6710 57230 -6688
+rect 57037 -6750 57089 -6740
+rect 57282 -6710 57423 -6688
+rect 57230 -6750 57282 -6740
+rect 57475 -6710 57614 -6688
+rect 57423 -6750 57475 -6740
+rect 57666 -6710 57805 -6687
+rect 57614 -6749 57666 -6739
+rect 57857 -6690 57860 -6687
+rect 58230 -6679 58290 -6398
+rect 58426 -6679 58478 -6677
+rect 58618 -6679 58670 -6676
+rect 58809 -6679 58861 -6676
+rect 59003 -6679 59055 -6676
+rect 59193 -6679 59245 -6677
+rect 59387 -6679 59439 -6676
+rect 59579 -6679 59631 -6676
+rect 59770 -6679 59822 -6677
+rect 59965 -6679 60017 -6677
+rect 60155 -6679 60207 -6677
+rect 60346 -6679 60398 -6677
+rect 60538 -6679 60590 -6677
+rect 60731 -6679 60783 -6676
+rect 60923 -6679 60975 -6677
+rect 61115 -6679 61167 -6677
+rect 61308 -6679 61360 -6677
+rect 61499 -6679 61551 -6676
+rect 61691 -6679 61743 -6677
+rect 61883 -6679 61935 -6676
+rect 62075 -6679 62127 -6676
+rect 62266 -6679 62318 -6677
+rect 62459 -6679 62511 -6676
+rect 62651 -6679 62703 -6676
+rect 62845 -6679 62897 -6677
+rect 63036 -6679 63088 -6678
+rect 63225 -6679 63277 -6677
+rect 63418 -6679 63470 -6677
+rect 63611 -6679 63663 -6677
+rect 63802 -6679 63854 -6676
+rect 63990 -6679 64050 -6366
+rect 58230 -6680 64050 -6679
+rect 68894 -6672 69326 -6366
+rect 58230 -6686 66950 -6680
+rect 58230 -6687 58618 -6686
+rect 58230 -6688 58426 -6687
+rect 58230 -6690 58236 -6688
+rect 57805 -6749 57857 -6739
+rect 58288 -6709 58426 -6688
+rect 58236 -6750 58288 -6740
+rect 58478 -6709 58618 -6687
+rect 58426 -6749 58478 -6739
+rect 58670 -6709 58809 -6686
+rect 58618 -6748 58670 -6738
+rect 58861 -6709 59003 -6686
+rect 58809 -6748 58861 -6738
+rect 59055 -6687 59387 -6686
+rect 59055 -6709 59193 -6687
+rect 59003 -6748 59055 -6738
+rect 59245 -6709 59387 -6687
+rect 59193 -6749 59245 -6739
+rect 59439 -6709 59579 -6686
+rect 59387 -6748 59439 -6738
+rect 59631 -6687 60731 -6686
+rect 59631 -6709 59770 -6687
+rect 59579 -6748 59631 -6738
+rect 59822 -6709 59965 -6687
+rect 59770 -6749 59822 -6739
+rect 60017 -6709 60155 -6687
+rect 59965 -6749 60017 -6739
+rect 60207 -6709 60346 -6687
+rect 60155 -6749 60207 -6739
+rect 60398 -6709 60538 -6687
+rect 60346 -6749 60398 -6739
+rect 60590 -6709 60731 -6687
+rect 60538 -6749 60590 -6739
+rect 60783 -6687 61499 -6686
+rect 60783 -6709 60923 -6687
+rect 60731 -6748 60783 -6738
+rect 60975 -6709 61115 -6687
+rect 60923 -6749 60975 -6739
+rect 61167 -6709 61308 -6687
+rect 61115 -6749 61167 -6739
+rect 61360 -6709 61499 -6687
+rect 61308 -6749 61360 -6739
+rect 61551 -6687 61883 -6686
+rect 61551 -6709 61691 -6687
+rect 61499 -6748 61551 -6738
+rect 61743 -6709 61883 -6687
+rect 61691 -6749 61743 -6739
+rect 61935 -6709 62075 -6686
+rect 61883 -6748 61935 -6738
+rect 62127 -6687 62459 -6686
+rect 62127 -6709 62266 -6687
+rect 62075 -6748 62127 -6738
+rect 62318 -6709 62459 -6687
+rect 62266 -6749 62318 -6739
+rect 62511 -6709 62651 -6686
+rect 62459 -6748 62511 -6738
+rect 62703 -6687 63802 -6686
+rect 62703 -6709 62845 -6687
+rect 62651 -6748 62703 -6738
+rect 62897 -6688 63225 -6687
+rect 62897 -6709 63036 -6688
+rect 62845 -6749 62897 -6739
+rect 63088 -6709 63225 -6688
+rect 63036 -6750 63088 -6740
+rect 63277 -6709 63418 -6687
+rect 63225 -6749 63277 -6739
+rect 63470 -6709 63611 -6687
+rect 63418 -6749 63470 -6739
+rect 63663 -6709 63802 -6687
+rect 63611 -6749 63663 -6739
+rect 63854 -6709 63993 -6686
+rect 63802 -6748 63854 -6738
+rect 64045 -6710 66950 -6686
+rect 63993 -6748 64045 -6738
+rect 51950 -6826 63950 -6810
+rect 51950 -6827 59100 -6826
+rect 51950 -6828 52912 -6827
+rect 49436 -6872 49488 -6862
+rect 52002 -6880 52142 -6828
+rect 52194 -6880 52335 -6828
+rect 52387 -6880 52527 -6828
+rect 52579 -6880 52720 -6828
+rect 52772 -6879 52912 -6828
+rect 52964 -6828 53487 -6827
+rect 52964 -6879 53103 -6828
+rect 52772 -6880 53103 -6879
+rect 53155 -6880 53295 -6828
+rect 53347 -6879 53487 -6828
+rect 53539 -6879 53679 -6827
+rect 53731 -6828 54063 -6827
+rect 53731 -6879 53871 -6828
+rect 53347 -6880 53871 -6879
+rect 53923 -6879 54063 -6828
+rect 54115 -6828 54446 -6827
+rect 54115 -6879 54255 -6828
+rect 53923 -6880 54255 -6879
+rect 54307 -6879 54446 -6828
+rect 54498 -6828 55599 -6827
+rect 54498 -6879 54640 -6828
+rect 54307 -6880 54640 -6879
+rect 54692 -6880 54830 -6828
+rect 54882 -6880 55022 -6828
+rect 55074 -6880 55215 -6828
+rect 55267 -6880 55406 -6828
+rect 55458 -6879 55599 -6828
+rect 55651 -6828 56560 -6827
+rect 55651 -6879 55792 -6828
+rect 55458 -6880 55792 -6879
+rect 55844 -6880 55983 -6828
+rect 56035 -6880 56176 -6828
+rect 56228 -6880 56366 -6828
+rect 56418 -6879 56560 -6828
+rect 56612 -6828 57518 -6827
+rect 56612 -6879 56749 -6828
+rect 56418 -6880 56749 -6879
+rect 56801 -6880 56942 -6828
+rect 56994 -6880 57135 -6828
+rect 57187 -6880 57325 -6828
+rect 57377 -6879 57518 -6828
+rect 57570 -6879 57707 -6827
+rect 57759 -6879 58138 -6827
+rect 58190 -6879 58330 -6827
+rect 58382 -6879 58523 -6827
+rect 58575 -6879 58715 -6827
+rect 58767 -6879 58908 -6827
+rect 58960 -6878 59100 -6827
+rect 59152 -6827 59675 -6826
+rect 59152 -6878 59291 -6827
+rect 58960 -6879 59291 -6878
+rect 59343 -6879 59483 -6827
+rect 59535 -6878 59675 -6827
+rect 59727 -6878 59867 -6826
+rect 59919 -6827 60251 -6826
+rect 59919 -6878 60059 -6827
+rect 59535 -6879 60059 -6878
+rect 60111 -6878 60251 -6827
+rect 60303 -6827 60634 -6826
+rect 60303 -6878 60443 -6827
+rect 60111 -6879 60443 -6878
+rect 60495 -6878 60634 -6827
+rect 60686 -6827 61787 -6826
+rect 60686 -6878 60828 -6827
+rect 60495 -6879 60828 -6878
+rect 60880 -6879 61018 -6827
+rect 61070 -6879 61210 -6827
+rect 61262 -6879 61403 -6827
+rect 61455 -6879 61594 -6827
+rect 61646 -6878 61787 -6827
+rect 61839 -6827 62748 -6826
+rect 61839 -6878 61980 -6827
+rect 61646 -6879 61980 -6878
+rect 62032 -6879 62171 -6827
+rect 62223 -6879 62364 -6827
+rect 62416 -6879 62554 -6827
+rect 62606 -6878 62748 -6827
+rect 62800 -6827 63706 -6826
+rect 62800 -6878 62937 -6827
+rect 62606 -6879 62937 -6878
+rect 62989 -6879 63130 -6827
+rect 63182 -6879 63323 -6827
+rect 63375 -6879 63513 -6827
+rect 63565 -6878 63706 -6827
+rect 63758 -6878 63895 -6826
+rect 63947 -6878 63950 -6826
+rect 66920 -6840 66950 -6710
+rect 63565 -6879 63950 -6878
+rect 57377 -6880 63950 -6879
+rect 51950 -6890 63950 -6880
+rect 66912 -6850 66964 -6840
+rect 49436 -6934 49488 -6924
+rect 50087 -7300 50139 -7292
+rect 50277 -7300 50329 -7291
+rect 50470 -7300 50522 -7294
+rect 50662 -7299 50714 -7289
+rect 50087 -7301 50662 -7300
+rect 50087 -7302 50277 -7301
+rect 50139 -7330 50277 -7302
+rect 50087 -7364 50139 -7354
+rect 50329 -7304 50662 -7301
+rect 50329 -7330 50470 -7304
+rect 50277 -7363 50329 -7353
+rect 50522 -7330 50662 -7304
+rect 50470 -7366 50522 -7356
+rect 50854 -7300 50906 -7293
+rect 51046 -7298 51098 -7288
+rect 50714 -7303 51046 -7300
+rect 50714 -7330 50854 -7303
+rect 50662 -7361 50714 -7351
+rect 50906 -7330 51046 -7303
+rect 50854 -7365 50906 -7355
+rect 51238 -7299 51290 -7289
+rect 51098 -7330 51238 -7300
+rect 51046 -7360 51098 -7350
+rect 51428 -7298 51480 -7288
+rect 51290 -7330 51428 -7300
+rect 51238 -7361 51290 -7351
+rect 51622 -7300 51674 -7299
+rect 51811 -7300 51863 -7297
+rect 52000 -7300 52060 -6890
+rect 52200 -7300 52252 -7298
+rect 52390 -7300 52450 -6890
+rect 52582 -7300 52634 -7298
+rect 52774 -7300 52826 -7291
+rect 52960 -7300 53020 -6890
+rect 53159 -7300 53211 -7292
+rect 53350 -7300 53410 -6890
+rect 53542 -7300 53594 -7290
+rect 53730 -7300 53790 -6890
+rect 54120 -7287 54180 -6890
+rect 53927 -7300 53979 -7291
+rect 54119 -7297 54180 -7287
+rect 54510 -7291 54570 -6890
+rect 54890 -7290 54950 -6890
+rect 55260 -7288 55320 -6890
+rect 51480 -7301 53351 -7300
+rect 51480 -7307 52774 -7301
+rect 51480 -7309 51811 -7307
+rect 51480 -7330 51622 -7309
+rect 51428 -7360 51480 -7350
+rect 51674 -7330 51811 -7309
+rect 51622 -7371 51674 -7361
+rect 51863 -7330 52001 -7307
+rect 51811 -7369 51863 -7359
+rect 52053 -7308 52392 -7307
+rect 52053 -7330 52200 -7308
+rect 52001 -7369 52053 -7359
+rect 52252 -7330 52392 -7308
+rect 52200 -7370 52252 -7360
+rect 52444 -7308 52774 -7307
+rect 52444 -7330 52582 -7308
+rect 52392 -7369 52444 -7359
+rect 52634 -7330 52774 -7308
+rect 52582 -7370 52634 -7360
+rect 52826 -7302 53351 -7301
+rect 52826 -7303 53159 -7302
+rect 52826 -7330 52966 -7303
+rect 52774 -7363 52826 -7353
+rect 53018 -7330 53159 -7303
+rect 52966 -7365 53018 -7355
+rect 53211 -7330 53351 -7302
+rect 53159 -7364 53211 -7354
+rect 53403 -7330 53542 -7300
+rect 53351 -7362 53403 -7352
+rect 53594 -7301 54119 -7300
+rect 53594 -7305 53927 -7301
+rect 53594 -7330 53736 -7305
+rect 53542 -7362 53594 -7352
+rect 53788 -7330 53927 -7305
+rect 53736 -7367 53788 -7357
+rect 53979 -7330 54119 -7301
+rect 53927 -7363 53979 -7353
+rect 54171 -7300 54180 -7297
+rect 54312 -7300 54364 -7291
+rect 54504 -7300 54570 -7291
+rect 54697 -7300 54749 -7292
+rect 54889 -7300 54950 -7290
+rect 55080 -7299 55132 -7289
+rect 54171 -7301 54889 -7300
+rect 54171 -7330 54312 -7301
+rect 54119 -7359 54171 -7349
+rect 54364 -7330 54504 -7301
+rect 54312 -7363 54364 -7353
+rect 54556 -7302 54889 -7301
+rect 54556 -7330 54697 -7302
+rect 54504 -7363 54556 -7353
+rect 54749 -7330 54889 -7302
+rect 54697 -7364 54749 -7354
+rect 54941 -7330 55080 -7300
+rect 54889 -7362 54941 -7352
+rect 55260 -7298 55323 -7288
+rect 55260 -7300 55271 -7298
+rect 55132 -7330 55271 -7300
+rect 55080 -7361 55132 -7351
+rect 55464 -7298 55516 -7288
+rect 55323 -7330 55464 -7300
+rect 55271 -7360 55323 -7350
+rect 55650 -7300 55710 -6890
+rect 55846 -7300 55898 -7298
+rect 56030 -7300 56090 -6890
+rect 56410 -7300 56470 -6890
+rect 56810 -7300 56870 -6890
+rect 57180 -7296 57240 -6890
+rect 56997 -7300 57049 -7298
+rect 57180 -7300 57243 -7296
+rect 57384 -7300 57436 -7297
+rect 57570 -7300 57630 -6890
+rect 57960 -7298 58020 -6890
+rect 57766 -7300 57818 -7298
+rect 57959 -7300 58020 -7298
+rect 58151 -7300 58203 -7298
+rect 58340 -7300 58400 -6890
+rect 58730 -7297 58790 -6890
+rect 58535 -7300 58587 -7298
+rect 58726 -7300 58790 -7297
+rect 58921 -7300 58973 -7298
+rect 59110 -7300 59170 -6890
+rect 59303 -7300 59355 -7298
+rect 59490 -7300 59550 -6890
+rect 59880 -7298 59940 -6890
+rect 59686 -7300 59738 -7298
+rect 59878 -7300 59940 -7298
+rect 60071 -7300 60123 -7298
+rect 60260 -7300 60320 -6890
+rect 60454 -7300 60506 -7298
+rect 60640 -7300 60700 -6890
+rect 60838 -7300 60890 -7298
+rect 61030 -7300 61090 -6890
+rect 61420 -7297 61480 -6890
+rect 61222 -7300 61274 -7299
+rect 61415 -7300 61480 -7297
+rect 61605 -7300 61657 -7297
+rect 61800 -7299 61860 -6890
+rect 61798 -7300 61860 -7299
+rect 61990 -7300 62042 -7298
+rect 62180 -7300 62240 -6890
+rect 62374 -7300 62426 -7297
+rect 62570 -7298 62630 -6890
+rect 62950 -7298 63010 -6890
+rect 62566 -7300 62630 -7298
+rect 62757 -7300 62809 -7298
+rect 62949 -7300 63010 -7298
+rect 63143 -7300 63195 -7297
+rect 63340 -7298 63400 -6890
+rect 63335 -7300 63400 -7298
+rect 63525 -7300 63577 -7299
+rect 63720 -7300 63780 -6890
+rect 66912 -6912 66964 -6902
+rect 63909 -7300 63961 -7298
+rect 64102 -7300 64154 -7297
+rect 64295 -7300 64347 -7298
+rect 64486 -7300 64538 -7298
+rect 64677 -7300 64729 -7298
+rect 64870 -7300 64922 -7298
+rect 65063 -7300 65115 -7298
+rect 65254 -7300 65306 -7298
+rect 65445 -7300 65497 -7297
+rect 65636 -7300 65688 -7297
+rect 65829 -7300 65881 -7298
+rect 66023 -7300 66075 -7298
+rect 66211 -7300 66263 -7299
+rect 55516 -7306 66470 -7300
+rect 55516 -7307 57191 -7306
+rect 55516 -7330 55655 -7307
+rect 55464 -7360 55516 -7350
+rect 55707 -7308 57191 -7307
+rect 55707 -7330 55846 -7308
+rect 55655 -7369 55707 -7359
+rect 55898 -7309 56997 -7308
+rect 55898 -7330 56036 -7309
+rect 55846 -7370 55898 -7360
+rect 56088 -7311 56997 -7309
+rect 56088 -7313 56808 -7311
+rect 56088 -7330 56230 -7313
+rect 56036 -7371 56088 -7361
+rect 56282 -7314 56808 -7313
+rect 56282 -7315 56616 -7314
+rect 56282 -7330 56422 -7315
+rect 56230 -7375 56282 -7365
+rect 56474 -7330 56616 -7315
+rect 56422 -7377 56474 -7367
+rect 56668 -7330 56808 -7314
+rect 56616 -7376 56668 -7366
+rect 56860 -7330 56997 -7311
+rect 56808 -7373 56860 -7363
+rect 57049 -7330 57191 -7308
+rect 56997 -7370 57049 -7360
+rect 57243 -7307 66470 -7306
+rect 57243 -7330 57384 -7307
+rect 57191 -7368 57243 -7358
+rect 57436 -7308 58726 -7307
+rect 57436 -7330 57577 -7308
+rect 57384 -7369 57436 -7359
+rect 57629 -7330 57766 -7308
+rect 57577 -7370 57629 -7360
+rect 57818 -7330 57959 -7308
+rect 57766 -7370 57818 -7360
+rect 58011 -7330 58151 -7308
+rect 57959 -7370 58011 -7360
+rect 58203 -7330 58342 -7308
+rect 58151 -7370 58203 -7360
+rect 58394 -7330 58535 -7308
+rect 58342 -7370 58394 -7360
+rect 58587 -7330 58726 -7308
+rect 58535 -7370 58587 -7360
+rect 58778 -7308 61415 -7307
+rect 58778 -7330 58921 -7308
+rect 58726 -7369 58778 -7359
+rect 58973 -7330 59110 -7308
+rect 58921 -7370 58973 -7360
+rect 59162 -7330 59303 -7308
+rect 59110 -7370 59162 -7360
+rect 59355 -7330 59495 -7308
+rect 59303 -7370 59355 -7360
+rect 59547 -7330 59686 -7308
+rect 59495 -7370 59547 -7360
+rect 59738 -7330 59878 -7308
+rect 59686 -7370 59738 -7360
+rect 59930 -7330 60071 -7308
+rect 59878 -7370 59930 -7360
+rect 60123 -7309 60454 -7308
+rect 60123 -7330 60260 -7309
+rect 60071 -7370 60123 -7360
+rect 60312 -7330 60454 -7309
+rect 60260 -7371 60312 -7361
+rect 60506 -7330 60645 -7308
+rect 60454 -7370 60506 -7360
+rect 60697 -7330 60838 -7308
+rect 60645 -7370 60697 -7360
+rect 60890 -7330 61030 -7308
+rect 60838 -7370 60890 -7360
+rect 61082 -7309 61415 -7308
+rect 61082 -7330 61222 -7309
+rect 61030 -7370 61082 -7360
+rect 61274 -7330 61415 -7309
+rect 61222 -7371 61274 -7361
+rect 61467 -7330 61605 -7307
+rect 61415 -7369 61467 -7359
+rect 61657 -7308 62183 -7307
+rect 61657 -7309 61990 -7308
+rect 61657 -7330 61798 -7309
+rect 61605 -7369 61657 -7359
+rect 61850 -7330 61990 -7309
+rect 61798 -7371 61850 -7361
+rect 62042 -7330 62183 -7308
+rect 61990 -7370 62042 -7360
+rect 62235 -7330 62374 -7307
+rect 62183 -7369 62235 -7359
+rect 62426 -7308 63143 -7307
+rect 62426 -7330 62566 -7308
+rect 62374 -7369 62426 -7359
+rect 62618 -7330 62757 -7308
+rect 62566 -7370 62618 -7360
+rect 62809 -7330 62949 -7308
+rect 62757 -7370 62809 -7360
+rect 63001 -7330 63143 -7308
+rect 62949 -7370 63001 -7360
+rect 63195 -7308 64102 -7307
+rect 63195 -7330 63335 -7308
+rect 63143 -7369 63195 -7359
+rect 63387 -7309 63909 -7308
+rect 63387 -7330 63525 -7309
+rect 63335 -7370 63387 -7360
+rect 63577 -7310 63909 -7309
+rect 63577 -7330 63716 -7310
+rect 63525 -7371 63577 -7361
+rect 63768 -7330 63909 -7310
+rect 63716 -7372 63768 -7362
+rect 63961 -7330 64102 -7308
+rect 63909 -7370 63961 -7360
+rect 64154 -7308 65445 -7307
+rect 64154 -7330 64295 -7308
+rect 64102 -7369 64154 -7359
+rect 64347 -7330 64486 -7308
+rect 64295 -7370 64347 -7360
+rect 64538 -7330 64677 -7308
+rect 64486 -7370 64538 -7360
+rect 64729 -7330 64870 -7308
+rect 64677 -7370 64729 -7360
+rect 64922 -7330 65063 -7308
+rect 64870 -7370 64922 -7360
+rect 65115 -7330 65254 -7308
+rect 65063 -7370 65115 -7360
+rect 65306 -7330 65445 -7308
+rect 65254 -7370 65306 -7360
+rect 65497 -7330 65636 -7307
+rect 65445 -7369 65497 -7359
+rect 65688 -7308 66470 -7307
+rect 65688 -7330 65829 -7308
+rect 65636 -7369 65688 -7359
+rect 65881 -7330 66023 -7308
+rect 65829 -7370 65881 -7360
+rect 66075 -7309 66470 -7308
+rect 66075 -7330 66211 -7309
+rect 66023 -7370 66075 -7360
+rect 66263 -7317 66470 -7309
+rect 66263 -7330 66405 -7317
+rect 66211 -7371 66263 -7361
+rect 66457 -7330 66470 -7317
+rect 66405 -7379 66457 -7369
+rect 49991 -7445 50043 -7435
+rect 50182 -7447 50234 -7437
+rect 50043 -7490 50182 -7460
+rect 50043 -7497 50070 -7490
+rect 49991 -7507 50070 -7497
+rect 50000 -7654 50070 -7507
+rect 50374 -7446 50426 -7436
+rect 50234 -7490 50374 -7460
+rect 50182 -7509 50234 -7499
+rect 50566 -7449 50618 -7439
+rect 50426 -7490 50566 -7460
+rect 50374 -7508 50426 -7498
+rect 50760 -7448 50812 -7438
+rect 50618 -7490 50760 -7460
+rect 50566 -7511 50618 -7501
+rect 50950 -7448 51002 -7438
+rect 50812 -7490 50950 -7460
+rect 50760 -7510 50812 -7500
+rect 51145 -7446 51197 -7436
+rect 51002 -7490 51145 -7460
+rect 50950 -7510 51002 -7500
+rect 51336 -7445 51388 -7435
+rect 51197 -7490 51336 -7460
+rect 51145 -7508 51197 -7498
+rect 51531 -7447 51583 -7437
+rect 51388 -7490 51531 -7460
+rect 51336 -7507 51388 -7497
+rect 51721 -7447 51773 -7437
+rect 51583 -7490 51721 -7460
+rect 51531 -7509 51583 -7499
+rect 51911 -7446 51963 -7436
+rect 51773 -7490 51911 -7460
+rect 51721 -7509 51773 -7499
+rect 52104 -7445 52156 -7435
+rect 51963 -7490 52104 -7460
+rect 51911 -7508 51963 -7498
+rect 52295 -7445 52347 -7435
+rect 52156 -7490 52295 -7460
+rect 52104 -7507 52156 -7497
+rect 52491 -7447 52543 -7437
+rect 52347 -7490 52491 -7460
+rect 52295 -7507 52347 -7497
+rect 52680 -7447 52732 -7437
+rect 52543 -7490 52680 -7460
+rect 52491 -7509 52543 -7499
+rect 52874 -7447 52926 -7437
+rect 52732 -7490 52874 -7460
+rect 52680 -7509 52732 -7499
+rect 53063 -7447 53115 -7437
+rect 52926 -7490 53063 -7460
+rect 52874 -7509 52926 -7499
+rect 53255 -7448 53307 -7438
+rect 53115 -7490 53255 -7460
+rect 53063 -7509 53115 -7499
+rect 53448 -7448 53500 -7438
+rect 53307 -7490 53448 -7460
+rect 53255 -7510 53307 -7500
+rect 53639 -7448 53691 -7438
+rect 53500 -7490 53639 -7460
+rect 53448 -7510 53500 -7500
+rect 53831 -7447 53883 -7437
+rect 53691 -7490 53831 -7460
+rect 53639 -7510 53691 -7500
+rect 54023 -7448 54075 -7438
+rect 53883 -7490 54023 -7460
+rect 53831 -7509 53883 -7499
+rect 54216 -7448 54268 -7438
+rect 54075 -7490 54216 -7460
+rect 54023 -7510 54075 -7500
+rect 54407 -7448 54459 -7438
+rect 54268 -7490 54407 -7460
+rect 54216 -7510 54268 -7500
+rect 54599 -7447 54651 -7437
+rect 54459 -7490 54599 -7460
+rect 54407 -7510 54459 -7500
+rect 54792 -7448 54844 -7438
+rect 54651 -7490 54792 -7460
+rect 54599 -7509 54651 -7499
+rect 54984 -7448 55036 -7438
+rect 54844 -7490 54984 -7460
+rect 54792 -7510 54844 -7500
+rect 55175 -7448 55227 -7438
+rect 55036 -7490 55175 -7460
+rect 54984 -7510 55036 -7500
+rect 55366 -7448 55418 -7438
+rect 55227 -7490 55366 -7460
+rect 55175 -7510 55227 -7500
+rect 55558 -7448 55610 -7438
+rect 55418 -7490 55558 -7460
+rect 55366 -7510 55418 -7500
+rect 55751 -7447 55803 -7437
+rect 55610 -7490 55751 -7460
+rect 55558 -7510 55610 -7500
+rect 55942 -7447 55994 -7437
+rect 55803 -7490 55942 -7460
+rect 55751 -7509 55803 -7499
+rect 56136 -7448 56188 -7438
+rect 55994 -7490 56136 -7460
+rect 55942 -7509 55994 -7499
+rect 56328 -7448 56380 -7438
+rect 56188 -7490 56328 -7460
+rect 56136 -7510 56188 -7500
+rect 56520 -7448 56572 -7438
+rect 56380 -7490 56520 -7460
+rect 56328 -7510 56380 -7500
+rect 56712 -7448 56764 -7438
+rect 56572 -7490 56712 -7460
+rect 56520 -7510 56572 -7500
+rect 56904 -7448 56956 -7438
+rect 56764 -7490 56904 -7460
+rect 56712 -7510 56764 -7500
+rect 57096 -7448 57148 -7438
+rect 56956 -7490 57096 -7460
+rect 56904 -7510 56956 -7500
+rect 57288 -7448 57340 -7438
+rect 57148 -7490 57288 -7460
+rect 57096 -7510 57148 -7500
+rect 57479 -7448 57531 -7438
+rect 57340 -7490 57479 -7460
+rect 57288 -7510 57340 -7500
+rect 57671 -7448 57723 -7438
+rect 57531 -7490 57671 -7460
+rect 57479 -7510 57531 -7500
+rect 57864 -7448 57916 -7438
+rect 57723 -7490 57864 -7460
+rect 57671 -7510 57723 -7500
+rect 58056 -7448 58108 -7438
+rect 57916 -7490 58056 -7460
+rect 57864 -7510 57916 -7500
+rect 58248 -7448 58300 -7438
+rect 58108 -7490 58248 -7460
+rect 58056 -7510 58108 -7500
+rect 58439 -7448 58491 -7438
+rect 58300 -7490 58439 -7460
+rect 58248 -7510 58300 -7500
+rect 58631 -7448 58683 -7438
+rect 58491 -7490 58631 -7460
+rect 58439 -7510 58491 -7500
+rect 58822 -7448 58874 -7438
+rect 58683 -7490 58822 -7460
+rect 58631 -7510 58683 -7500
+rect 59013 -7448 59065 -7438
+rect 58874 -7490 59013 -7460
+rect 58822 -7510 58874 -7500
+rect 59207 -7448 59259 -7438
+rect 59065 -7490 59207 -7460
+rect 59013 -7510 59065 -7500
+rect 59399 -7448 59451 -7438
+rect 59259 -7490 59399 -7460
+rect 59207 -7510 59259 -7500
+rect 59592 -7447 59644 -7437
+rect 59451 -7490 59592 -7460
+rect 59399 -7510 59451 -7500
+rect 59783 -7448 59835 -7438
+rect 59644 -7490 59783 -7460
+rect 59592 -7509 59644 -7499
+rect 59975 -7448 60027 -7438
+rect 59835 -7490 59975 -7460
+rect 59783 -7510 59835 -7500
+rect 60168 -7448 60220 -7438
+rect 60027 -7490 60168 -7460
+rect 59975 -7510 60027 -7500
+rect 60360 -7448 60412 -7438
+rect 60220 -7490 60360 -7460
+rect 60168 -7510 60220 -7500
+rect 60553 -7447 60605 -7437
+rect 60412 -7490 60553 -7460
+rect 60360 -7510 60412 -7500
+rect 60744 -7448 60796 -7438
+rect 60605 -7490 60744 -7460
+rect 60553 -7509 60605 -7499
+rect 60936 -7448 60988 -7438
+rect 60796 -7490 60936 -7460
+rect 60744 -7510 60796 -7500
+rect 61127 -7448 61179 -7438
+rect 60988 -7490 61127 -7460
+rect 60936 -7510 60988 -7500
+rect 61319 -7448 61371 -7438
+rect 61179 -7490 61319 -7460
+rect 61127 -7510 61179 -7500
+rect 61511 -7448 61563 -7438
+rect 61371 -7490 61511 -7460
+rect 61319 -7510 61371 -7500
+rect 61704 -7448 61756 -7438
+rect 61563 -7490 61704 -7460
+rect 61511 -7510 61563 -7500
+rect 61895 -7448 61947 -7438
+rect 61756 -7490 61895 -7460
+rect 61704 -7510 61756 -7500
+rect 62087 -7448 62139 -7438
+rect 61947 -7490 62087 -7460
+rect 61895 -7510 61947 -7500
+rect 62279 -7447 62331 -7437
+rect 62139 -7490 62279 -7460
+rect 62087 -7510 62139 -7500
+rect 62471 -7448 62523 -7438
+rect 62331 -7490 62471 -7460
+rect 62279 -7509 62331 -7499
+rect 62661 -7448 62713 -7438
+rect 62523 -7490 62661 -7460
+rect 62471 -7510 62523 -7500
+rect 62855 -7448 62907 -7438
+rect 62713 -7490 62855 -7460
+rect 62661 -7510 62713 -7500
+rect 63047 -7447 63099 -7437
+rect 62907 -7490 63047 -7460
+rect 62855 -7510 62907 -7500
+rect 63238 -7448 63290 -7438
+rect 63099 -7490 63238 -7460
+rect 63047 -7509 63099 -7499
+rect 63431 -7448 63483 -7438
+rect 63290 -7490 63431 -7460
+rect 63238 -7510 63290 -7500
+rect 63622 -7447 63674 -7437
+rect 63483 -7490 63622 -7460
+rect 63431 -7510 63483 -7500
+rect 63814 -7448 63866 -7438
+rect 63674 -7490 63814 -7460
+rect 63622 -7509 63674 -7499
+rect 64005 -7448 64057 -7438
+rect 63866 -7490 64005 -7460
+rect 63814 -7510 63866 -7500
+rect 64199 -7447 64251 -7437
+rect 64057 -7490 64199 -7460
+rect 64005 -7510 64057 -7500
+rect 64390 -7448 64442 -7438
+rect 64251 -7490 64390 -7460
+rect 64199 -7509 64251 -7499
+rect 64582 -7448 64634 -7438
+rect 64442 -7490 64582 -7460
+rect 64390 -7510 64442 -7500
+rect 64774 -7448 64826 -7438
+rect 64634 -7490 64774 -7460
+rect 64582 -7510 64634 -7500
+rect 64966 -7448 65018 -7438
+rect 64826 -7490 64966 -7460
+rect 64774 -7510 64826 -7500
+rect 65159 -7448 65211 -7438
+rect 65018 -7490 65159 -7460
+rect 64966 -7510 65018 -7500
+rect 65350 -7448 65402 -7438
+rect 65211 -7490 65350 -7460
+rect 65159 -7510 65211 -7500
+rect 65543 -7447 65595 -7437
+rect 65402 -7490 65543 -7460
+rect 65350 -7510 65402 -7500
+rect 65734 -7448 65786 -7438
+rect 65595 -7490 65734 -7460
+rect 65543 -7509 65595 -7499
+rect 65927 -7448 65979 -7438
+rect 65786 -7490 65927 -7460
+rect 65734 -7510 65786 -7500
+rect 66118 -7448 66170 -7438
+rect 65979 -7490 66118 -7460
+rect 65927 -7510 65979 -7500
+rect 66309 -7446 66361 -7436
+rect 66170 -7490 66309 -7460
+rect 66118 -7510 66170 -7500
+rect 66504 -7448 66556 -7438
+rect 66361 -7490 66504 -7460
+rect 66309 -7508 66361 -7498
+rect 66440 -7500 66504 -7490
+rect 66440 -7510 66556 -7500
+rect 66440 -7654 66510 -7510
+rect 49940 -7664 66588 -7654
+rect 47224 -7808 47652 -7752
+rect 48274 -7706 48446 -7696
+rect 48274 -7774 48446 -7764
+rect 49940 -7766 66588 -7756
+rect 68120 -7710 68292 -7700
+rect 68120 -7778 68292 -7768
+rect 68894 -7756 68924 -6672
+rect 69290 -7756 69326 -6672
+rect 68894 -7806 69326 -7756
+rect 68584 -7808 69326 -7806
+rect 47224 -8196 69326 -7808
+rect 47482 -8198 69326 -8196
+<< via2 >>
+rect 48274 -7764 48432 -7706
+rect 49940 -7756 66574 -7664
+rect 68120 -7768 68278 -7710
+<< metal3 >>
+rect 49104 -6370 49384 -6366
+rect 52300 -6370 52394 -6366
+rect 55614 -6370 55894 -6366
+rect 62104 -6370 62384 -6366
+rect 64654 -6370 64934 -6366
+rect 67454 -6370 67734 -6366
+rect 46324 -6434 51998 -6370
+rect 52300 -6388 57790 -6370
+rect 57908 -6388 58126 -6370
+rect 52300 -6398 58126 -6388
+rect 58606 -6398 69966 -6370
+rect 52300 -6434 69966 -6398
+rect 46324 -6648 69966 -6434
+rect 49104 -7510 49384 -6648
+rect 52114 -7510 52394 -6648
+rect 55614 -7510 55894 -6648
+rect 62104 -7510 62384 -6648
+rect 64654 -7510 64934 -6648
+rect 67454 -7510 67734 -6648
+rect 46350 -7664 69992 -7510
+rect 46350 -7706 49940 -7664
+rect 46350 -7764 48274 -7706
+rect 48432 -7756 49940 -7706
+rect 66574 -7710 69992 -7664
+rect 66574 -7756 68120 -7710
+rect 48432 -7764 68120 -7756
+rect 46350 -7768 68120 -7764
+rect 68278 -7768 69992 -7710
+rect 46350 -7788 69992 -7768
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM20
+timestamp 1662412052
+transform 1 0 54905 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM23
+timestamp 1662412052
+transform 1 0 61095 0 1 -6780
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM25
+timestamp 1662412052
+transform 1 0 58273 0 1 -7398
+box -8423 -310 8423 310
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  XR19
+timestamp 1662952458
+transform 0 1 47715 -1 0 -7211
+box -739 -657 739 657
+use sky130_fd_pr__nfet_01v8_lvt_HFYJAZ  sky130_fd_pr__nfet_01v8_lvt_HFYJAZ_0
+timestamp 1662983156
+transform 1 0 49649 0 1 -7275
+box -211 -447 211 447
+use sky130_fd_pr__res_high_po_0p35_C28PVF  sky130_fd_pr__res_high_po_0p35_C28PVF_0
+timestamp 1662983156
+transform 1 0 48973 0 1 -6540
+box -201 -998 201 998
+use sky130_fd_pr__res_high_po_0p35_C28PVF  sky130_fd_pr__res_high_po_0p35_C28PVF_1
+timestamp 1662983156
+transform 1 0 67579 0 1 -6624
+box -201 -998 201 998
+use sky130_fd_pr__res_xhigh_po_5p73_4C7XCD  sky130_fd_pr__res_xhigh_po_5p73_4C7XCD_0
+timestamp 1662952458
+transform 0 1 68837 -1 0 -7211
+box -739 -657 739 657
+<< labels >>
+rlabel metal2 47482 -8198 69326 -7808 0 vdd
+rlabel metal3 46324 -6648 51998 -6370 0 vss
+rlabel metal1 49672 -7584 49724 -6302 0 Iref
+rlabel metal1 51874 -6950 51904 -6358 0 vout5p
+rlabel metal1 64088 -6944 64118 -6352 0 vout5n
+rlabel metal1 48934 -5718 49008 -5432 0 vin0p
+rlabel metal1 67544 -5802 67616 -5516 0 vin0n
+<< end >>
diff --git a/mag/norm_stages.mag b/mag/norm_stages.mag
new file mode 100644
index 0000000..f883167
--- /dev/null
+++ b/mag/norm_stages.mag
@@ -0,0 +1,100 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662946567
+<< checkpaint >>
+rect 1537 3595 5535 4397
+rect -1313 3436 5535 3595
+rect 5812 3436 9810 4238
+rect -1313 2109 9810 3436
+rect -1313 2056 15947 2109
+rect -1313 2003 22084 2056
+rect -1313 1950 28221 2003
+rect -1313 1897 34358 1950
+rect -1313 1844 51151 1897
+rect -1313 1791 67944 1844
+rect -1313 1738 68313 1791
+rect -1313 -713 68682 1738
+rect -1260 -766 68682 -713
+rect -1260 -5260 1460 -766
+rect 1537 -819 68682 -766
+rect 2962 -872 68682 -819
+rect 4387 -925 68682 -872
+rect 5812 -978 68682 -925
+rect 7237 -1031 68682 -978
+rect 13374 -1084 68682 -1031
+rect 19511 -1137 68682 -1084
+rect 25648 -1190 68682 -1137
+rect 31785 -1243 68682 -1190
+rect 48578 -1296 68682 -1243
+rect 65371 -1349 68682 -1296
+rect 65740 -1402 68682 -1349
+<< metal1 >>
+rect 0 0 200 200
+rect 0 -400 200 -200
+rect 0 -800 200 -600
+rect 0 -1200 200 -1000
+rect 0 -1600 200 -1400
+rect 0 -2000 200 -1800
+rect 0 -2400 200 -2200
+rect 0 -2800 200 -2600
+rect 0 -3200 200 -3000
+rect 0 -3600 200 -3400
+rect 0 -4000 200 -3800
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM20
+timestamp 1662412052
+transform 1 0 11592 0 1 539
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM21
+timestamp 1662412052
+transform 1 0 17729 0 1 486
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM22
+timestamp 1662412052
+transform 1 0 23866 0 1 433
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_FKGFGD  XM23
+timestamp 1662412052
+transform 1 0 30003 0 1 380
+box -3095 -310 3095 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM24
+timestamp 1662412052
+transform 1 0 41468 0 1 327
+box -8423 -310 8423 310
+use sky130_fd_pr__nfet_01v8_lvt_G3ZQK6  XM25
+timestamp 1662412052
+transform 1 0 58261 0 1 274
+box -8423 -310 8423 310
+use sky130_fd_pr__nfet_01v8_lvt_648S5X  XM35
+timestamp 1662412052
+transform 1 0 66842 0 1 221
+box -211 -310 211 310
+use sky130_fd_pr__nfet_01v8_lvt_648S5X  XM36
+timestamp 1662412052
+transform 1 0 67211 0 1 168
+box -211 -310 211 310
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR19
+timestamp 1662412052
+transform 1 0 686 0 1 1441
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR20
+timestamp 1662412052
+transform 1 0 2111 0 1 1388
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_HS9RHN  XR21
+timestamp 1662412052
+transform 1 0 3536 0 1 1789
+box -739 -1348 739 1348
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR22
+timestamp 1662412052
+transform 1 0 4961 0 1 1282
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP  XR23
+timestamp 1662412052
+transform 1 0 6386 0 1 1229
+box -739 -894 739 894
+use sky130_fd_pr__res_xhigh_po_5p73_HS9RHN  XR24
+timestamp 1662412052
+transform 1 0 7811 0 1 1630
+box -739 -1348 739 1348
+<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_L4YDVW.mag b/mag/sky130_fd_pr__cap_mim_m3_1_L4YDVW.mag
new file mode 100644
index 0000000..4a918b0
--- /dev/null
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_L4YDVW.mag
@@ -0,0 +1,97 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< metal3 >>
+rect -2650 10522 2649 10550
+rect -2650 5378 2565 10522
+rect 2629 5378 2649 10522
+rect -2650 5350 2649 5378
+rect -2650 5222 2649 5250
+rect -2650 78 2565 5222
+rect 2629 78 2649 5222
+rect -2650 50 2649 78
+rect -2650 -78 2649 -50
+rect -2650 -5222 2565 -78
+rect 2629 -5222 2649 -78
+rect -2650 -5250 2649 -5222
+rect -2650 -5378 2649 -5350
+rect -2650 -10522 2565 -5378
+rect 2629 -10522 2649 -5378
+rect -2650 -10550 2649 -10522
+<< via3 >>
+rect 2565 5378 2629 10522
+rect 2565 78 2629 5222
+rect 2565 -5222 2629 -78
+rect 2565 -10522 2629 -5378
+<< mimcap >>
+rect -2550 10410 2450 10450
+rect -2550 5490 -2510 10410
+rect 2410 5490 2450 10410
+rect -2550 5450 2450 5490
+rect -2550 5110 2450 5150
+rect -2550 190 -2510 5110
+rect 2410 190 2450 5110
+rect -2550 150 2450 190
+rect -2550 -190 2450 -150
+rect -2550 -5110 -2510 -190
+rect 2410 -5110 2450 -190
+rect -2550 -5150 2450 -5110
+rect -2550 -5490 2450 -5450
+rect -2550 -10410 -2510 -5490
+rect 2410 -10410 2450 -5490
+rect -2550 -10450 2450 -10410
+<< mimcapcontact >>
+rect -2510 5490 2410 10410
+rect -2510 190 2410 5110
+rect -2510 -5110 2410 -190
+rect -2510 -10410 2410 -5490
+<< metal4 >>
+rect -102 10411 2 10600
+rect 2518 10538 2622 10600
+rect 2518 10522 2645 10538
+rect -2511 10410 2411 10411
+rect -2511 5490 -2510 10410
+rect 2410 5490 2411 10410
+rect -2511 5489 2411 5490
+rect -102 5111 2 5489
+rect 2518 5378 2565 10522
+rect 2629 5378 2645 10522
+rect 2518 5362 2645 5378
+rect 2518 5238 2622 5362
+rect 2518 5222 2645 5238
+rect -2511 5110 2411 5111
+rect -2511 190 -2510 5110
+rect 2410 190 2411 5110
+rect -2511 189 2411 190
+rect -102 -189 2 189
+rect 2518 78 2565 5222
+rect 2629 78 2645 5222
+rect 2518 62 2645 78
+rect 2518 -62 2622 62
+rect 2518 -78 2645 -62
+rect -2511 -190 2411 -189
+rect -2511 -5110 -2510 -190
+rect 2410 -5110 2411 -190
+rect -2511 -5111 2411 -5110
+rect -102 -5489 2 -5111
+rect 2518 -5222 2565 -78
+rect 2629 -5222 2645 -78
+rect 2518 -5238 2645 -5222
+rect 2518 -5362 2622 -5238
+rect 2518 -5378 2645 -5362
+rect -2511 -5490 2411 -5489
+rect -2511 -10410 -2510 -5490
+rect 2410 -10410 2411 -5490
+rect -2511 -10411 2411 -10410
+rect -102 -10600 2 -10411
+rect 2518 -10522 2565 -5378
+rect 2629 -10522 2645 -5378
+rect 2518 -10538 2645 -10522
+rect 2518 -10600 2622 -10538
+<< properties >>
+string FIXED_BBOX -2650 5350 2550 10550
+string gencell sky130_fd_pr__cap_mim_m3_1
+string library sky130
+string parameters w 25.0 l 25.0 val 1.269k carea 2.00 cperi 0.19 nx 1 ny 4 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov 100
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_72NHPP.mag b/mag/sky130_fd_pr__nfet_01v8_72NHPP.mag
new file mode 100644
index 0000000..432e6b4
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_72NHPP.mag
@@ -0,0 +1,613 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662988209
+<< error_p >>
+rect -29 2053 29 2059
+rect -29 2019 -17 2053
+rect -29 2013 29 2019
+rect -29 1743 29 1749
+rect -29 1709 -17 1743
+rect -29 1703 29 1709
+rect -29 1635 29 1641
+rect -29 1601 -17 1635
+rect -29 1595 29 1601
+rect -29 1325 29 1331
+rect -29 1291 -17 1325
+rect -29 1285 29 1291
+rect -29 1217 29 1223
+rect -29 1183 -17 1217
+rect -29 1177 29 1183
+rect -29 907 29 913
+rect -29 873 -17 907
+rect -29 867 29 873
+rect -29 799 29 805
+rect -29 765 -17 799
+rect -29 759 29 765
+rect -29 489 29 495
+rect -29 455 -17 489
+rect -29 449 29 455
+rect -29 381 29 387
+rect -29 347 -17 381
+rect -29 341 29 347
+rect -29 71 29 77
+rect -29 37 -17 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect -29 -77 29 -71
+rect -29 -347 29 -341
+rect -29 -381 -17 -347
+rect -29 -387 29 -381
+rect -29 -455 29 -449
+rect -29 -489 -17 -455
+rect -29 -495 29 -489
+rect -29 -765 29 -759
+rect -29 -799 -17 -765
+rect -29 -805 29 -799
+rect -29 -873 29 -867
+rect -29 -907 -17 -873
+rect -29 -913 29 -907
+rect -29 -1183 29 -1177
+rect -29 -1217 -17 -1183
+rect -29 -1223 29 -1217
+rect -29 -1291 29 -1285
+rect -29 -1325 -17 -1291
+rect -29 -1331 29 -1325
+rect -29 -1601 29 -1595
+rect -29 -1635 -17 -1601
+rect -29 -1641 29 -1635
+rect -29 -1709 29 -1703
+rect -29 -1743 -17 -1709
+rect -29 -1749 29 -1743
+rect -29 -2019 29 -2013
+rect -29 -2053 -17 -2019
+rect -29 -2059 29 -2053
+<< pwell >>
+rect -211 -2191 211 2191
+<< nmos >>
+rect -15 1781 15 1981
+rect -15 1363 15 1563
+rect -15 945 15 1145
+rect -15 527 15 727
+rect -15 109 15 309
+rect -15 -309 15 -109
+rect -15 -727 15 -527
+rect -15 -1145 15 -945
+rect -15 -1563 15 -1363
+rect -15 -1981 15 -1781
+<< ndiff >>
+rect -73 1969 -15 1981
+rect -73 1793 -61 1969
+rect -27 1793 -15 1969
+rect -73 1781 -15 1793
+rect 15 1969 73 1981
+rect 15 1793 27 1969
+rect 61 1793 73 1969
+rect 15 1781 73 1793
+rect -73 1551 -15 1563
+rect -73 1375 -61 1551
+rect -27 1375 -15 1551
+rect -73 1363 -15 1375
+rect 15 1551 73 1563
+rect 15 1375 27 1551
+rect 61 1375 73 1551
+rect 15 1363 73 1375
+rect -73 1133 -15 1145
+rect -73 957 -61 1133
+rect -27 957 -15 1133
+rect -73 945 -15 957
+rect 15 1133 73 1145
+rect 15 957 27 1133
+rect 61 957 73 1133
+rect 15 945 73 957
+rect -73 715 -15 727
+rect -73 539 -61 715
+rect -27 539 -15 715
+rect -73 527 -15 539
+rect 15 715 73 727
+rect 15 539 27 715
+rect 61 539 73 715
+rect 15 527 73 539
+rect -73 297 -15 309
+rect -73 121 -61 297
+rect -27 121 -15 297
+rect -73 109 -15 121
+rect 15 297 73 309
+rect 15 121 27 297
+rect 61 121 73 297
+rect 15 109 73 121
+rect -73 -121 -15 -109
+rect -73 -297 -61 -121
+rect -27 -297 -15 -121
+rect -73 -309 -15 -297
+rect 15 -121 73 -109
+rect 15 -297 27 -121
+rect 61 -297 73 -121
+rect 15 -309 73 -297
+rect -73 -539 -15 -527
+rect -73 -715 -61 -539
+rect -27 -715 -15 -539
+rect -73 -727 -15 -715
+rect 15 -539 73 -527
+rect 15 -715 27 -539
+rect 61 -715 73 -539
+rect 15 -727 73 -715
+rect -73 -957 -15 -945
+rect -73 -1133 -61 -957
+rect -27 -1133 -15 -957
+rect -73 -1145 -15 -1133
+rect 15 -957 73 -945
+rect 15 -1133 27 -957
+rect 61 -1133 73 -957
+rect 15 -1145 73 -1133
+rect -73 -1375 -15 -1363
+rect -73 -1551 -61 -1375
+rect -27 -1551 -15 -1375
+rect -73 -1563 -15 -1551
+rect 15 -1375 73 -1363
+rect 15 -1551 27 -1375
+rect 61 -1551 73 -1375
+rect 15 -1563 73 -1551
+rect -73 -1793 -15 -1781
+rect -73 -1969 -61 -1793
+rect -27 -1969 -15 -1793
+rect -73 -1981 -15 -1969
+rect 15 -1793 73 -1781
+rect 15 -1969 27 -1793
+rect 61 -1969 73 -1793
+rect 15 -1981 73 -1969
+<< ndiffc >>
+rect -61 1793 -27 1969
+rect 27 1793 61 1969
+rect -61 1375 -27 1551
+rect 27 1375 61 1551
+rect -61 957 -27 1133
+rect 27 957 61 1133
+rect -61 539 -27 715
+rect 27 539 61 715
+rect -61 121 -27 297
+rect 27 121 61 297
+rect -61 -297 -27 -121
+rect 27 -297 61 -121
+rect -61 -715 -27 -539
+rect 27 -715 61 -539
+rect -61 -1133 -27 -957
+rect 27 -1133 61 -957
+rect -61 -1551 -27 -1375
+rect 27 -1551 61 -1375
+rect -61 -1969 -27 -1793
+rect 27 -1969 61 -1793
+<< psubdiff >>
+rect -175 2121 -79 2155
+rect 79 2121 175 2155
+rect -175 2059 -141 2121
+rect 141 2059 175 2121
+rect -175 -2121 -141 -2059
+rect 141 -2121 175 -2059
+rect -175 -2155 -79 -2121
+rect 79 -2155 175 -2121
+<< psubdiffcont >>
+rect -79 2121 79 2155
+rect -175 -2059 -141 2059
+rect 141 -2059 175 2059
+rect -79 -2155 79 -2121
+<< poly >>
+rect -33 2053 33 2069
+rect -33 2019 -17 2053
+rect 17 2019 33 2053
+rect -33 2003 33 2019
+rect -15 1981 15 2003
+rect -15 1759 15 1781
+rect -33 1743 33 1759
+rect -33 1709 -17 1743
+rect 17 1709 33 1743
+rect -33 1693 33 1709
+rect -33 1635 33 1651
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -33 1585 33 1601
+rect -15 1563 15 1585
+rect -15 1341 15 1363
+rect -33 1325 33 1341
+rect -33 1291 -17 1325
+rect 17 1291 33 1325
+rect -33 1275 33 1291
+rect -33 1217 33 1233
+rect -33 1183 -17 1217
+rect 17 1183 33 1217
+rect -33 1167 33 1183
+rect -15 1145 15 1167
+rect -15 923 15 945
+rect -33 907 33 923
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 857 33 873
+rect -33 799 33 815
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -33 749 33 765
+rect -15 727 15 749
+rect -15 505 15 527
+rect -33 489 33 505
+rect -33 455 -17 489
+rect 17 455 33 489
+rect -33 439 33 455
+rect -33 381 33 397
+rect -33 347 -17 381
+rect 17 347 33 381
+rect -33 331 33 347
+rect -15 309 15 331
+rect -15 87 15 109
+rect -33 71 33 87
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 21 33 37
+rect -33 -37 33 -21
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -33 -87 33 -71
+rect -15 -109 15 -87
+rect -15 -331 15 -309
+rect -33 -347 33 -331
+rect -33 -381 -17 -347
+rect 17 -381 33 -347
+rect -33 -397 33 -381
+rect -33 -455 33 -439
+rect -33 -489 -17 -455
+rect 17 -489 33 -455
+rect -33 -505 33 -489
+rect -15 -527 15 -505
+rect -15 -749 15 -727
+rect -33 -765 33 -749
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -815 33 -799
+rect -33 -873 33 -857
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -33 -923 33 -907
+rect -15 -945 15 -923
+rect -15 -1167 15 -1145
+rect -33 -1183 33 -1167
+rect -33 -1217 -17 -1183
+rect 17 -1217 33 -1183
+rect -33 -1233 33 -1217
+rect -33 -1291 33 -1275
+rect -33 -1325 -17 -1291
+rect 17 -1325 33 -1291
+rect -33 -1341 33 -1325
+rect -15 -1363 15 -1341
+rect -15 -1585 15 -1563
+rect -33 -1601 33 -1585
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1651 33 -1635
+rect -33 -1709 33 -1693
+rect -33 -1743 -17 -1709
+rect 17 -1743 33 -1709
+rect -33 -1759 33 -1743
+rect -15 -1781 15 -1759
+rect -15 -2003 15 -1981
+rect -33 -2019 33 -2003
+rect -33 -2053 -17 -2019
+rect 17 -2053 33 -2019
+rect -33 -2069 33 -2053
+<< polycont >>
+rect -17 2019 17 2053
+rect -17 1709 17 1743
+rect -17 1601 17 1635
+rect -17 1291 17 1325
+rect -17 1183 17 1217
+rect -17 873 17 907
+rect -17 765 17 799
+rect -17 455 17 489
+rect -17 347 17 381
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -17 -381 17 -347
+rect -17 -489 17 -455
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -17 -1217 17 -1183
+rect -17 -1325 17 -1291
+rect -17 -1635 17 -1601
+rect -17 -1743 17 -1709
+rect -17 -2053 17 -2019
+<< locali >>
+rect -175 2121 -79 2155
+rect 79 2121 175 2155
+rect -175 2059 -141 2121
+rect 141 2059 175 2121
+rect -33 2019 -17 2053
+rect 17 2019 33 2053
+rect -61 1969 -27 1985
+rect -61 1777 -27 1793
+rect 27 1969 61 1985
+rect 27 1777 61 1793
+rect -33 1709 -17 1743
+rect 17 1709 33 1743
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -61 1551 -27 1567
+rect -61 1359 -27 1375
+rect 27 1551 61 1567
+rect 27 1359 61 1375
+rect -33 1291 -17 1325
+rect 17 1291 33 1325
+rect -33 1183 -17 1217
+rect 17 1183 33 1217
+rect -61 1133 -27 1149
+rect -61 941 -27 957
+rect 27 1133 61 1149
+rect 27 941 61 957
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -61 715 -27 731
+rect -61 523 -27 539
+rect 27 715 61 731
+rect 27 523 61 539
+rect -33 455 -17 489
+rect 17 455 33 489
+rect -33 347 -17 381
+rect 17 347 33 381
+rect -61 297 -27 313
+rect -61 105 -27 121
+rect 27 297 61 313
+rect 27 105 61 121
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -61 -121 -27 -105
+rect -61 -313 -27 -297
+rect 27 -121 61 -105
+rect 27 -313 61 -297
+rect -33 -381 -17 -347
+rect 17 -381 33 -347
+rect -33 -489 -17 -455
+rect 17 -489 33 -455
+rect -61 -539 -27 -523
+rect -61 -731 -27 -715
+rect 27 -539 61 -523
+rect 27 -731 61 -715
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -61 -957 -27 -941
+rect -61 -1149 -27 -1133
+rect 27 -957 61 -941
+rect 27 -1149 61 -1133
+rect -33 -1217 -17 -1183
+rect 17 -1217 33 -1183
+rect -33 -1325 -17 -1291
+rect 17 -1325 33 -1291
+rect -61 -1375 -27 -1359
+rect -61 -1567 -27 -1551
+rect 27 -1375 61 -1359
+rect 27 -1567 61 -1551
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1743 -17 -1709
+rect 17 -1743 33 -1709
+rect -61 -1793 -27 -1777
+rect -61 -1985 -27 -1969
+rect 27 -1793 61 -1777
+rect 27 -1985 61 -1969
+rect -33 -2053 -17 -2019
+rect 17 -2053 33 -2019
+rect -175 -2121 -141 -2059
+rect 141 -2121 175 -2059
+rect -175 -2155 -79 -2121
+rect 79 -2155 175 -2121
+<< viali >>
+rect -17 2019 17 2053
+rect -61 1793 -27 1969
+rect 27 1793 61 1969
+rect -17 1709 17 1743
+rect -17 1601 17 1635
+rect -61 1375 -27 1551
+rect 27 1375 61 1551
+rect -17 1291 17 1325
+rect -17 1183 17 1217
+rect -61 957 -27 1133
+rect 27 957 61 1133
+rect -17 873 17 907
+rect -17 765 17 799
+rect -61 539 -27 715
+rect 27 539 61 715
+rect -17 455 17 489
+rect -17 347 17 381
+rect -61 121 -27 297
+rect 27 121 61 297
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -61 -297 -27 -121
+rect 27 -297 61 -121
+rect -17 -381 17 -347
+rect -17 -489 17 -455
+rect -61 -715 -27 -539
+rect 27 -715 61 -539
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -61 -1133 -27 -957
+rect 27 -1133 61 -957
+rect -17 -1217 17 -1183
+rect -17 -1325 17 -1291
+rect -61 -1551 -27 -1375
+rect 27 -1551 61 -1375
+rect -17 -1635 17 -1601
+rect -17 -1743 17 -1709
+rect -61 -1969 -27 -1793
+rect 27 -1969 61 -1793
+rect -17 -2053 17 -2019
+<< metal1 >>
+rect -29 2053 29 2059
+rect -29 2019 -17 2053
+rect 17 2019 29 2053
+rect -29 2013 29 2019
+rect -67 1969 -21 1981
+rect -67 1793 -61 1969
+rect -27 1793 -21 1969
+rect -67 1781 -21 1793
+rect 21 1969 67 1981
+rect 21 1793 27 1969
+rect 61 1793 67 1969
+rect 21 1781 67 1793
+rect -29 1743 29 1749
+rect -29 1709 -17 1743
+rect 17 1709 29 1743
+rect -29 1703 29 1709
+rect -29 1635 29 1641
+rect -29 1601 -17 1635
+rect 17 1601 29 1635
+rect -29 1595 29 1601
+rect -67 1551 -21 1563
+rect -67 1375 -61 1551
+rect -27 1375 -21 1551
+rect -67 1363 -21 1375
+rect 21 1551 67 1563
+rect 21 1375 27 1551
+rect 61 1375 67 1551
+rect 21 1363 67 1375
+rect -29 1325 29 1331
+rect -29 1291 -17 1325
+rect 17 1291 29 1325
+rect -29 1285 29 1291
+rect -29 1217 29 1223
+rect -29 1183 -17 1217
+rect 17 1183 29 1217
+rect -29 1177 29 1183
+rect -67 1133 -21 1145
+rect -67 957 -61 1133
+rect -27 957 -21 1133
+rect -67 945 -21 957
+rect 21 1133 67 1145
+rect 21 957 27 1133
+rect 61 957 67 1133
+rect 21 945 67 957
+rect -29 907 29 913
+rect -29 873 -17 907
+rect 17 873 29 907
+rect -29 867 29 873
+rect -29 799 29 805
+rect -29 765 -17 799
+rect 17 765 29 799
+rect -29 759 29 765
+rect -67 715 -21 727
+rect -67 539 -61 715
+rect -27 539 -21 715
+rect -67 527 -21 539
+rect 21 715 67 727
+rect 21 539 27 715
+rect 61 539 67 715
+rect 21 527 67 539
+rect -29 489 29 495
+rect -29 455 -17 489
+rect 17 455 29 489
+rect -29 449 29 455
+rect -29 381 29 387
+rect -29 347 -17 381
+rect 17 347 29 381
+rect -29 341 29 347
+rect -67 297 -21 309
+rect -67 121 -61 297
+rect -27 121 -21 297
+rect -67 109 -21 121
+rect 21 297 67 309
+rect 21 121 27 297
+rect 61 121 67 297
+rect 21 109 67 121
+rect -29 71 29 77
+rect -29 37 -17 71
+rect 17 37 29 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect 17 -71 29 -37
+rect -29 -77 29 -71
+rect -67 -121 -21 -109
+rect -67 -297 -61 -121
+rect -27 -297 -21 -121
+rect -67 -309 -21 -297
+rect 21 -121 67 -109
+rect 21 -297 27 -121
+rect 61 -297 67 -121
+rect 21 -309 67 -297
+rect -29 -347 29 -341
+rect -29 -381 -17 -347
+rect 17 -381 29 -347
+rect -29 -387 29 -381
+rect -29 -455 29 -449
+rect -29 -489 -17 -455
+rect 17 -489 29 -455
+rect -29 -495 29 -489
+rect -67 -539 -21 -527
+rect -67 -715 -61 -539
+rect -27 -715 -21 -539
+rect -67 -727 -21 -715
+rect 21 -539 67 -527
+rect 21 -715 27 -539
+rect 61 -715 67 -539
+rect 21 -727 67 -715
+rect -29 -765 29 -759
+rect -29 -799 -17 -765
+rect 17 -799 29 -765
+rect -29 -805 29 -799
+rect -29 -873 29 -867
+rect -29 -907 -17 -873
+rect 17 -907 29 -873
+rect -29 -913 29 -907
+rect -67 -957 -21 -945
+rect -67 -1133 -61 -957
+rect -27 -1133 -21 -957
+rect -67 -1145 -21 -1133
+rect 21 -957 67 -945
+rect 21 -1133 27 -957
+rect 61 -1133 67 -957
+rect 21 -1145 67 -1133
+rect -29 -1183 29 -1177
+rect -29 -1217 -17 -1183
+rect 17 -1217 29 -1183
+rect -29 -1223 29 -1217
+rect -29 -1291 29 -1285
+rect -29 -1325 -17 -1291
+rect 17 -1325 29 -1291
+rect -29 -1331 29 -1325
+rect -67 -1375 -21 -1363
+rect -67 -1551 -61 -1375
+rect -27 -1551 -21 -1375
+rect -67 -1563 -21 -1551
+rect 21 -1375 67 -1363
+rect 21 -1551 27 -1375
+rect 61 -1551 67 -1375
+rect 21 -1563 67 -1551
+rect -29 -1601 29 -1595
+rect -29 -1635 -17 -1601
+rect 17 -1635 29 -1601
+rect -29 -1641 29 -1635
+rect -29 -1709 29 -1703
+rect -29 -1743 -17 -1709
+rect 17 -1743 29 -1709
+rect -29 -1749 29 -1743
+rect -67 -1793 -21 -1781
+rect -67 -1969 -61 -1793
+rect -27 -1969 -21 -1793
+rect -67 -1981 -21 -1969
+rect 21 -1793 67 -1781
+rect 21 -1969 27 -1793
+rect 61 -1969 67 -1793
+rect 21 -1981 67 -1969
+rect -29 -2019 29 -2013
+rect -29 -2053 -17 -2019
+rect 17 -2053 29 -2019
+rect -29 -2059 29 -2053
+<< properties >>
+string FIXED_BBOX -158 -2138 158 2138
+string gencell sky130_fd_pr__nfet_01v8
+string library sky130
+string parameters w 1 l 0.150 m 10 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_6H2JYD.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_6H2JYD.mag
new file mode 100644
index 0000000..adbbfd2
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_6H2JYD.mag
@@ -0,0 +1,100 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662976792
+<< error_p >>
+rect -29 172 29 178
+rect -29 138 -17 172
+rect -29 132 29 138
+rect -29 -138 29 -132
+rect -29 -172 -17 -138
+rect -29 -178 29 -172
+<< pwell >>
+rect -211 -310 211 310
+<< nmoslvt >>
+rect -15 -100 15 100
+<< ndiff >>
+rect -73 88 -15 100
+rect -73 -88 -61 88
+rect -27 -88 -15 88
+rect -73 -100 -15 -88
+rect 15 88 73 100
+rect 15 -88 27 88
+rect 61 -88 73 88
+rect 15 -100 73 -88
+<< ndiffc >>
+rect -61 -88 -27 88
+rect 27 -88 61 88
+<< psubdiff >>
+rect -175 240 -79 274
+rect 79 240 175 274
+rect -175 178 -141 240
+rect 141 178 175 240
+rect -175 -240 -141 -178
+rect 141 -240 175 -178
+rect -175 -274 -79 -240
+rect 79 -274 175 -240
+<< psubdiffcont >>
+rect -79 240 79 274
+rect -175 -178 -141 178
+rect 141 -178 175 178
+rect -79 -274 79 -240
+<< poly >>
+rect -33 172 33 188
+rect -33 138 -17 172
+rect 17 138 33 172
+rect -33 122 33 138
+rect -15 100 15 122
+rect -15 -122 15 -100
+rect -33 -138 33 -122
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -33 -188 33 -172
+<< polycont >>
+rect -17 138 17 172
+rect -17 -172 17 -138
+<< locali >>
+rect -175 240 -79 274
+rect 79 240 175 274
+rect -175 178 -141 240
+rect 141 178 175 240
+rect -33 138 -17 172
+rect 17 138 33 172
+rect -61 88 -27 104
+rect -61 -104 -27 -88
+rect 27 88 61 104
+rect 27 -104 61 -88
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -175 -240 -141 -178
+rect 141 -240 175 -178
+rect -175 -274 -79 -240
+rect 79 -274 175 -240
+<< viali >>
+rect -17 138 17 172
+rect -61 -88 -27 88
+rect 27 -88 61 88
+rect -17 -172 17 -138
+<< metal1 >>
+rect -29 172 29 178
+rect -29 138 -17 172
+rect 17 138 29 172
+rect -29 132 29 138
+rect -67 88 -21 100
+rect -67 -88 -61 88
+rect -27 -88 -21 88
+rect -67 -100 -21 -88
+rect 21 88 67 100
+rect 21 -88 27 88
+rect 61 -88 67 88
+rect 21 -100 67 -88
+rect -29 -138 29 -132
+rect -29 -172 -17 -138
+rect 17 -172 29 -138
+rect -29 -178 29 -172
+<< properties >>
+string FIXED_BBOX -158 -257 158 257
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_72NHPP.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_72NHPP.mag
new file mode 100644
index 0000000..dc5badf
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_72NHPP.mag
@@ -0,0 +1,613 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662988209
+<< error_p >>
+rect -29 2053 29 2059
+rect -29 2019 -17 2053
+rect -29 2013 29 2019
+rect -29 1743 29 1749
+rect -29 1709 -17 1743
+rect -29 1703 29 1709
+rect -29 1635 29 1641
+rect -29 1601 -17 1635
+rect -29 1595 29 1601
+rect -29 1325 29 1331
+rect -29 1291 -17 1325
+rect -29 1285 29 1291
+rect -29 1217 29 1223
+rect -29 1183 -17 1217
+rect -29 1177 29 1183
+rect -29 907 29 913
+rect -29 873 -17 907
+rect -29 867 29 873
+rect -29 799 29 805
+rect -29 765 -17 799
+rect -29 759 29 765
+rect -29 489 29 495
+rect -29 455 -17 489
+rect -29 449 29 455
+rect -29 381 29 387
+rect -29 347 -17 381
+rect -29 341 29 347
+rect -29 71 29 77
+rect -29 37 -17 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect -29 -77 29 -71
+rect -29 -347 29 -341
+rect -29 -381 -17 -347
+rect -29 -387 29 -381
+rect -29 -455 29 -449
+rect -29 -489 -17 -455
+rect -29 -495 29 -489
+rect -29 -765 29 -759
+rect -29 -799 -17 -765
+rect -29 -805 29 -799
+rect -29 -873 29 -867
+rect -29 -907 -17 -873
+rect -29 -913 29 -907
+rect -29 -1183 29 -1177
+rect -29 -1217 -17 -1183
+rect -29 -1223 29 -1217
+rect -29 -1291 29 -1285
+rect -29 -1325 -17 -1291
+rect -29 -1331 29 -1325
+rect -29 -1601 29 -1595
+rect -29 -1635 -17 -1601
+rect -29 -1641 29 -1635
+rect -29 -1709 29 -1703
+rect -29 -1743 -17 -1709
+rect -29 -1749 29 -1743
+rect -29 -2019 29 -2013
+rect -29 -2053 -17 -2019
+rect -29 -2059 29 -2053
+<< pwell >>
+rect -211 -2191 211 2191
+<< nmoslvt >>
+rect -15 1781 15 1981
+rect -15 1363 15 1563
+rect -15 945 15 1145
+rect -15 527 15 727
+rect -15 109 15 309
+rect -15 -309 15 -109
+rect -15 -727 15 -527
+rect -15 -1145 15 -945
+rect -15 -1563 15 -1363
+rect -15 -1981 15 -1781
+<< ndiff >>
+rect -73 1969 -15 1981
+rect -73 1793 -61 1969
+rect -27 1793 -15 1969
+rect -73 1781 -15 1793
+rect 15 1969 73 1981
+rect 15 1793 27 1969
+rect 61 1793 73 1969
+rect 15 1781 73 1793
+rect -73 1551 -15 1563
+rect -73 1375 -61 1551
+rect -27 1375 -15 1551
+rect -73 1363 -15 1375
+rect 15 1551 73 1563
+rect 15 1375 27 1551
+rect 61 1375 73 1551
+rect 15 1363 73 1375
+rect -73 1133 -15 1145
+rect -73 957 -61 1133
+rect -27 957 -15 1133
+rect -73 945 -15 957
+rect 15 1133 73 1145
+rect 15 957 27 1133
+rect 61 957 73 1133
+rect 15 945 73 957
+rect -73 715 -15 727
+rect -73 539 -61 715
+rect -27 539 -15 715
+rect -73 527 -15 539
+rect 15 715 73 727
+rect 15 539 27 715
+rect 61 539 73 715
+rect 15 527 73 539
+rect -73 297 -15 309
+rect -73 121 -61 297
+rect -27 121 -15 297
+rect -73 109 -15 121
+rect 15 297 73 309
+rect 15 121 27 297
+rect 61 121 73 297
+rect 15 109 73 121
+rect -73 -121 -15 -109
+rect -73 -297 -61 -121
+rect -27 -297 -15 -121
+rect -73 -309 -15 -297
+rect 15 -121 73 -109
+rect 15 -297 27 -121
+rect 61 -297 73 -121
+rect 15 -309 73 -297
+rect -73 -539 -15 -527
+rect -73 -715 -61 -539
+rect -27 -715 -15 -539
+rect -73 -727 -15 -715
+rect 15 -539 73 -527
+rect 15 -715 27 -539
+rect 61 -715 73 -539
+rect 15 -727 73 -715
+rect -73 -957 -15 -945
+rect -73 -1133 -61 -957
+rect -27 -1133 -15 -957
+rect -73 -1145 -15 -1133
+rect 15 -957 73 -945
+rect 15 -1133 27 -957
+rect 61 -1133 73 -957
+rect 15 -1145 73 -1133
+rect -73 -1375 -15 -1363
+rect -73 -1551 -61 -1375
+rect -27 -1551 -15 -1375
+rect -73 -1563 -15 -1551
+rect 15 -1375 73 -1363
+rect 15 -1551 27 -1375
+rect 61 -1551 73 -1375
+rect 15 -1563 73 -1551
+rect -73 -1793 -15 -1781
+rect -73 -1969 -61 -1793
+rect -27 -1969 -15 -1793
+rect -73 -1981 -15 -1969
+rect 15 -1793 73 -1781
+rect 15 -1969 27 -1793
+rect 61 -1969 73 -1793
+rect 15 -1981 73 -1969
+<< ndiffc >>
+rect -61 1793 -27 1969
+rect 27 1793 61 1969
+rect -61 1375 -27 1551
+rect 27 1375 61 1551
+rect -61 957 -27 1133
+rect 27 957 61 1133
+rect -61 539 -27 715
+rect 27 539 61 715
+rect -61 121 -27 297
+rect 27 121 61 297
+rect -61 -297 -27 -121
+rect 27 -297 61 -121
+rect -61 -715 -27 -539
+rect 27 -715 61 -539
+rect -61 -1133 -27 -957
+rect 27 -1133 61 -957
+rect -61 -1551 -27 -1375
+rect 27 -1551 61 -1375
+rect -61 -1969 -27 -1793
+rect 27 -1969 61 -1793
+<< psubdiff >>
+rect -175 2121 -79 2155
+rect 79 2121 175 2155
+rect -175 2059 -141 2121
+rect 141 2059 175 2121
+rect -175 -2121 -141 -2059
+rect 141 -2121 175 -2059
+rect -175 -2155 -79 -2121
+rect 79 -2155 175 -2121
+<< psubdiffcont >>
+rect -79 2121 79 2155
+rect -175 -2059 -141 2059
+rect 141 -2059 175 2059
+rect -79 -2155 79 -2121
+<< poly >>
+rect -33 2053 33 2069
+rect -33 2019 -17 2053
+rect 17 2019 33 2053
+rect -33 2003 33 2019
+rect -15 1981 15 2003
+rect -15 1759 15 1781
+rect -33 1743 33 1759
+rect -33 1709 -17 1743
+rect 17 1709 33 1743
+rect -33 1693 33 1709
+rect -33 1635 33 1651
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -33 1585 33 1601
+rect -15 1563 15 1585
+rect -15 1341 15 1363
+rect -33 1325 33 1341
+rect -33 1291 -17 1325
+rect 17 1291 33 1325
+rect -33 1275 33 1291
+rect -33 1217 33 1233
+rect -33 1183 -17 1217
+rect 17 1183 33 1217
+rect -33 1167 33 1183
+rect -15 1145 15 1167
+rect -15 923 15 945
+rect -33 907 33 923
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 857 33 873
+rect -33 799 33 815
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -33 749 33 765
+rect -15 727 15 749
+rect -15 505 15 527
+rect -33 489 33 505
+rect -33 455 -17 489
+rect 17 455 33 489
+rect -33 439 33 455
+rect -33 381 33 397
+rect -33 347 -17 381
+rect 17 347 33 381
+rect -33 331 33 347
+rect -15 309 15 331
+rect -15 87 15 109
+rect -33 71 33 87
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 21 33 37
+rect -33 -37 33 -21
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -33 -87 33 -71
+rect -15 -109 15 -87
+rect -15 -331 15 -309
+rect -33 -347 33 -331
+rect -33 -381 -17 -347
+rect 17 -381 33 -347
+rect -33 -397 33 -381
+rect -33 -455 33 -439
+rect -33 -489 -17 -455
+rect 17 -489 33 -455
+rect -33 -505 33 -489
+rect -15 -527 15 -505
+rect -15 -749 15 -727
+rect -33 -765 33 -749
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -815 33 -799
+rect -33 -873 33 -857
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -33 -923 33 -907
+rect -15 -945 15 -923
+rect -15 -1167 15 -1145
+rect -33 -1183 33 -1167
+rect -33 -1217 -17 -1183
+rect 17 -1217 33 -1183
+rect -33 -1233 33 -1217
+rect -33 -1291 33 -1275
+rect -33 -1325 -17 -1291
+rect 17 -1325 33 -1291
+rect -33 -1341 33 -1325
+rect -15 -1363 15 -1341
+rect -15 -1585 15 -1563
+rect -33 -1601 33 -1585
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1651 33 -1635
+rect -33 -1709 33 -1693
+rect -33 -1743 -17 -1709
+rect 17 -1743 33 -1709
+rect -33 -1759 33 -1743
+rect -15 -1781 15 -1759
+rect -15 -2003 15 -1981
+rect -33 -2019 33 -2003
+rect -33 -2053 -17 -2019
+rect 17 -2053 33 -2019
+rect -33 -2069 33 -2053
+<< polycont >>
+rect -17 2019 17 2053
+rect -17 1709 17 1743
+rect -17 1601 17 1635
+rect -17 1291 17 1325
+rect -17 1183 17 1217
+rect -17 873 17 907
+rect -17 765 17 799
+rect -17 455 17 489
+rect -17 347 17 381
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -17 -381 17 -347
+rect -17 -489 17 -455
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -17 -1217 17 -1183
+rect -17 -1325 17 -1291
+rect -17 -1635 17 -1601
+rect -17 -1743 17 -1709
+rect -17 -2053 17 -2019
+<< locali >>
+rect -175 2121 -79 2155
+rect 79 2121 175 2155
+rect -175 2059 -141 2121
+rect 141 2059 175 2121
+rect -33 2019 -17 2053
+rect 17 2019 33 2053
+rect -61 1969 -27 1985
+rect -61 1777 -27 1793
+rect 27 1969 61 1985
+rect 27 1777 61 1793
+rect -33 1709 -17 1743
+rect 17 1709 33 1743
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -61 1551 -27 1567
+rect -61 1359 -27 1375
+rect 27 1551 61 1567
+rect 27 1359 61 1375
+rect -33 1291 -17 1325
+rect 17 1291 33 1325
+rect -33 1183 -17 1217
+rect 17 1183 33 1217
+rect -61 1133 -27 1149
+rect -61 941 -27 957
+rect 27 1133 61 1149
+rect 27 941 61 957
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -61 715 -27 731
+rect -61 523 -27 539
+rect 27 715 61 731
+rect 27 523 61 539
+rect -33 455 -17 489
+rect 17 455 33 489
+rect -33 347 -17 381
+rect 17 347 33 381
+rect -61 297 -27 313
+rect -61 105 -27 121
+rect 27 297 61 313
+rect 27 105 61 121
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -61 -121 -27 -105
+rect -61 -313 -27 -297
+rect 27 -121 61 -105
+rect 27 -313 61 -297
+rect -33 -381 -17 -347
+rect 17 -381 33 -347
+rect -33 -489 -17 -455
+rect 17 -489 33 -455
+rect -61 -539 -27 -523
+rect -61 -731 -27 -715
+rect 27 -539 61 -523
+rect 27 -731 61 -715
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -61 -957 -27 -941
+rect -61 -1149 -27 -1133
+rect 27 -957 61 -941
+rect 27 -1149 61 -1133
+rect -33 -1217 -17 -1183
+rect 17 -1217 33 -1183
+rect -33 -1325 -17 -1291
+rect 17 -1325 33 -1291
+rect -61 -1375 -27 -1359
+rect -61 -1567 -27 -1551
+rect 27 -1375 61 -1359
+rect 27 -1567 61 -1551
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1743 -17 -1709
+rect 17 -1743 33 -1709
+rect -61 -1793 -27 -1777
+rect -61 -1985 -27 -1969
+rect 27 -1793 61 -1777
+rect 27 -1985 61 -1969
+rect -33 -2053 -17 -2019
+rect 17 -2053 33 -2019
+rect -175 -2121 -141 -2059
+rect 141 -2121 175 -2059
+rect -175 -2155 -79 -2121
+rect 79 -2155 175 -2121
+<< viali >>
+rect -17 2019 17 2053
+rect -61 1793 -27 1969
+rect 27 1793 61 1969
+rect -17 1709 17 1743
+rect -17 1601 17 1635
+rect -61 1375 -27 1551
+rect 27 1375 61 1551
+rect -17 1291 17 1325
+rect -17 1183 17 1217
+rect -61 957 -27 1133
+rect 27 957 61 1133
+rect -17 873 17 907
+rect -17 765 17 799
+rect -61 539 -27 715
+rect 27 539 61 715
+rect -17 455 17 489
+rect -17 347 17 381
+rect -61 121 -27 297
+rect 27 121 61 297
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -61 -297 -27 -121
+rect 27 -297 61 -121
+rect -17 -381 17 -347
+rect -17 -489 17 -455
+rect -61 -715 -27 -539
+rect 27 -715 61 -539
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -61 -1133 -27 -957
+rect 27 -1133 61 -957
+rect -17 -1217 17 -1183
+rect -17 -1325 17 -1291
+rect -61 -1551 -27 -1375
+rect 27 -1551 61 -1375
+rect -17 -1635 17 -1601
+rect -17 -1743 17 -1709
+rect -61 -1969 -27 -1793
+rect 27 -1969 61 -1793
+rect -17 -2053 17 -2019
+<< metal1 >>
+rect -29 2053 29 2059
+rect -29 2019 -17 2053
+rect 17 2019 29 2053
+rect -29 2013 29 2019
+rect -67 1969 -21 1981
+rect -67 1793 -61 1969
+rect -27 1793 -21 1969
+rect -67 1781 -21 1793
+rect 21 1969 67 1981
+rect 21 1793 27 1969
+rect 61 1793 67 1969
+rect 21 1781 67 1793
+rect -29 1743 29 1749
+rect -29 1709 -17 1743
+rect 17 1709 29 1743
+rect -29 1703 29 1709
+rect -29 1635 29 1641
+rect -29 1601 -17 1635
+rect 17 1601 29 1635
+rect -29 1595 29 1601
+rect -67 1551 -21 1563
+rect -67 1375 -61 1551
+rect -27 1375 -21 1551
+rect -67 1363 -21 1375
+rect 21 1551 67 1563
+rect 21 1375 27 1551
+rect 61 1375 67 1551
+rect 21 1363 67 1375
+rect -29 1325 29 1331
+rect -29 1291 -17 1325
+rect 17 1291 29 1325
+rect -29 1285 29 1291
+rect -29 1217 29 1223
+rect -29 1183 -17 1217
+rect 17 1183 29 1217
+rect -29 1177 29 1183
+rect -67 1133 -21 1145
+rect -67 957 -61 1133
+rect -27 957 -21 1133
+rect -67 945 -21 957
+rect 21 1133 67 1145
+rect 21 957 27 1133
+rect 61 957 67 1133
+rect 21 945 67 957
+rect -29 907 29 913
+rect -29 873 -17 907
+rect 17 873 29 907
+rect -29 867 29 873
+rect -29 799 29 805
+rect -29 765 -17 799
+rect 17 765 29 799
+rect -29 759 29 765
+rect -67 715 -21 727
+rect -67 539 -61 715
+rect -27 539 -21 715
+rect -67 527 -21 539
+rect 21 715 67 727
+rect 21 539 27 715
+rect 61 539 67 715
+rect 21 527 67 539
+rect -29 489 29 495
+rect -29 455 -17 489
+rect 17 455 29 489
+rect -29 449 29 455
+rect -29 381 29 387
+rect -29 347 -17 381
+rect 17 347 29 381
+rect -29 341 29 347
+rect -67 297 -21 309
+rect -67 121 -61 297
+rect -27 121 -21 297
+rect -67 109 -21 121
+rect 21 297 67 309
+rect 21 121 27 297
+rect 61 121 67 297
+rect 21 109 67 121
+rect -29 71 29 77
+rect -29 37 -17 71
+rect 17 37 29 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect 17 -71 29 -37
+rect -29 -77 29 -71
+rect -67 -121 -21 -109
+rect -67 -297 -61 -121
+rect -27 -297 -21 -121
+rect -67 -309 -21 -297
+rect 21 -121 67 -109
+rect 21 -297 27 -121
+rect 61 -297 67 -121
+rect 21 -309 67 -297
+rect -29 -347 29 -341
+rect -29 -381 -17 -347
+rect 17 -381 29 -347
+rect -29 -387 29 -381
+rect -29 -455 29 -449
+rect -29 -489 -17 -455
+rect 17 -489 29 -455
+rect -29 -495 29 -489
+rect -67 -539 -21 -527
+rect -67 -715 -61 -539
+rect -27 -715 -21 -539
+rect -67 -727 -21 -715
+rect 21 -539 67 -527
+rect 21 -715 27 -539
+rect 61 -715 67 -539
+rect 21 -727 67 -715
+rect -29 -765 29 -759
+rect -29 -799 -17 -765
+rect 17 -799 29 -765
+rect -29 -805 29 -799
+rect -29 -873 29 -867
+rect -29 -907 -17 -873
+rect 17 -907 29 -873
+rect -29 -913 29 -907
+rect -67 -957 -21 -945
+rect -67 -1133 -61 -957
+rect -27 -1133 -21 -957
+rect -67 -1145 -21 -1133
+rect 21 -957 67 -945
+rect 21 -1133 27 -957
+rect 61 -1133 67 -957
+rect 21 -1145 67 -1133
+rect -29 -1183 29 -1177
+rect -29 -1217 -17 -1183
+rect 17 -1217 29 -1183
+rect -29 -1223 29 -1217
+rect -29 -1291 29 -1285
+rect -29 -1325 -17 -1291
+rect 17 -1325 29 -1291
+rect -29 -1331 29 -1325
+rect -67 -1375 -21 -1363
+rect -67 -1551 -61 -1375
+rect -27 -1551 -21 -1375
+rect -67 -1563 -21 -1551
+rect 21 -1375 67 -1363
+rect 21 -1551 27 -1375
+rect 61 -1551 67 -1375
+rect 21 -1563 67 -1551
+rect -29 -1601 29 -1595
+rect -29 -1635 -17 -1601
+rect 17 -1635 29 -1601
+rect -29 -1641 29 -1635
+rect -29 -1709 29 -1703
+rect -29 -1743 -17 -1709
+rect 17 -1743 29 -1709
+rect -29 -1749 29 -1743
+rect -67 -1793 -21 -1781
+rect -67 -1969 -61 -1793
+rect -27 -1969 -21 -1793
+rect -67 -1981 -21 -1969
+rect 21 -1793 67 -1781
+rect 21 -1969 27 -1793
+rect 61 -1969 67 -1793
+rect 21 -1981 67 -1969
+rect -29 -2019 29 -2013
+rect -29 -2053 -17 -2019
+rect 17 -2053 29 -2019
+rect -29 -2059 29 -2053
+<< properties >>
+string FIXED_BBOX -158 -2138 158 2138
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1 l 0.150 m 10 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_8PSHEW.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_8PSHEW.mag
new file mode 100644
index 0000000..d66ae0e
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_8PSHEW.mag
@@ -0,0 +1,100 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662988209
+<< error_p >>
+rect -29 200 29 206
+rect -29 166 -17 200
+rect -29 160 29 166
+rect -29 -166 29 -160
+rect -29 -200 -17 -166
+rect -29 -206 29 -200
+<< pwell >>
+rect -211 -338 211 338
+<< nmoslvt >>
+rect -15 -128 15 128
+<< ndiff >>
+rect -73 116 -15 128
+rect -73 -116 -61 116
+rect -27 -116 -15 116
+rect -73 -128 -15 -116
+rect 15 116 73 128
+rect 15 -116 27 116
+rect 61 -116 73 116
+rect 15 -128 73 -116
+<< ndiffc >>
+rect -61 -116 -27 116
+rect 27 -116 61 116
+<< psubdiff >>
+rect -175 268 -79 302
+rect 79 268 175 302
+rect -175 206 -141 268
+rect 141 206 175 268
+rect -175 -268 -141 -206
+rect 141 -268 175 -206
+rect -175 -302 -79 -268
+rect 79 -302 175 -268
+<< psubdiffcont >>
+rect -79 268 79 302
+rect -175 -206 -141 206
+rect 141 -206 175 206
+rect -79 -302 79 -268
+<< poly >>
+rect -33 200 33 216
+rect -33 166 -17 200
+rect 17 166 33 200
+rect -33 150 33 166
+rect -15 128 15 150
+rect -15 -150 15 -128
+rect -33 -166 33 -150
+rect -33 -200 -17 -166
+rect 17 -200 33 -166
+rect -33 -216 33 -200
+<< polycont >>
+rect -17 166 17 200
+rect -17 -200 17 -166
+<< locali >>
+rect -175 268 -79 302
+rect 79 268 175 302
+rect -175 206 -141 268
+rect 141 206 175 268
+rect -33 166 -17 200
+rect 17 166 33 200
+rect -61 116 -27 132
+rect -61 -132 -27 -116
+rect 27 116 61 132
+rect 27 -132 61 -116
+rect -33 -200 -17 -166
+rect 17 -200 33 -166
+rect -175 -268 -141 -206
+rect 141 -268 175 -206
+rect -175 -302 -79 -268
+rect 79 -302 175 -268
+<< viali >>
+rect -17 166 17 200
+rect -61 -116 -27 116
+rect 27 -116 61 116
+rect -17 -200 17 -166
+<< metal1 >>
+rect -29 200 29 206
+rect -29 166 -17 200
+rect 17 166 29 200
+rect -29 160 29 166
+rect -67 116 -21 128
+rect -67 -116 -61 116
+rect -27 -116 -21 116
+rect -67 -128 -21 -116
+rect 21 116 67 128
+rect 21 -116 27 116
+rect 61 -116 67 116
+rect 21 -128 67 -116
+rect -29 -166 29 -160
+rect -29 -200 -17 -166
+rect 17 -200 29 -166
+rect -29 -206 29 -200
+<< properties >>
+string FIXED_BBOX -158 -285 158 285
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1.28 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_BSMWRE.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_BSMWRE.mag
new file mode 100644
index 0000000..b89ea3b
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_BSMWRE.mag
@@ -0,0 +1,140 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< pwell >>
+rect -396 -519 396 519
+<< nmoslvt >>
+rect -200 109 200 309
+rect -200 -309 200 -109
+<< ndiff >>
+rect -258 297 -200 309
+rect -258 121 -246 297
+rect -212 121 -200 297
+rect -258 109 -200 121
+rect 200 297 258 309
+rect 200 121 212 297
+rect 246 121 258 297
+rect 200 109 258 121
+rect -258 -121 -200 -109
+rect -258 -297 -246 -121
+rect -212 -297 -200 -121
+rect -258 -309 -200 -297
+rect 200 -121 258 -109
+rect 200 -297 212 -121
+rect 246 -297 258 -121
+rect 200 -309 258 -297
+<< ndiffc >>
+rect -246 121 -212 297
+rect 212 121 246 297
+rect -246 -297 -212 -121
+rect 212 -297 246 -121
+<< psubdiff >>
+rect -360 449 -264 483
+rect 264 449 360 483
+rect -360 387 -326 449
+rect 326 387 360 449
+rect -360 -449 -326 -387
+rect 326 -449 360 -387
+rect -360 -483 -264 -449
+rect 264 -483 360 -449
+<< psubdiffcont >>
+rect -264 449 264 483
+rect -360 -387 -326 387
+rect 326 -387 360 387
+rect -264 -483 264 -449
+<< poly >>
+rect -200 381 200 397
+rect -200 347 -184 381
+rect 184 347 200 381
+rect -200 309 200 347
+rect -200 71 200 109
+rect -200 37 -184 71
+rect 184 37 200 71
+rect -200 21 200 37
+rect -200 -37 200 -21
+rect -200 -71 -184 -37
+rect 184 -71 200 -37
+rect -200 -109 200 -71
+rect -200 -347 200 -309
+rect -200 -381 -184 -347
+rect 184 -381 200 -347
+rect -200 -397 200 -381
+<< polycont >>
+rect -184 347 184 381
+rect -184 37 184 71
+rect -184 -71 184 -37
+rect -184 -381 184 -347
+<< locali >>
+rect -360 449 -264 483
+rect 264 449 360 483
+rect -360 387 -326 449
+rect 326 387 360 449
+rect -200 347 -184 381
+rect 184 347 200 381
+rect -246 297 -212 313
+rect -246 105 -212 121
+rect 212 297 246 313
+rect 212 105 246 121
+rect -200 37 -184 71
+rect 184 37 200 71
+rect -200 -71 -184 -37
+rect 184 -71 200 -37
+rect -246 -121 -212 -105
+rect -246 -313 -212 -297
+rect 212 -121 246 -105
+rect 212 -313 246 -297
+rect -200 -381 -184 -347
+rect 184 -381 200 -347
+rect -360 -449 -326 -387
+rect 326 -449 360 -387
+rect -360 -483 -264 -449
+rect 264 -483 360 -449
+<< viali >>
+rect -184 347 184 381
+rect -246 121 -212 297
+rect 212 121 246 297
+rect -184 37 184 71
+rect -184 -71 184 -37
+rect -246 -297 -212 -121
+rect 212 -297 246 -121
+rect -184 -381 184 -347
+<< metal1 >>
+rect -196 381 196 387
+rect -196 347 -184 381
+rect 184 347 196 381
+rect -196 341 196 347
+rect -252 297 -206 309
+rect -252 121 -246 297
+rect -212 121 -206 297
+rect -252 109 -206 121
+rect 206 297 252 309
+rect 206 121 212 297
+rect 246 121 252 297
+rect 206 109 252 121
+rect -196 71 196 77
+rect -196 37 -184 71
+rect 184 37 196 71
+rect -196 31 196 37
+rect -196 -37 196 -31
+rect -196 -71 -184 -37
+rect 184 -71 196 -37
+rect -196 -77 196 -71
+rect -252 -121 -206 -109
+rect -252 -297 -246 -121
+rect -212 -297 -206 -121
+rect -252 -309 -206 -297
+rect 206 -121 252 -109
+rect 206 -297 212 -121
+rect 246 -297 252 -121
+rect 206 -309 252 -297
+rect -196 -347 196 -341
+rect -196 -381 -184 -347
+rect 184 -381 196 -347
+rect -196 -387 196 -381
+<< properties >>
+string FIXED_BBOX -343 -466 343 466
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 2.0 m 2 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_EA9ZG2.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_EA9ZG2.mag
new file mode 100644
index 0000000..cd54dbe
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_EA9ZG2.mag
@@ -0,0 +1,100 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< error_p >>
+rect -29 172 29 178
+rect -29 138 -17 172
+rect -29 132 29 138
+rect -29 -138 29 -132
+rect -29 -172 -17 -138
+rect -29 -178 29 -172
+<< pwell >>
+rect -221 -310 221 310
+<< nmoslvt >>
+rect -25 -100 25 100
+<< ndiff >>
+rect -83 88 -25 100
+rect -83 -88 -71 88
+rect -37 -88 -25 88
+rect -83 -100 -25 -88
+rect 25 88 83 100
+rect 25 -88 37 88
+rect 71 -88 83 88
+rect 25 -100 83 -88
+<< ndiffc >>
+rect -71 -88 -37 88
+rect 37 -88 71 88
+<< psubdiff >>
+rect -185 240 -89 274
+rect 89 240 185 274
+rect -185 178 -151 240
+rect 151 178 185 240
+rect -185 -240 -151 -178
+rect 151 -240 185 -178
+rect -185 -274 -89 -240
+rect 89 -274 185 -240
+<< psubdiffcont >>
+rect -89 240 89 274
+rect -185 -178 -151 178
+rect 151 -178 185 178
+rect -89 -274 89 -240
+<< poly >>
+rect -33 172 33 188
+rect -33 138 -17 172
+rect 17 138 33 172
+rect -33 122 33 138
+rect -25 100 25 122
+rect -25 -122 25 -100
+rect -33 -138 33 -122
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -33 -188 33 -172
+<< polycont >>
+rect -17 138 17 172
+rect -17 -172 17 -138
+<< locali >>
+rect -185 240 -89 274
+rect 89 240 185 274
+rect -185 178 -151 240
+rect 151 178 185 240
+rect -33 138 -17 172
+rect 17 138 33 172
+rect -71 88 -37 104
+rect -71 -104 -37 -88
+rect 37 88 71 104
+rect 37 -104 71 -88
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -185 -240 -151 -178
+rect 151 -240 185 -178
+rect -185 -274 -89 -240
+rect 89 -274 185 -240
+<< viali >>
+rect -17 138 17 172
+rect -71 -88 -37 88
+rect 37 -88 71 88
+rect -17 -172 17 -138
+<< metal1 >>
+rect -29 172 29 178
+rect -29 138 -17 172
+rect 17 138 29 172
+rect -29 132 29 138
+rect -77 88 -31 100
+rect -77 -88 -71 88
+rect -37 -88 -31 88
+rect -77 -100 -31 -88
+rect 31 88 77 100
+rect 31 -88 37 88
+rect 71 -88 77 88
+rect 31 -100 77 -88
+rect -29 -138 29 -132
+rect -29 -172 -17 -138
+rect 17 -172 29 -138
+rect -29 -178 29 -172
+<< properties >>
+string FIXED_BBOX -168 -257 168 257
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 0.25 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_F8HAAN.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_F8HAAN.mag
new file mode 100644
index 0000000..ae32419
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_F8HAAN.mag
@@ -0,0 +1,532 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< pwell >>
+rect -396 -2191 396 2191
+<< nmoslvt >>
+rect -200 1781 200 1981
+rect -200 1363 200 1563
+rect -200 945 200 1145
+rect -200 527 200 727
+rect -200 109 200 309
+rect -200 -309 200 -109
+rect -200 -727 200 -527
+rect -200 -1145 200 -945
+rect -200 -1563 200 -1363
+rect -200 -1981 200 -1781
+<< ndiff >>
+rect -258 1969 -200 1981
+rect -258 1793 -246 1969
+rect -212 1793 -200 1969
+rect -258 1781 -200 1793
+rect 200 1969 258 1981
+rect 200 1793 212 1969
+rect 246 1793 258 1969
+rect 200 1781 258 1793
+rect -258 1551 -200 1563
+rect -258 1375 -246 1551
+rect -212 1375 -200 1551
+rect -258 1363 -200 1375
+rect 200 1551 258 1563
+rect 200 1375 212 1551
+rect 246 1375 258 1551
+rect 200 1363 258 1375
+rect -258 1133 -200 1145
+rect -258 957 -246 1133
+rect -212 957 -200 1133
+rect -258 945 -200 957
+rect 200 1133 258 1145
+rect 200 957 212 1133
+rect 246 957 258 1133
+rect 200 945 258 957
+rect -258 715 -200 727
+rect -258 539 -246 715
+rect -212 539 -200 715
+rect -258 527 -200 539
+rect 200 715 258 727
+rect 200 539 212 715
+rect 246 539 258 715
+rect 200 527 258 539
+rect -258 297 -200 309
+rect -258 121 -246 297
+rect -212 121 -200 297
+rect -258 109 -200 121
+rect 200 297 258 309
+rect 200 121 212 297
+rect 246 121 258 297
+rect 200 109 258 121
+rect -258 -121 -200 -109
+rect -258 -297 -246 -121
+rect -212 -297 -200 -121
+rect -258 -309 -200 -297
+rect 200 -121 258 -109
+rect 200 -297 212 -121
+rect 246 -297 258 -121
+rect 200 -309 258 -297
+rect -258 -539 -200 -527
+rect -258 -715 -246 -539
+rect -212 -715 -200 -539
+rect -258 -727 -200 -715
+rect 200 -539 258 -527
+rect 200 -715 212 -539
+rect 246 -715 258 -539
+rect 200 -727 258 -715
+rect -258 -957 -200 -945
+rect -258 -1133 -246 -957
+rect -212 -1133 -200 -957
+rect -258 -1145 -200 -1133
+rect 200 -957 258 -945
+rect 200 -1133 212 -957
+rect 246 -1133 258 -957
+rect 200 -1145 258 -1133
+rect -258 -1375 -200 -1363
+rect -258 -1551 -246 -1375
+rect -212 -1551 -200 -1375
+rect -258 -1563 -200 -1551
+rect 200 -1375 258 -1363
+rect 200 -1551 212 -1375
+rect 246 -1551 258 -1375
+rect 200 -1563 258 -1551
+rect -258 -1793 -200 -1781
+rect -258 -1969 -246 -1793
+rect -212 -1969 -200 -1793
+rect -258 -1981 -200 -1969
+rect 200 -1793 258 -1781
+rect 200 -1969 212 -1793
+rect 246 -1969 258 -1793
+rect 200 -1981 258 -1969
+<< ndiffc >>
+rect -246 1793 -212 1969
+rect 212 1793 246 1969
+rect -246 1375 -212 1551
+rect 212 1375 246 1551
+rect -246 957 -212 1133
+rect 212 957 246 1133
+rect -246 539 -212 715
+rect 212 539 246 715
+rect -246 121 -212 297
+rect 212 121 246 297
+rect -246 -297 -212 -121
+rect 212 -297 246 -121
+rect -246 -715 -212 -539
+rect 212 -715 246 -539
+rect -246 -1133 -212 -957
+rect 212 -1133 246 -957
+rect -246 -1551 -212 -1375
+rect 212 -1551 246 -1375
+rect -246 -1969 -212 -1793
+rect 212 -1969 246 -1793
+<< psubdiff >>
+rect -360 2121 -264 2155
+rect 264 2121 360 2155
+rect -360 2059 -326 2121
+rect 326 2059 360 2121
+rect -360 -2121 -326 -2059
+rect 326 -2121 360 -2059
+rect -360 -2155 -264 -2121
+rect 264 -2155 360 -2121
+<< psubdiffcont >>
+rect -264 2121 264 2155
+rect -360 -2059 -326 2059
+rect 326 -2059 360 2059
+rect -264 -2155 264 -2121
+<< poly >>
+rect -200 2053 200 2069
+rect -200 2019 -184 2053
+rect 184 2019 200 2053
+rect -200 1981 200 2019
+rect -200 1743 200 1781
+rect -200 1709 -184 1743
+rect 184 1709 200 1743
+rect -200 1693 200 1709
+rect -200 1635 200 1651
+rect -200 1601 -184 1635
+rect 184 1601 200 1635
+rect -200 1563 200 1601
+rect -200 1325 200 1363
+rect -200 1291 -184 1325
+rect 184 1291 200 1325
+rect -200 1275 200 1291
+rect -200 1217 200 1233
+rect -200 1183 -184 1217
+rect 184 1183 200 1217
+rect -200 1145 200 1183
+rect -200 907 200 945
+rect -200 873 -184 907
+rect 184 873 200 907
+rect -200 857 200 873
+rect -200 799 200 815
+rect -200 765 -184 799
+rect 184 765 200 799
+rect -200 727 200 765
+rect -200 489 200 527
+rect -200 455 -184 489
+rect 184 455 200 489
+rect -200 439 200 455
+rect -200 381 200 397
+rect -200 347 -184 381
+rect 184 347 200 381
+rect -200 309 200 347
+rect -200 71 200 109
+rect -200 37 -184 71
+rect 184 37 200 71
+rect -200 21 200 37
+rect -200 -37 200 -21
+rect -200 -71 -184 -37
+rect 184 -71 200 -37
+rect -200 -109 200 -71
+rect -200 -347 200 -309
+rect -200 -381 -184 -347
+rect 184 -381 200 -347
+rect -200 -397 200 -381
+rect -200 -455 200 -439
+rect -200 -489 -184 -455
+rect 184 -489 200 -455
+rect -200 -527 200 -489
+rect -200 -765 200 -727
+rect -200 -799 -184 -765
+rect 184 -799 200 -765
+rect -200 -815 200 -799
+rect -200 -873 200 -857
+rect -200 -907 -184 -873
+rect 184 -907 200 -873
+rect -200 -945 200 -907
+rect -200 -1183 200 -1145
+rect -200 -1217 -184 -1183
+rect 184 -1217 200 -1183
+rect -200 -1233 200 -1217
+rect -200 -1291 200 -1275
+rect -200 -1325 -184 -1291
+rect 184 -1325 200 -1291
+rect -200 -1363 200 -1325
+rect -200 -1601 200 -1563
+rect -200 -1635 -184 -1601
+rect 184 -1635 200 -1601
+rect -200 -1651 200 -1635
+rect -200 -1709 200 -1693
+rect -200 -1743 -184 -1709
+rect 184 -1743 200 -1709
+rect -200 -1781 200 -1743
+rect -200 -2019 200 -1981
+rect -200 -2053 -184 -2019
+rect 184 -2053 200 -2019
+rect -200 -2069 200 -2053
+<< polycont >>
+rect -184 2019 184 2053
+rect -184 1709 184 1743
+rect -184 1601 184 1635
+rect -184 1291 184 1325
+rect -184 1183 184 1217
+rect -184 873 184 907
+rect -184 765 184 799
+rect -184 455 184 489
+rect -184 347 184 381
+rect -184 37 184 71
+rect -184 -71 184 -37
+rect -184 -381 184 -347
+rect -184 -489 184 -455
+rect -184 -799 184 -765
+rect -184 -907 184 -873
+rect -184 -1217 184 -1183
+rect -184 -1325 184 -1291
+rect -184 -1635 184 -1601
+rect -184 -1743 184 -1709
+rect -184 -2053 184 -2019
+<< locali >>
+rect -360 2121 -264 2155
+rect 264 2121 360 2155
+rect -360 2059 -326 2121
+rect 326 2059 360 2121
+rect -200 2019 -184 2053
+rect 184 2019 200 2053
+rect -246 1969 -212 1985
+rect -246 1777 -212 1793
+rect 212 1969 246 1985
+rect 212 1777 246 1793
+rect -200 1709 -184 1743
+rect 184 1709 200 1743
+rect -200 1601 -184 1635
+rect 184 1601 200 1635
+rect -246 1551 -212 1567
+rect -246 1359 -212 1375
+rect 212 1551 246 1567
+rect 212 1359 246 1375
+rect -200 1291 -184 1325
+rect 184 1291 200 1325
+rect -200 1183 -184 1217
+rect 184 1183 200 1217
+rect -246 1133 -212 1149
+rect -246 941 -212 957
+rect 212 1133 246 1149
+rect 212 941 246 957
+rect -200 873 -184 907
+rect 184 873 200 907
+rect -200 765 -184 799
+rect 184 765 200 799
+rect -246 715 -212 731
+rect -246 523 -212 539
+rect 212 715 246 731
+rect 212 523 246 539
+rect -200 455 -184 489
+rect 184 455 200 489
+rect -200 347 -184 381
+rect 184 347 200 381
+rect -246 297 -212 313
+rect -246 105 -212 121
+rect 212 297 246 313
+rect 212 105 246 121
+rect -200 37 -184 71
+rect 184 37 200 71
+rect -200 -71 -184 -37
+rect 184 -71 200 -37
+rect -246 -121 -212 -105
+rect -246 -313 -212 -297
+rect 212 -121 246 -105
+rect 212 -313 246 -297
+rect -200 -381 -184 -347
+rect 184 -381 200 -347
+rect -200 -489 -184 -455
+rect 184 -489 200 -455
+rect -246 -539 -212 -523
+rect -246 -731 -212 -715
+rect 212 -539 246 -523
+rect 212 -731 246 -715
+rect -200 -799 -184 -765
+rect 184 -799 200 -765
+rect -200 -907 -184 -873
+rect 184 -907 200 -873
+rect -246 -957 -212 -941
+rect -246 -1149 -212 -1133
+rect 212 -957 246 -941
+rect 212 -1149 246 -1133
+rect -200 -1217 -184 -1183
+rect 184 -1217 200 -1183
+rect -200 -1325 -184 -1291
+rect 184 -1325 200 -1291
+rect -246 -1375 -212 -1359
+rect -246 -1567 -212 -1551
+rect 212 -1375 246 -1359
+rect 212 -1567 246 -1551
+rect -200 -1635 -184 -1601
+rect 184 -1635 200 -1601
+rect -200 -1743 -184 -1709
+rect 184 -1743 200 -1709
+rect -246 -1793 -212 -1777
+rect -246 -1985 -212 -1969
+rect 212 -1793 246 -1777
+rect 212 -1985 246 -1969
+rect -200 -2053 -184 -2019
+rect 184 -2053 200 -2019
+rect -360 -2121 -326 -2059
+rect 326 -2121 360 -2059
+rect -360 -2155 -264 -2121
+rect 264 -2155 360 -2121
+<< viali >>
+rect -184 2019 184 2053
+rect -246 1793 -212 1969
+rect 212 1793 246 1969
+rect -184 1709 184 1743
+rect -184 1601 184 1635
+rect -246 1375 -212 1551
+rect 212 1375 246 1551
+rect -184 1291 184 1325
+rect -184 1183 184 1217
+rect -246 957 -212 1133
+rect 212 957 246 1133
+rect -184 873 184 907
+rect -184 765 184 799
+rect -246 539 -212 715
+rect 212 539 246 715
+rect -184 455 184 489
+rect -184 347 184 381
+rect -246 121 -212 297
+rect 212 121 246 297
+rect -184 37 184 71
+rect -184 -71 184 -37
+rect -246 -297 -212 -121
+rect 212 -297 246 -121
+rect -184 -381 184 -347
+rect -184 -489 184 -455
+rect -246 -715 -212 -539
+rect 212 -715 246 -539
+rect -184 -799 184 -765
+rect -184 -907 184 -873
+rect -246 -1133 -212 -957
+rect 212 -1133 246 -957
+rect -184 -1217 184 -1183
+rect -184 -1325 184 -1291
+rect -246 -1551 -212 -1375
+rect 212 -1551 246 -1375
+rect -184 -1635 184 -1601
+rect -184 -1743 184 -1709
+rect -246 -1969 -212 -1793
+rect 212 -1969 246 -1793
+rect -184 -2053 184 -2019
+<< metal1 >>
+rect -196 2053 196 2059
+rect -196 2019 -184 2053
+rect 184 2019 196 2053
+rect -196 2013 196 2019
+rect -252 1969 -206 1981
+rect -252 1793 -246 1969
+rect -212 1793 -206 1969
+rect -252 1781 -206 1793
+rect 206 1969 252 1981
+rect 206 1793 212 1969
+rect 246 1793 252 1969
+rect 206 1781 252 1793
+rect -196 1743 196 1749
+rect -196 1709 -184 1743
+rect 184 1709 196 1743
+rect -196 1703 196 1709
+rect -196 1635 196 1641
+rect -196 1601 -184 1635
+rect 184 1601 196 1635
+rect -196 1595 196 1601
+rect -252 1551 -206 1563
+rect -252 1375 -246 1551
+rect -212 1375 -206 1551
+rect -252 1363 -206 1375
+rect 206 1551 252 1563
+rect 206 1375 212 1551
+rect 246 1375 252 1551
+rect 206 1363 252 1375
+rect -196 1325 196 1331
+rect -196 1291 -184 1325
+rect 184 1291 196 1325
+rect -196 1285 196 1291
+rect -196 1217 196 1223
+rect -196 1183 -184 1217
+rect 184 1183 196 1217
+rect -196 1177 196 1183
+rect -252 1133 -206 1145
+rect -252 957 -246 1133
+rect -212 957 -206 1133
+rect -252 945 -206 957
+rect 206 1133 252 1145
+rect 206 957 212 1133
+rect 246 957 252 1133
+rect 206 945 252 957
+rect -196 907 196 913
+rect -196 873 -184 907
+rect 184 873 196 907
+rect -196 867 196 873
+rect -196 799 196 805
+rect -196 765 -184 799
+rect 184 765 196 799
+rect -196 759 196 765
+rect -252 715 -206 727
+rect -252 539 -246 715
+rect -212 539 -206 715
+rect -252 527 -206 539
+rect 206 715 252 727
+rect 206 539 212 715
+rect 246 539 252 715
+rect 206 527 252 539
+rect -196 489 196 495
+rect -196 455 -184 489
+rect 184 455 196 489
+rect -196 449 196 455
+rect -196 381 196 387
+rect -196 347 -184 381
+rect 184 347 196 381
+rect -196 341 196 347
+rect -252 297 -206 309
+rect -252 121 -246 297
+rect -212 121 -206 297
+rect -252 109 -206 121
+rect 206 297 252 309
+rect 206 121 212 297
+rect 246 121 252 297
+rect 206 109 252 121
+rect -196 71 196 77
+rect -196 37 -184 71
+rect 184 37 196 71
+rect -196 31 196 37
+rect -196 -37 196 -31
+rect -196 -71 -184 -37
+rect 184 -71 196 -37
+rect -196 -77 196 -71
+rect -252 -121 -206 -109
+rect -252 -297 -246 -121
+rect -212 -297 -206 -121
+rect -252 -309 -206 -297
+rect 206 -121 252 -109
+rect 206 -297 212 -121
+rect 246 -297 252 -121
+rect 206 -309 252 -297
+rect -196 -347 196 -341
+rect -196 -381 -184 -347
+rect 184 -381 196 -347
+rect -196 -387 196 -381
+rect -196 -455 196 -449
+rect -196 -489 -184 -455
+rect 184 -489 196 -455
+rect -196 -495 196 -489
+rect -252 -539 -206 -527
+rect -252 -715 -246 -539
+rect -212 -715 -206 -539
+rect -252 -727 -206 -715
+rect 206 -539 252 -527
+rect 206 -715 212 -539
+rect 246 -715 252 -539
+rect 206 -727 252 -715
+rect -196 -765 196 -759
+rect -196 -799 -184 -765
+rect 184 -799 196 -765
+rect -196 -805 196 -799
+rect -196 -873 196 -867
+rect -196 -907 -184 -873
+rect 184 -907 196 -873
+rect -196 -913 196 -907
+rect -252 -957 -206 -945
+rect -252 -1133 -246 -957
+rect -212 -1133 -206 -957
+rect -252 -1145 -206 -1133
+rect 206 -957 252 -945
+rect 206 -1133 212 -957
+rect 246 -1133 252 -957
+rect 206 -1145 252 -1133
+rect -196 -1183 196 -1177
+rect -196 -1217 -184 -1183
+rect 184 -1217 196 -1183
+rect -196 -1223 196 -1217
+rect -196 -1291 196 -1285
+rect -196 -1325 -184 -1291
+rect 184 -1325 196 -1291
+rect -196 -1331 196 -1325
+rect -252 -1375 -206 -1363
+rect -252 -1551 -246 -1375
+rect -212 -1551 -206 -1375
+rect -252 -1563 -206 -1551
+rect 206 -1375 252 -1363
+rect 206 -1551 212 -1375
+rect 246 -1551 252 -1375
+rect 206 -1563 252 -1551
+rect -196 -1601 196 -1595
+rect -196 -1635 -184 -1601
+rect 184 -1635 196 -1601
+rect -196 -1641 196 -1635
+rect -196 -1709 196 -1703
+rect -196 -1743 -184 -1709
+rect 184 -1743 196 -1709
+rect -196 -1749 196 -1743
+rect -252 -1793 -206 -1781
+rect -252 -1969 -246 -1793
+rect -212 -1969 -206 -1793
+rect -252 -1981 -206 -1969
+rect 206 -1793 252 -1781
+rect 206 -1969 212 -1793
+rect 246 -1969 252 -1793
+rect 206 -1981 252 -1969
+rect -196 -2019 196 -2013
+rect -196 -2053 -184 -2019
+rect 184 -2053 196 -2019
+rect -196 -2059 196 -2053
+<< properties >>
+string FIXED_BBOX -343 -2138 343 2138
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 2.0 m 10 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_FKGFGD.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_FKGFGD.mag
new file mode 100644
index 0000000..3ba766f
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_FKGFGD.mag
@@ -0,0 +1,1885 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662412052
+<< error_p >>
+rect -2813 172 -2755 178
+rect -2621 172 -2563 178
+rect -2429 172 -2371 178
+rect -2237 172 -2179 178
+rect -2045 172 -1987 178
+rect -1853 172 -1795 178
+rect -1661 172 -1603 178
+rect -1469 172 -1411 178
+rect -1277 172 -1219 178
+rect -1085 172 -1027 178
+rect -893 172 -835 178
+rect -701 172 -643 178
+rect -509 172 -451 178
+rect -317 172 -259 178
+rect -125 172 -67 178
+rect 67 172 125 178
+rect 259 172 317 178
+rect 451 172 509 178
+rect 643 172 701 178
+rect 835 172 893 178
+rect 1027 172 1085 178
+rect 1219 172 1277 178
+rect 1411 172 1469 178
+rect 1603 172 1661 178
+rect 1795 172 1853 178
+rect 1987 172 2045 178
+rect 2179 172 2237 178
+rect 2371 172 2429 178
+rect 2563 172 2621 178
+rect 2755 172 2813 178
+rect -2813 138 -2801 172
+rect -2621 138 -2609 172
+rect -2429 138 -2417 172
+rect -2237 138 -2225 172
+rect -2045 138 -2033 172
+rect -1853 138 -1841 172
+rect -1661 138 -1649 172
+rect -1469 138 -1457 172
+rect -1277 138 -1265 172
+rect -1085 138 -1073 172
+rect -893 138 -881 172
+rect -701 138 -689 172
+rect -509 138 -497 172
+rect -317 138 -305 172
+rect -125 138 -113 172
+rect 67 138 79 172
+rect 259 138 271 172
+rect 451 138 463 172
+rect 643 138 655 172
+rect 835 138 847 172
+rect 1027 138 1039 172
+rect 1219 138 1231 172
+rect 1411 138 1423 172
+rect 1603 138 1615 172
+rect 1795 138 1807 172
+rect 1987 138 1999 172
+rect 2179 138 2191 172
+rect 2371 138 2383 172
+rect 2563 138 2575 172
+rect 2755 138 2767 172
+rect -2813 132 -2755 138
+rect -2621 132 -2563 138
+rect -2429 132 -2371 138
+rect -2237 132 -2179 138
+rect -2045 132 -1987 138
+rect -1853 132 -1795 138
+rect -1661 132 -1603 138
+rect -1469 132 -1411 138
+rect -1277 132 -1219 138
+rect -1085 132 -1027 138
+rect -893 132 -835 138
+rect -701 132 -643 138
+rect -509 132 -451 138
+rect -317 132 -259 138
+rect -125 132 -67 138
+rect 67 132 125 138
+rect 259 132 317 138
+rect 451 132 509 138
+rect 643 132 701 138
+rect 835 132 893 138
+rect 1027 132 1085 138
+rect 1219 132 1277 138
+rect 1411 132 1469 138
+rect 1603 132 1661 138
+rect 1795 132 1853 138
+rect 1987 132 2045 138
+rect 2179 132 2237 138
+rect 2371 132 2429 138
+rect 2563 132 2621 138
+rect 2755 132 2813 138
+rect -2909 -138 -2851 -132
+rect -2717 -138 -2659 -132
+rect -2525 -138 -2467 -132
+rect -2333 -138 -2275 -132
+rect -2141 -138 -2083 -132
+rect -1949 -138 -1891 -132
+rect -1757 -138 -1699 -132
+rect -1565 -138 -1507 -132
+rect -1373 -138 -1315 -132
+rect -1181 -138 -1123 -132
+rect -989 -138 -931 -132
+rect -797 -138 -739 -132
+rect -605 -138 -547 -132
+rect -413 -138 -355 -132
+rect -221 -138 -163 -132
+rect -29 -138 29 -132
+rect 163 -138 221 -132
+rect 355 -138 413 -132
+rect 547 -138 605 -132
+rect 739 -138 797 -132
+rect 931 -138 989 -132
+rect 1123 -138 1181 -132
+rect 1315 -138 1373 -132
+rect 1507 -138 1565 -132
+rect 1699 -138 1757 -132
+rect 1891 -138 1949 -132
+rect 2083 -138 2141 -132
+rect 2275 -138 2333 -132
+rect 2467 -138 2525 -132
+rect 2659 -138 2717 -132
+rect 2851 -138 2909 -132
+rect -2909 -172 -2897 -138
+rect -2717 -172 -2705 -138
+rect -2525 -172 -2513 -138
+rect -2333 -172 -2321 -138
+rect -2141 -172 -2129 -138
+rect -1949 -172 -1937 -138
+rect -1757 -172 -1745 -138
+rect -1565 -172 -1553 -138
+rect -1373 -172 -1361 -138
+rect -1181 -172 -1169 -138
+rect -989 -172 -977 -138
+rect -797 -172 -785 -138
+rect -605 -172 -593 -138
+rect -413 -172 -401 -138
+rect -221 -172 -209 -138
+rect -29 -172 -17 -138
+rect 163 -172 175 -138
+rect 355 -172 367 -138
+rect 547 -172 559 -138
+rect 739 -172 751 -138
+rect 931 -172 943 -138
+rect 1123 -172 1135 -138
+rect 1315 -172 1327 -138
+rect 1507 -172 1519 -138
+rect 1699 -172 1711 -138
+rect 1891 -172 1903 -138
+rect 2083 -172 2095 -138
+rect 2275 -172 2287 -138
+rect 2467 -172 2479 -138
+rect 2659 -172 2671 -138
+rect 2851 -172 2863 -138
+rect -2909 -178 -2851 -172
+rect -2717 -178 -2659 -172
+rect -2525 -178 -2467 -172
+rect -2333 -178 -2275 -172
+rect -2141 -178 -2083 -172
+rect -1949 -178 -1891 -172
+rect -1757 -178 -1699 -172
+rect -1565 -178 -1507 -172
+rect -1373 -178 -1315 -172
+rect -1181 -178 -1123 -172
+rect -989 -178 -931 -172
+rect -797 -178 -739 -172
+rect -605 -178 -547 -172
+rect -413 -178 -355 -172
+rect -221 -178 -163 -172
+rect -29 -178 29 -172
+rect 163 -178 221 -172
+rect 355 -178 413 -172
+rect 547 -178 605 -172
+rect 739 -178 797 -172
+rect 931 -178 989 -172
+rect 1123 -178 1181 -172
+rect 1315 -178 1373 -172
+rect 1507 -178 1565 -172
+rect 1699 -178 1757 -172
+rect 1891 -178 1949 -172
+rect 2083 -178 2141 -172
+rect 2275 -178 2333 -172
+rect 2467 -178 2525 -172
+rect 2659 -178 2717 -172
+rect 2851 -178 2909 -172
+<< pwell >>
+rect -3095 -310 3095 310
+<< nmoslvt >>
+rect -2895 -100 -2865 100
+rect -2799 -100 -2769 100
+rect -2703 -100 -2673 100
+rect -2607 -100 -2577 100
+rect -2511 -100 -2481 100
+rect -2415 -100 -2385 100
+rect -2319 -100 -2289 100
+rect -2223 -100 -2193 100
+rect -2127 -100 -2097 100
+rect -2031 -100 -2001 100
+rect -1935 -100 -1905 100
+rect -1839 -100 -1809 100
+rect -1743 -100 -1713 100
+rect -1647 -100 -1617 100
+rect -1551 -100 -1521 100
+rect -1455 -100 -1425 100
+rect -1359 -100 -1329 100
+rect -1263 -100 -1233 100
+rect -1167 -100 -1137 100
+rect -1071 -100 -1041 100
+rect -975 -100 -945 100
+rect -879 -100 -849 100
+rect -783 -100 -753 100
+rect -687 -100 -657 100
+rect -591 -100 -561 100
+rect -495 -100 -465 100
+rect -399 -100 -369 100
+rect -303 -100 -273 100
+rect -207 -100 -177 100
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+rect 177 -100 207 100
+rect 273 -100 303 100
+rect 369 -100 399 100
+rect 465 -100 495 100
+rect 561 -100 591 100
+rect 657 -100 687 100
+rect 753 -100 783 100
+rect 849 -100 879 100
+rect 945 -100 975 100
+rect 1041 -100 1071 100
+rect 1137 -100 1167 100
+rect 1233 -100 1263 100
+rect 1329 -100 1359 100
+rect 1425 -100 1455 100
+rect 1521 -100 1551 100
+rect 1617 -100 1647 100
+rect 1713 -100 1743 100
+rect 1809 -100 1839 100
+rect 1905 -100 1935 100
+rect 2001 -100 2031 100
+rect 2097 -100 2127 100
+rect 2193 -100 2223 100
+rect 2289 -100 2319 100
+rect 2385 -100 2415 100
+rect 2481 -100 2511 100
+rect 2577 -100 2607 100
+rect 2673 -100 2703 100
+rect 2769 -100 2799 100
+rect 2865 -100 2895 100
+<< ndiff >>
+rect -2957 88 -2895 100
+rect -2957 -88 -2945 88
+rect -2911 -88 -2895 88
+rect -2957 -100 -2895 -88
+rect -2865 88 -2799 100
+rect -2865 -88 -2849 88
+rect -2815 -88 -2799 88
+rect -2865 -100 -2799 -88
+rect -2769 88 -2703 100
+rect -2769 -88 -2753 88
+rect -2719 -88 -2703 88
+rect -2769 -100 -2703 -88
+rect -2673 88 -2607 100
+rect -2673 -88 -2657 88
+rect -2623 -88 -2607 88
+rect -2673 -100 -2607 -88
+rect -2577 88 -2511 100
+rect -2577 -88 -2561 88
+rect -2527 -88 -2511 88
+rect -2577 -100 -2511 -88
+rect -2481 88 -2415 100
+rect -2481 -88 -2465 88
+rect -2431 -88 -2415 88
+rect -2481 -100 -2415 -88
+rect -2385 88 -2319 100
+rect -2385 -88 -2369 88
+rect -2335 -88 -2319 88
+rect -2385 -100 -2319 -88
+rect -2289 88 -2223 100
+rect -2289 -88 -2273 88
+rect -2239 -88 -2223 88
+rect -2289 -100 -2223 -88
+rect -2193 88 -2127 100
+rect -2193 -88 -2177 88
+rect -2143 -88 -2127 88
+rect -2193 -100 -2127 -88
+rect -2097 88 -2031 100
+rect -2097 -88 -2081 88
+rect -2047 -88 -2031 88
+rect -2097 -100 -2031 -88
+rect -2001 88 -1935 100
+rect -2001 -88 -1985 88
+rect -1951 -88 -1935 88
+rect -2001 -100 -1935 -88
+rect -1905 88 -1839 100
+rect -1905 -88 -1889 88
+rect -1855 -88 -1839 88
+rect -1905 -100 -1839 -88
+rect -1809 88 -1743 100
+rect -1809 -88 -1793 88
+rect -1759 -88 -1743 88
+rect -1809 -100 -1743 -88
+rect -1713 88 -1647 100
+rect -1713 -88 -1697 88
+rect -1663 -88 -1647 88
+rect -1713 -100 -1647 -88
+rect -1617 88 -1551 100
+rect -1617 -88 -1601 88
+rect -1567 -88 -1551 88
+rect -1617 -100 -1551 -88
+rect -1521 88 -1455 100
+rect -1521 -88 -1505 88
+rect -1471 -88 -1455 88
+rect -1521 -100 -1455 -88
+rect -1425 88 -1359 100
+rect -1425 -88 -1409 88
+rect -1375 -88 -1359 88
+rect -1425 -100 -1359 -88
+rect -1329 88 -1263 100
+rect -1329 -88 -1313 88
+rect -1279 -88 -1263 88
+rect -1329 -100 -1263 -88
+rect -1233 88 -1167 100
+rect -1233 -88 -1217 88
+rect -1183 -88 -1167 88
+rect -1233 -100 -1167 -88
+rect -1137 88 -1071 100
+rect -1137 -88 -1121 88
+rect -1087 -88 -1071 88
+rect -1137 -100 -1071 -88
+rect -1041 88 -975 100
+rect -1041 -88 -1025 88
+rect -991 -88 -975 88
+rect -1041 -100 -975 -88
+rect -945 88 -879 100
+rect -945 -88 -929 88
+rect -895 -88 -879 88
+rect -945 -100 -879 -88
+rect -849 88 -783 100
+rect -849 -88 -833 88
+rect -799 -88 -783 88
+rect -849 -100 -783 -88
+rect -753 88 -687 100
+rect -753 -88 -737 88
+rect -703 -88 -687 88
+rect -753 -100 -687 -88
+rect -657 88 -591 100
+rect -657 -88 -641 88
+rect -607 -88 -591 88
+rect -657 -100 -591 -88
+rect -561 88 -495 100
+rect -561 -88 -545 88
+rect -511 -88 -495 88
+rect -561 -100 -495 -88
+rect -465 88 -399 100
+rect -465 -88 -449 88
+rect -415 -88 -399 88
+rect -465 -100 -399 -88
+rect -369 88 -303 100
+rect -369 -88 -353 88
+rect -319 -88 -303 88
+rect -369 -100 -303 -88
+rect -273 88 -207 100
+rect -273 -88 -257 88
+rect -223 -88 -207 88
+rect -273 -100 -207 -88
+rect -177 88 -111 100
+rect -177 -88 -161 88
+rect -127 -88 -111 88
+rect -177 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 177 100
+rect 111 -88 127 88
+rect 161 -88 177 88
+rect 111 -100 177 -88
+rect 207 88 273 100
+rect 207 -88 223 88
+rect 257 -88 273 88
+rect 207 -100 273 -88
+rect 303 88 369 100
+rect 303 -88 319 88
+rect 353 -88 369 88
+rect 303 -100 369 -88
+rect 399 88 465 100
+rect 399 -88 415 88
+rect 449 -88 465 88
+rect 399 -100 465 -88
+rect 495 88 561 100
+rect 495 -88 511 88
+rect 545 -88 561 88
+rect 495 -100 561 -88
+rect 591 88 657 100
+rect 591 -88 607 88
+rect 641 -88 657 88
+rect 591 -100 657 -88
+rect 687 88 753 100
+rect 687 -88 703 88
+rect 737 -88 753 88
+rect 687 -100 753 -88
+rect 783 88 849 100
+rect 783 -88 799 88
+rect 833 -88 849 88
+rect 783 -100 849 -88
+rect 879 88 945 100
+rect 879 -88 895 88
+rect 929 -88 945 88
+rect 879 -100 945 -88
+rect 975 88 1041 100
+rect 975 -88 991 88
+rect 1025 -88 1041 88
+rect 975 -100 1041 -88
+rect 1071 88 1137 100
+rect 1071 -88 1087 88
+rect 1121 -88 1137 88
+rect 1071 -100 1137 -88
+rect 1167 88 1233 100
+rect 1167 -88 1183 88
+rect 1217 -88 1233 88
+rect 1167 -100 1233 -88
+rect 1263 88 1329 100
+rect 1263 -88 1279 88
+rect 1313 -88 1329 88
+rect 1263 -100 1329 -88
+rect 1359 88 1425 100
+rect 1359 -88 1375 88
+rect 1409 -88 1425 88
+rect 1359 -100 1425 -88
+rect 1455 88 1521 100
+rect 1455 -88 1471 88
+rect 1505 -88 1521 88
+rect 1455 -100 1521 -88
+rect 1551 88 1617 100
+rect 1551 -88 1567 88
+rect 1601 -88 1617 88
+rect 1551 -100 1617 -88
+rect 1647 88 1713 100
+rect 1647 -88 1663 88
+rect 1697 -88 1713 88
+rect 1647 -100 1713 -88
+rect 1743 88 1809 100
+rect 1743 -88 1759 88
+rect 1793 -88 1809 88
+rect 1743 -100 1809 -88
+rect 1839 88 1905 100
+rect 1839 -88 1855 88
+rect 1889 -88 1905 88
+rect 1839 -100 1905 -88
+rect 1935 88 2001 100
+rect 1935 -88 1951 88
+rect 1985 -88 2001 88
+rect 1935 -100 2001 -88
+rect 2031 88 2097 100
+rect 2031 -88 2047 88
+rect 2081 -88 2097 88
+rect 2031 -100 2097 -88
+rect 2127 88 2193 100
+rect 2127 -88 2143 88
+rect 2177 -88 2193 88
+rect 2127 -100 2193 -88
+rect 2223 88 2289 100
+rect 2223 -88 2239 88
+rect 2273 -88 2289 88
+rect 2223 -100 2289 -88
+rect 2319 88 2385 100
+rect 2319 -88 2335 88
+rect 2369 -88 2385 88
+rect 2319 -100 2385 -88
+rect 2415 88 2481 100
+rect 2415 -88 2431 88
+rect 2465 -88 2481 88
+rect 2415 -100 2481 -88
+rect 2511 88 2577 100
+rect 2511 -88 2527 88
+rect 2561 -88 2577 88
+rect 2511 -100 2577 -88
+rect 2607 88 2673 100
+rect 2607 -88 2623 88
+rect 2657 -88 2673 88
+rect 2607 -100 2673 -88
+rect 2703 88 2769 100
+rect 2703 -88 2719 88
+rect 2753 -88 2769 88
+rect 2703 -100 2769 -88
+rect 2799 88 2865 100
+rect 2799 -88 2815 88
+rect 2849 -88 2865 88
+rect 2799 -100 2865 -88
+rect 2895 88 2957 100
+rect 2895 -88 2911 88
+rect 2945 -88 2957 88
+rect 2895 -100 2957 -88
+<< ndiffc >>
+rect -2945 -88 -2911 88
+rect -2849 -88 -2815 88
+rect -2753 -88 -2719 88
+rect -2657 -88 -2623 88
+rect -2561 -88 -2527 88
+rect -2465 -88 -2431 88
+rect -2369 -88 -2335 88
+rect -2273 -88 -2239 88
+rect -2177 -88 -2143 88
+rect -2081 -88 -2047 88
+rect -1985 -88 -1951 88
+rect -1889 -88 -1855 88
+rect -1793 -88 -1759 88
+rect -1697 -88 -1663 88
+rect -1601 -88 -1567 88
+rect -1505 -88 -1471 88
+rect -1409 -88 -1375 88
+rect -1313 -88 -1279 88
+rect -1217 -88 -1183 88
+rect -1121 -88 -1087 88
+rect -1025 -88 -991 88
+rect -929 -88 -895 88
+rect -833 -88 -799 88
+rect -737 -88 -703 88
+rect -641 -88 -607 88
+rect -545 -88 -511 88
+rect -449 -88 -415 88
+rect -353 -88 -319 88
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+rect 319 -88 353 88
+rect 415 -88 449 88
+rect 511 -88 545 88
+rect 607 -88 641 88
+rect 703 -88 737 88
+rect 799 -88 833 88
+rect 895 -88 929 88
+rect 991 -88 1025 88
+rect 1087 -88 1121 88
+rect 1183 -88 1217 88
+rect 1279 -88 1313 88
+rect 1375 -88 1409 88
+rect 1471 -88 1505 88
+rect 1567 -88 1601 88
+rect 1663 -88 1697 88
+rect 1759 -88 1793 88
+rect 1855 -88 1889 88
+rect 1951 -88 1985 88
+rect 2047 -88 2081 88
+rect 2143 -88 2177 88
+rect 2239 -88 2273 88
+rect 2335 -88 2369 88
+rect 2431 -88 2465 88
+rect 2527 -88 2561 88
+rect 2623 -88 2657 88
+rect 2719 -88 2753 88
+rect 2815 -88 2849 88
+rect 2911 -88 2945 88
+<< psubdiff >>
+rect -3059 240 -2963 274
+rect 2963 240 3059 274
+rect -3059 178 -3025 240
+rect 3025 178 3059 240
+rect -3059 -240 -3025 -178
+rect 3025 -240 3059 -178
+rect -3059 -274 -2963 -240
+rect 2963 -274 3059 -240
+<< psubdiffcont >>
+rect -2963 240 2963 274
+rect -3059 -178 -3025 178
+rect 3025 -178 3059 178
+rect -2963 -274 2963 -240
+<< poly >>
+rect -2817 172 -2751 188
+rect -2817 138 -2801 172
+rect -2767 138 -2751 172
+rect -2895 100 -2865 126
+rect -2817 122 -2751 138
+rect -2625 172 -2559 188
+rect -2625 138 -2609 172
+rect -2575 138 -2559 172
+rect -2799 100 -2769 122
+rect -2703 100 -2673 126
+rect -2625 122 -2559 138
+rect -2433 172 -2367 188
+rect -2433 138 -2417 172
+rect -2383 138 -2367 172
+rect -2607 100 -2577 122
+rect -2511 100 -2481 126
+rect -2433 122 -2367 138
+rect -2241 172 -2175 188
+rect -2241 138 -2225 172
+rect -2191 138 -2175 172
+rect -2415 100 -2385 122
+rect -2319 100 -2289 126
+rect -2241 122 -2175 138
+rect -2049 172 -1983 188
+rect -2049 138 -2033 172
+rect -1999 138 -1983 172
+rect -2223 100 -2193 122
+rect -2127 100 -2097 126
+rect -2049 122 -1983 138
+rect -1857 172 -1791 188
+rect -1857 138 -1841 172
+rect -1807 138 -1791 172
+rect -2031 100 -2001 122
+rect -1935 100 -1905 126
+rect -1857 122 -1791 138
+rect -1665 172 -1599 188
+rect -1665 138 -1649 172
+rect -1615 138 -1599 172
+rect -1839 100 -1809 122
+rect -1743 100 -1713 126
+rect -1665 122 -1599 138
+rect -1473 172 -1407 188
+rect -1473 138 -1457 172
+rect -1423 138 -1407 172
+rect -1647 100 -1617 122
+rect -1551 100 -1521 126
+rect -1473 122 -1407 138
+rect -1281 172 -1215 188
+rect -1281 138 -1265 172
+rect -1231 138 -1215 172
+rect -1455 100 -1425 122
+rect -1359 100 -1329 126
+rect -1281 122 -1215 138
+rect -1089 172 -1023 188
+rect -1089 138 -1073 172
+rect -1039 138 -1023 172
+rect -1263 100 -1233 122
+rect -1167 100 -1137 126
+rect -1089 122 -1023 138
+rect -897 172 -831 188
+rect -897 138 -881 172
+rect -847 138 -831 172
+rect -1071 100 -1041 122
+rect -975 100 -945 126
+rect -897 122 -831 138
+rect -705 172 -639 188
+rect -705 138 -689 172
+rect -655 138 -639 172
+rect -879 100 -849 122
+rect -783 100 -753 126
+rect -705 122 -639 138
+rect -513 172 -447 188
+rect -513 138 -497 172
+rect -463 138 -447 172
+rect -687 100 -657 122
+rect -591 100 -561 126
+rect -513 122 -447 138
+rect -321 172 -255 188
+rect -321 138 -305 172
+rect -271 138 -255 172
+rect -495 100 -465 122
+rect -399 100 -369 126
+rect -321 122 -255 138
+rect -129 172 -63 188
+rect -129 138 -113 172
+rect -79 138 -63 172
+rect -303 100 -273 122
+rect -207 100 -177 126
+rect -129 122 -63 138
+rect 63 172 129 188
+rect 63 138 79 172
+rect 113 138 129 172
+rect -111 100 -81 122
+rect -15 100 15 126
+rect 63 122 129 138
+rect 255 172 321 188
+rect 255 138 271 172
+rect 305 138 321 172
+rect 81 100 111 122
+rect 177 100 207 126
+rect 255 122 321 138
+rect 447 172 513 188
+rect 447 138 463 172
+rect 497 138 513 172
+rect 273 100 303 122
+rect 369 100 399 126
+rect 447 122 513 138
+rect 639 172 705 188
+rect 639 138 655 172
+rect 689 138 705 172
+rect 465 100 495 122
+rect 561 100 591 126
+rect 639 122 705 138
+rect 831 172 897 188
+rect 831 138 847 172
+rect 881 138 897 172
+rect 657 100 687 122
+rect 753 100 783 126
+rect 831 122 897 138
+rect 1023 172 1089 188
+rect 1023 138 1039 172
+rect 1073 138 1089 172
+rect 849 100 879 122
+rect 945 100 975 126
+rect 1023 122 1089 138
+rect 1215 172 1281 188
+rect 1215 138 1231 172
+rect 1265 138 1281 172
+rect 1041 100 1071 122
+rect 1137 100 1167 126
+rect 1215 122 1281 138
+rect 1407 172 1473 188
+rect 1407 138 1423 172
+rect 1457 138 1473 172
+rect 1233 100 1263 122
+rect 1329 100 1359 126
+rect 1407 122 1473 138
+rect 1599 172 1665 188
+rect 1599 138 1615 172
+rect 1649 138 1665 172
+rect 1425 100 1455 122
+rect 1521 100 1551 126
+rect 1599 122 1665 138
+rect 1791 172 1857 188
+rect 1791 138 1807 172
+rect 1841 138 1857 172
+rect 1617 100 1647 122
+rect 1713 100 1743 126
+rect 1791 122 1857 138
+rect 1983 172 2049 188
+rect 1983 138 1999 172
+rect 2033 138 2049 172
+rect 1809 100 1839 122
+rect 1905 100 1935 126
+rect 1983 122 2049 138
+rect 2175 172 2241 188
+rect 2175 138 2191 172
+rect 2225 138 2241 172
+rect 2001 100 2031 122
+rect 2097 100 2127 126
+rect 2175 122 2241 138
+rect 2367 172 2433 188
+rect 2367 138 2383 172
+rect 2417 138 2433 172
+rect 2193 100 2223 122
+rect 2289 100 2319 126
+rect 2367 122 2433 138
+rect 2559 172 2625 188
+rect 2559 138 2575 172
+rect 2609 138 2625 172
+rect 2385 100 2415 122
+rect 2481 100 2511 126
+rect 2559 122 2625 138
+rect 2751 172 2817 188
+rect 2751 138 2767 172
+rect 2801 138 2817 172
+rect 2577 100 2607 122
+rect 2673 100 2703 126
+rect 2751 122 2817 138
+rect 2769 100 2799 122
+rect 2865 100 2895 126
+rect -2895 -122 -2865 -100
+rect -2913 -138 -2847 -122
+rect -2799 -126 -2769 -100
+rect -2703 -122 -2673 -100
+rect -2913 -172 -2897 -138
+rect -2863 -172 -2847 -138
+rect -2913 -188 -2847 -172
+rect -2721 -138 -2655 -122
+rect -2607 -126 -2577 -100
+rect -2511 -122 -2481 -100
+rect -2721 -172 -2705 -138
+rect -2671 -172 -2655 -138
+rect -2721 -188 -2655 -172
+rect -2529 -138 -2463 -122
+rect -2415 -126 -2385 -100
+rect -2319 -122 -2289 -100
+rect -2529 -172 -2513 -138
+rect -2479 -172 -2463 -138
+rect -2529 -188 -2463 -172
+rect -2337 -138 -2271 -122
+rect -2223 -126 -2193 -100
+rect -2127 -122 -2097 -100
+rect -2337 -172 -2321 -138
+rect -2287 -172 -2271 -138
+rect -2337 -188 -2271 -172
+rect -2145 -138 -2079 -122
+rect -2031 -126 -2001 -100
+rect -1935 -122 -1905 -100
+rect -2145 -172 -2129 -138
+rect -2095 -172 -2079 -138
+rect -2145 -188 -2079 -172
+rect -1953 -138 -1887 -122
+rect -1839 -126 -1809 -100
+rect -1743 -122 -1713 -100
+rect -1953 -172 -1937 -138
+rect -1903 -172 -1887 -138
+rect -1953 -188 -1887 -172
+rect -1761 -138 -1695 -122
+rect -1647 -126 -1617 -100
+rect -1551 -122 -1521 -100
+rect -1761 -172 -1745 -138
+rect -1711 -172 -1695 -138
+rect -1761 -188 -1695 -172
+rect -1569 -138 -1503 -122
+rect -1455 -126 -1425 -100
+rect -1359 -122 -1329 -100
+rect -1569 -172 -1553 -138
+rect -1519 -172 -1503 -138
+rect -1569 -188 -1503 -172
+rect -1377 -138 -1311 -122
+rect -1263 -126 -1233 -100
+rect -1167 -122 -1137 -100
+rect -1377 -172 -1361 -138
+rect -1327 -172 -1311 -138
+rect -1377 -188 -1311 -172
+rect -1185 -138 -1119 -122
+rect -1071 -126 -1041 -100
+rect -975 -122 -945 -100
+rect -1185 -172 -1169 -138
+rect -1135 -172 -1119 -138
+rect -1185 -188 -1119 -172
+rect -993 -138 -927 -122
+rect -879 -126 -849 -100
+rect -783 -122 -753 -100
+rect -993 -172 -977 -138
+rect -943 -172 -927 -138
+rect -993 -188 -927 -172
+rect -801 -138 -735 -122
+rect -687 -126 -657 -100
+rect -591 -122 -561 -100
+rect -801 -172 -785 -138
+rect -751 -172 -735 -138
+rect -801 -188 -735 -172
+rect -609 -138 -543 -122
+rect -495 -126 -465 -100
+rect -399 -122 -369 -100
+rect -609 -172 -593 -138
+rect -559 -172 -543 -138
+rect -609 -188 -543 -172
+rect -417 -138 -351 -122
+rect -303 -126 -273 -100
+rect -207 -122 -177 -100
+rect -417 -172 -401 -138
+rect -367 -172 -351 -138
+rect -417 -188 -351 -172
+rect -225 -138 -159 -122
+rect -111 -126 -81 -100
+rect -15 -122 15 -100
+rect -225 -172 -209 -138
+rect -175 -172 -159 -138
+rect -225 -188 -159 -172
+rect -33 -138 33 -122
+rect 81 -126 111 -100
+rect 177 -122 207 -100
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect -33 -188 33 -172
+rect 159 -138 225 -122
+rect 273 -126 303 -100
+rect 369 -122 399 -100
+rect 159 -172 175 -138
+rect 209 -172 225 -138
+rect 159 -188 225 -172
+rect 351 -138 417 -122
+rect 465 -126 495 -100
+rect 561 -122 591 -100
+rect 351 -172 367 -138
+rect 401 -172 417 -138
+rect 351 -188 417 -172
+rect 543 -138 609 -122
+rect 657 -126 687 -100
+rect 753 -122 783 -100
+rect 543 -172 559 -138
+rect 593 -172 609 -138
+rect 543 -188 609 -172
+rect 735 -138 801 -122
+rect 849 -126 879 -100
+rect 945 -122 975 -100
+rect 735 -172 751 -138
+rect 785 -172 801 -138
+rect 735 -188 801 -172
+rect 927 -138 993 -122
+rect 1041 -126 1071 -100
+rect 1137 -122 1167 -100
+rect 927 -172 943 -138
+rect 977 -172 993 -138
+rect 927 -188 993 -172
+rect 1119 -138 1185 -122
+rect 1233 -126 1263 -100
+rect 1329 -122 1359 -100
+rect 1119 -172 1135 -138
+rect 1169 -172 1185 -138
+rect 1119 -188 1185 -172
+rect 1311 -138 1377 -122
+rect 1425 -126 1455 -100
+rect 1521 -122 1551 -100
+rect 1311 -172 1327 -138
+rect 1361 -172 1377 -138
+rect 1311 -188 1377 -172
+rect 1503 -138 1569 -122
+rect 1617 -126 1647 -100
+rect 1713 -122 1743 -100
+rect 1503 -172 1519 -138
+rect 1553 -172 1569 -138
+rect 1503 -188 1569 -172
+rect 1695 -138 1761 -122
+rect 1809 -126 1839 -100
+rect 1905 -122 1935 -100
+rect 1695 -172 1711 -138
+rect 1745 -172 1761 -138
+rect 1695 -188 1761 -172
+rect 1887 -138 1953 -122
+rect 2001 -126 2031 -100
+rect 2097 -122 2127 -100
+rect 1887 -172 1903 -138
+rect 1937 -172 1953 -138
+rect 1887 -188 1953 -172
+rect 2079 -138 2145 -122
+rect 2193 -126 2223 -100
+rect 2289 -122 2319 -100
+rect 2079 -172 2095 -138
+rect 2129 -172 2145 -138
+rect 2079 -188 2145 -172
+rect 2271 -138 2337 -122
+rect 2385 -126 2415 -100
+rect 2481 -122 2511 -100
+rect 2271 -172 2287 -138
+rect 2321 -172 2337 -138
+rect 2271 -188 2337 -172
+rect 2463 -138 2529 -122
+rect 2577 -126 2607 -100
+rect 2673 -122 2703 -100
+rect 2463 -172 2479 -138
+rect 2513 -172 2529 -138
+rect 2463 -188 2529 -172
+rect 2655 -138 2721 -122
+rect 2769 -126 2799 -100
+rect 2865 -122 2895 -100
+rect 2655 -172 2671 -138
+rect 2705 -172 2721 -138
+rect 2655 -188 2721 -172
+rect 2847 -138 2913 -122
+rect 2847 -172 2863 -138
+rect 2897 -172 2913 -138
+rect 2847 -188 2913 -172
+<< polycont >>
+rect -2801 138 -2767 172
+rect -2609 138 -2575 172
+rect -2417 138 -2383 172
+rect -2225 138 -2191 172
+rect -2033 138 -1999 172
+rect -1841 138 -1807 172
+rect -1649 138 -1615 172
+rect -1457 138 -1423 172
+rect -1265 138 -1231 172
+rect -1073 138 -1039 172
+rect -881 138 -847 172
+rect -689 138 -655 172
+rect -497 138 -463 172
+rect -305 138 -271 172
+rect -113 138 -79 172
+rect 79 138 113 172
+rect 271 138 305 172
+rect 463 138 497 172
+rect 655 138 689 172
+rect 847 138 881 172
+rect 1039 138 1073 172
+rect 1231 138 1265 172
+rect 1423 138 1457 172
+rect 1615 138 1649 172
+rect 1807 138 1841 172
+rect 1999 138 2033 172
+rect 2191 138 2225 172
+rect 2383 138 2417 172
+rect 2575 138 2609 172
+rect 2767 138 2801 172
+rect -2897 -172 -2863 -138
+rect -2705 -172 -2671 -138
+rect -2513 -172 -2479 -138
+rect -2321 -172 -2287 -138
+rect -2129 -172 -2095 -138
+rect -1937 -172 -1903 -138
+rect -1745 -172 -1711 -138
+rect -1553 -172 -1519 -138
+rect -1361 -172 -1327 -138
+rect -1169 -172 -1135 -138
+rect -977 -172 -943 -138
+rect -785 -172 -751 -138
+rect -593 -172 -559 -138
+rect -401 -172 -367 -138
+rect -209 -172 -175 -138
+rect -17 -172 17 -138
+rect 175 -172 209 -138
+rect 367 -172 401 -138
+rect 559 -172 593 -138
+rect 751 -172 785 -138
+rect 943 -172 977 -138
+rect 1135 -172 1169 -138
+rect 1327 -172 1361 -138
+rect 1519 -172 1553 -138
+rect 1711 -172 1745 -138
+rect 1903 -172 1937 -138
+rect 2095 -172 2129 -138
+rect 2287 -172 2321 -138
+rect 2479 -172 2513 -138
+rect 2671 -172 2705 -138
+rect 2863 -172 2897 -138
+<< locali >>
+rect -3059 240 -2963 274
+rect 2963 240 3059 274
+rect -3059 178 -3025 240
+rect 3025 178 3059 240
+rect -2817 138 -2801 172
+rect -2767 138 -2751 172
+rect -2625 138 -2609 172
+rect -2575 138 -2559 172
+rect -2433 138 -2417 172
+rect -2383 138 -2367 172
+rect -2241 138 -2225 172
+rect -2191 138 -2175 172
+rect -2049 138 -2033 172
+rect -1999 138 -1983 172
+rect -1857 138 -1841 172
+rect -1807 138 -1791 172
+rect -1665 138 -1649 172
+rect -1615 138 -1599 172
+rect -1473 138 -1457 172
+rect -1423 138 -1407 172
+rect -1281 138 -1265 172
+rect -1231 138 -1215 172
+rect -1089 138 -1073 172
+rect -1039 138 -1023 172
+rect -897 138 -881 172
+rect -847 138 -831 172
+rect -705 138 -689 172
+rect -655 138 -639 172
+rect -513 138 -497 172
+rect -463 138 -447 172
+rect -321 138 -305 172
+rect -271 138 -255 172
+rect -129 138 -113 172
+rect -79 138 -63 172
+rect 63 138 79 172
+rect 113 138 129 172
+rect 255 138 271 172
+rect 305 138 321 172
+rect 447 138 463 172
+rect 497 138 513 172
+rect 639 138 655 172
+rect 689 138 705 172
+rect 831 138 847 172
+rect 881 138 897 172
+rect 1023 138 1039 172
+rect 1073 138 1089 172
+rect 1215 138 1231 172
+rect 1265 138 1281 172
+rect 1407 138 1423 172
+rect 1457 138 1473 172
+rect 1599 138 1615 172
+rect 1649 138 1665 172
+rect 1791 138 1807 172
+rect 1841 138 1857 172
+rect 1983 138 1999 172
+rect 2033 138 2049 172
+rect 2175 138 2191 172
+rect 2225 138 2241 172
+rect 2367 138 2383 172
+rect 2417 138 2433 172
+rect 2559 138 2575 172
+rect 2609 138 2625 172
+rect 2751 138 2767 172
+rect 2801 138 2817 172
+rect -2945 88 -2911 104
+rect -2945 -104 -2911 -88
+rect -2849 88 -2815 104
+rect -2849 -104 -2815 -88
+rect -2753 88 -2719 104
+rect -2753 -104 -2719 -88
+rect -2657 88 -2623 104
+rect -2657 -104 -2623 -88
+rect -2561 88 -2527 104
+rect -2561 -104 -2527 -88
+rect -2465 88 -2431 104
+rect -2465 -104 -2431 -88
+rect -2369 88 -2335 104
+rect -2369 -104 -2335 -88
+rect -2273 88 -2239 104
+rect -2273 -104 -2239 -88
+rect -2177 88 -2143 104
+rect -2177 -104 -2143 -88
+rect -2081 88 -2047 104
+rect -2081 -104 -2047 -88
+rect -1985 88 -1951 104
+rect -1985 -104 -1951 -88
+rect -1889 88 -1855 104
+rect -1889 -104 -1855 -88
+rect -1793 88 -1759 104
+rect -1793 -104 -1759 -88
+rect -1697 88 -1663 104
+rect -1697 -104 -1663 -88
+rect -1601 88 -1567 104
+rect -1601 -104 -1567 -88
+rect -1505 88 -1471 104
+rect -1505 -104 -1471 -88
+rect -1409 88 -1375 104
+rect -1409 -104 -1375 -88
+rect -1313 88 -1279 104
+rect -1313 -104 -1279 -88
+rect -1217 88 -1183 104
+rect -1217 -104 -1183 -88
+rect -1121 88 -1087 104
+rect -1121 -104 -1087 -88
+rect -1025 88 -991 104
+rect -1025 -104 -991 -88
+rect -929 88 -895 104
+rect -929 -104 -895 -88
+rect -833 88 -799 104
+rect -833 -104 -799 -88
+rect -737 88 -703 104
+rect -737 -104 -703 -88
+rect -641 88 -607 104
+rect -641 -104 -607 -88
+rect -545 88 -511 104
+rect -545 -104 -511 -88
+rect -449 88 -415 104
+rect -449 -104 -415 -88
+rect -353 88 -319 104
+rect -353 -104 -319 -88
+rect -257 88 -223 104
+rect -257 -104 -223 -88
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect 223 88 257 104
+rect 223 -104 257 -88
+rect 319 88 353 104
+rect 319 -104 353 -88
+rect 415 88 449 104
+rect 415 -104 449 -88
+rect 511 88 545 104
+rect 511 -104 545 -88
+rect 607 88 641 104
+rect 607 -104 641 -88
+rect 703 88 737 104
+rect 703 -104 737 -88
+rect 799 88 833 104
+rect 799 -104 833 -88
+rect 895 88 929 104
+rect 895 -104 929 -88
+rect 991 88 1025 104
+rect 991 -104 1025 -88
+rect 1087 88 1121 104
+rect 1087 -104 1121 -88
+rect 1183 88 1217 104
+rect 1183 -104 1217 -88
+rect 1279 88 1313 104
+rect 1279 -104 1313 -88
+rect 1375 88 1409 104
+rect 1375 -104 1409 -88
+rect 1471 88 1505 104
+rect 1471 -104 1505 -88
+rect 1567 88 1601 104
+rect 1567 -104 1601 -88
+rect 1663 88 1697 104
+rect 1663 -104 1697 -88
+rect 1759 88 1793 104
+rect 1759 -104 1793 -88
+rect 1855 88 1889 104
+rect 1855 -104 1889 -88
+rect 1951 88 1985 104
+rect 1951 -104 1985 -88
+rect 2047 88 2081 104
+rect 2047 -104 2081 -88
+rect 2143 88 2177 104
+rect 2143 -104 2177 -88
+rect 2239 88 2273 104
+rect 2239 -104 2273 -88
+rect 2335 88 2369 104
+rect 2335 -104 2369 -88
+rect 2431 88 2465 104
+rect 2431 -104 2465 -88
+rect 2527 88 2561 104
+rect 2527 -104 2561 -88
+rect 2623 88 2657 104
+rect 2623 -104 2657 -88
+rect 2719 88 2753 104
+rect 2719 -104 2753 -88
+rect 2815 88 2849 104
+rect 2815 -104 2849 -88
+rect 2911 88 2945 104
+rect 2911 -104 2945 -88
+rect -2913 -172 -2897 -138
+rect -2863 -172 -2847 -138
+rect -2721 -172 -2705 -138
+rect -2671 -172 -2655 -138
+rect -2529 -172 -2513 -138
+rect -2479 -172 -2463 -138
+rect -2337 -172 -2321 -138
+rect -2287 -172 -2271 -138
+rect -2145 -172 -2129 -138
+rect -2095 -172 -2079 -138
+rect -1953 -172 -1937 -138
+rect -1903 -172 -1887 -138
+rect -1761 -172 -1745 -138
+rect -1711 -172 -1695 -138
+rect -1569 -172 -1553 -138
+rect -1519 -172 -1503 -138
+rect -1377 -172 -1361 -138
+rect -1327 -172 -1311 -138
+rect -1185 -172 -1169 -138
+rect -1135 -172 -1119 -138
+rect -993 -172 -977 -138
+rect -943 -172 -927 -138
+rect -801 -172 -785 -138
+rect -751 -172 -735 -138
+rect -609 -172 -593 -138
+rect -559 -172 -543 -138
+rect -417 -172 -401 -138
+rect -367 -172 -351 -138
+rect -225 -172 -209 -138
+rect -175 -172 -159 -138
+rect -33 -172 -17 -138
+rect 17 -172 33 -138
+rect 159 -172 175 -138
+rect 209 -172 225 -138
+rect 351 -172 367 -138
+rect 401 -172 417 -138
+rect 543 -172 559 -138
+rect 593 -172 609 -138
+rect 735 -172 751 -138
+rect 785 -172 801 -138
+rect 927 -172 943 -138
+rect 977 -172 993 -138
+rect 1119 -172 1135 -138
+rect 1169 -172 1185 -138
+rect 1311 -172 1327 -138
+rect 1361 -172 1377 -138
+rect 1503 -172 1519 -138
+rect 1553 -172 1569 -138
+rect 1695 -172 1711 -138
+rect 1745 -172 1761 -138
+rect 1887 -172 1903 -138
+rect 1937 -172 1953 -138
+rect 2079 -172 2095 -138
+rect 2129 -172 2145 -138
+rect 2271 -172 2287 -138
+rect 2321 -172 2337 -138
+rect 2463 -172 2479 -138
+rect 2513 -172 2529 -138
+rect 2655 -172 2671 -138
+rect 2705 -172 2721 -138
+rect 2847 -172 2863 -138
+rect 2897 -172 2913 -138
+rect -3059 -240 -3025 -178
+rect 3025 -240 3059 -178
+rect -3059 -274 -2963 -240
+rect 2963 -274 3059 -240
+<< viali >>
+rect -2801 138 -2767 172
+rect -2609 138 -2575 172
+rect -2417 138 -2383 172
+rect -2225 138 -2191 172
+rect -2033 138 -1999 172
+rect -1841 138 -1807 172
+rect -1649 138 -1615 172
+rect -1457 138 -1423 172
+rect -1265 138 -1231 172
+rect -1073 138 -1039 172
+rect -881 138 -847 172
+rect -689 138 -655 172
+rect -497 138 -463 172
+rect -305 138 -271 172
+rect -113 138 -79 172
+rect 79 138 113 172
+rect 271 138 305 172
+rect 463 138 497 172
+rect 655 138 689 172
+rect 847 138 881 172
+rect 1039 138 1073 172
+rect 1231 138 1265 172
+rect 1423 138 1457 172
+rect 1615 138 1649 172
+rect 1807 138 1841 172
+rect 1999 138 2033 172
+rect 2191 138 2225 172
+rect 2383 138 2417 172
+rect 2575 138 2609 172
+rect 2767 138 2801 172
+rect -2945 -88 -2911 88
+rect -2849 -88 -2815 88
+rect -2753 -88 -2719 88
+rect -2657 -88 -2623 88
+rect -2561 -88 -2527 88
+rect -2465 -88 -2431 88
+rect -2369 -88 -2335 88
+rect -2273 -88 -2239 88
+rect -2177 -88 -2143 88
+rect -2081 -88 -2047 88
+rect -1985 -88 -1951 88
+rect -1889 -88 -1855 88
+rect -1793 -88 -1759 88
+rect -1697 -88 -1663 88
+rect -1601 -88 -1567 88
+rect -1505 -88 -1471 88
+rect -1409 -88 -1375 88
+rect -1313 -88 -1279 88
+rect -1217 -88 -1183 88
+rect -1121 -88 -1087 88
+rect -1025 -88 -991 88
+rect -929 -88 -895 88
+rect -833 -88 -799 88
+rect -737 -88 -703 88
+rect -641 -88 -607 88
+rect -545 -88 -511 88
+rect -449 -88 -415 88
+rect -353 -88 -319 88
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+rect 319 -88 353 88
+rect 415 -88 449 88
+rect 511 -88 545 88
+rect 607 -88 641 88
+rect 703 -88 737 88
+rect 799 -88 833 88
+rect 895 -88 929 88
+rect 991 -88 1025 88
+rect 1087 -88 1121 88
+rect 1183 -88 1217 88
+rect 1279 -88 1313 88
+rect 1375 -88 1409 88
+rect 1471 -88 1505 88
+rect 1567 -88 1601 88
+rect 1663 -88 1697 88
+rect 1759 -88 1793 88
+rect 1855 -88 1889 88
+rect 1951 -88 1985 88
+rect 2047 -88 2081 88
+rect 2143 -88 2177 88
+rect 2239 -88 2273 88
+rect 2335 -88 2369 88
+rect 2431 -88 2465 88
+rect 2527 -88 2561 88
+rect 2623 -88 2657 88
+rect 2719 -88 2753 88
+rect 2815 -88 2849 88
+rect 2911 -88 2945 88
+rect -2897 -172 -2863 -138
+rect -2705 -172 -2671 -138
+rect -2513 -172 -2479 -138
+rect -2321 -172 -2287 -138
+rect -2129 -172 -2095 -138
+rect -1937 -172 -1903 -138
+rect -1745 -172 -1711 -138
+rect -1553 -172 -1519 -138
+rect -1361 -172 -1327 -138
+rect -1169 -172 -1135 -138
+rect -977 -172 -943 -138
+rect -785 -172 -751 -138
+rect -593 -172 -559 -138
+rect -401 -172 -367 -138
+rect -209 -172 -175 -138
+rect -17 -172 17 -138
+rect 175 -172 209 -138
+rect 367 -172 401 -138
+rect 559 -172 593 -138
+rect 751 -172 785 -138
+rect 943 -172 977 -138
+rect 1135 -172 1169 -138
+rect 1327 -172 1361 -138
+rect 1519 -172 1553 -138
+rect 1711 -172 1745 -138
+rect 1903 -172 1937 -138
+rect 2095 -172 2129 -138
+rect 2287 -172 2321 -138
+rect 2479 -172 2513 -138
+rect 2671 -172 2705 -138
+rect 2863 -172 2897 -138
+<< metal1 >>
+rect -2813 172 -2755 178
+rect -2813 138 -2801 172
+rect -2767 138 -2755 172
+rect -2813 132 -2755 138
+rect -2621 172 -2563 178
+rect -2621 138 -2609 172
+rect -2575 138 -2563 172
+rect -2621 132 -2563 138
+rect -2429 172 -2371 178
+rect -2429 138 -2417 172
+rect -2383 138 -2371 172
+rect -2429 132 -2371 138
+rect -2237 172 -2179 178
+rect -2237 138 -2225 172
+rect -2191 138 -2179 172
+rect -2237 132 -2179 138
+rect -2045 172 -1987 178
+rect -2045 138 -2033 172
+rect -1999 138 -1987 172
+rect -2045 132 -1987 138
+rect -1853 172 -1795 178
+rect -1853 138 -1841 172
+rect -1807 138 -1795 172
+rect -1853 132 -1795 138
+rect -1661 172 -1603 178
+rect -1661 138 -1649 172
+rect -1615 138 -1603 172
+rect -1661 132 -1603 138
+rect -1469 172 -1411 178
+rect -1469 138 -1457 172
+rect -1423 138 -1411 172
+rect -1469 132 -1411 138
+rect -1277 172 -1219 178
+rect -1277 138 -1265 172
+rect -1231 138 -1219 172
+rect -1277 132 -1219 138
+rect -1085 172 -1027 178
+rect -1085 138 -1073 172
+rect -1039 138 -1027 172
+rect -1085 132 -1027 138
+rect -893 172 -835 178
+rect -893 138 -881 172
+rect -847 138 -835 172
+rect -893 132 -835 138
+rect -701 172 -643 178
+rect -701 138 -689 172
+rect -655 138 -643 172
+rect -701 132 -643 138
+rect -509 172 -451 178
+rect -509 138 -497 172
+rect -463 138 -451 172
+rect -509 132 -451 138
+rect -317 172 -259 178
+rect -317 138 -305 172
+rect -271 138 -259 172
+rect -317 132 -259 138
+rect -125 172 -67 178
+rect -125 138 -113 172
+rect -79 138 -67 172
+rect -125 132 -67 138
+rect 67 172 125 178
+rect 67 138 79 172
+rect 113 138 125 172
+rect 67 132 125 138
+rect 259 172 317 178
+rect 259 138 271 172
+rect 305 138 317 172
+rect 259 132 317 138
+rect 451 172 509 178
+rect 451 138 463 172
+rect 497 138 509 172
+rect 451 132 509 138
+rect 643 172 701 178
+rect 643 138 655 172
+rect 689 138 701 172
+rect 643 132 701 138
+rect 835 172 893 178
+rect 835 138 847 172
+rect 881 138 893 172
+rect 835 132 893 138
+rect 1027 172 1085 178
+rect 1027 138 1039 172
+rect 1073 138 1085 172
+rect 1027 132 1085 138
+rect 1219 172 1277 178
+rect 1219 138 1231 172
+rect 1265 138 1277 172
+rect 1219 132 1277 138
+rect 1411 172 1469 178
+rect 1411 138 1423 172
+rect 1457 138 1469 172
+rect 1411 132 1469 138
+rect 1603 172 1661 178
+rect 1603 138 1615 172
+rect 1649 138 1661 172
+rect 1603 132 1661 138
+rect 1795 172 1853 178
+rect 1795 138 1807 172
+rect 1841 138 1853 172
+rect 1795 132 1853 138
+rect 1987 172 2045 178
+rect 1987 138 1999 172
+rect 2033 138 2045 172
+rect 1987 132 2045 138
+rect 2179 172 2237 178
+rect 2179 138 2191 172
+rect 2225 138 2237 172
+rect 2179 132 2237 138
+rect 2371 172 2429 178
+rect 2371 138 2383 172
+rect 2417 138 2429 172
+rect 2371 132 2429 138
+rect 2563 172 2621 178
+rect 2563 138 2575 172
+rect 2609 138 2621 172
+rect 2563 132 2621 138
+rect 2755 172 2813 178
+rect 2755 138 2767 172
+rect 2801 138 2813 172
+rect 2755 132 2813 138
+rect -2951 88 -2905 100
+rect -2951 -88 -2945 88
+rect -2911 -88 -2905 88
+rect -2951 -100 -2905 -88
+rect -2855 88 -2809 100
+rect -2855 -88 -2849 88
+rect -2815 -88 -2809 88
+rect -2855 -100 -2809 -88
+rect -2759 88 -2713 100
+rect -2759 -88 -2753 88
+rect -2719 -88 -2713 88
+rect -2759 -100 -2713 -88
+rect -2663 88 -2617 100
+rect -2663 -88 -2657 88
+rect -2623 -88 -2617 88
+rect -2663 -100 -2617 -88
+rect -2567 88 -2521 100
+rect -2567 -88 -2561 88
+rect -2527 -88 -2521 88
+rect -2567 -100 -2521 -88
+rect -2471 88 -2425 100
+rect -2471 -88 -2465 88
+rect -2431 -88 -2425 88
+rect -2471 -100 -2425 -88
+rect -2375 88 -2329 100
+rect -2375 -88 -2369 88
+rect -2335 -88 -2329 88
+rect -2375 -100 -2329 -88
+rect -2279 88 -2233 100
+rect -2279 -88 -2273 88
+rect -2239 -88 -2233 88
+rect -2279 -100 -2233 -88
+rect -2183 88 -2137 100
+rect -2183 -88 -2177 88
+rect -2143 -88 -2137 88
+rect -2183 -100 -2137 -88
+rect -2087 88 -2041 100
+rect -2087 -88 -2081 88
+rect -2047 -88 -2041 88
+rect -2087 -100 -2041 -88
+rect -1991 88 -1945 100
+rect -1991 -88 -1985 88
+rect -1951 -88 -1945 88
+rect -1991 -100 -1945 -88
+rect -1895 88 -1849 100
+rect -1895 -88 -1889 88
+rect -1855 -88 -1849 88
+rect -1895 -100 -1849 -88
+rect -1799 88 -1753 100
+rect -1799 -88 -1793 88
+rect -1759 -88 -1753 88
+rect -1799 -100 -1753 -88
+rect -1703 88 -1657 100
+rect -1703 -88 -1697 88
+rect -1663 -88 -1657 88
+rect -1703 -100 -1657 -88
+rect -1607 88 -1561 100
+rect -1607 -88 -1601 88
+rect -1567 -88 -1561 88
+rect -1607 -100 -1561 -88
+rect -1511 88 -1465 100
+rect -1511 -88 -1505 88
+rect -1471 -88 -1465 88
+rect -1511 -100 -1465 -88
+rect -1415 88 -1369 100
+rect -1415 -88 -1409 88
+rect -1375 -88 -1369 88
+rect -1415 -100 -1369 -88
+rect -1319 88 -1273 100
+rect -1319 -88 -1313 88
+rect -1279 -88 -1273 88
+rect -1319 -100 -1273 -88
+rect -1223 88 -1177 100
+rect -1223 -88 -1217 88
+rect -1183 -88 -1177 88
+rect -1223 -100 -1177 -88
+rect -1127 88 -1081 100
+rect -1127 -88 -1121 88
+rect -1087 -88 -1081 88
+rect -1127 -100 -1081 -88
+rect -1031 88 -985 100
+rect -1031 -88 -1025 88
+rect -991 -88 -985 88
+rect -1031 -100 -985 -88
+rect -935 88 -889 100
+rect -935 -88 -929 88
+rect -895 -88 -889 88
+rect -935 -100 -889 -88
+rect -839 88 -793 100
+rect -839 -88 -833 88
+rect -799 -88 -793 88
+rect -839 -100 -793 -88
+rect -743 88 -697 100
+rect -743 -88 -737 88
+rect -703 -88 -697 88
+rect -743 -100 -697 -88
+rect -647 88 -601 100
+rect -647 -88 -641 88
+rect -607 -88 -601 88
+rect -647 -100 -601 -88
+rect -551 88 -505 100
+rect -551 -88 -545 88
+rect -511 -88 -505 88
+rect -551 -100 -505 -88
+rect -455 88 -409 100
+rect -455 -88 -449 88
+rect -415 -88 -409 88
+rect -455 -100 -409 -88
+rect -359 88 -313 100
+rect -359 -88 -353 88
+rect -319 -88 -313 88
+rect -359 -100 -313 -88
+rect -263 88 -217 100
+rect -263 -88 -257 88
+rect -223 -88 -217 88
+rect -263 -100 -217 -88
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+rect 217 88 263 100
+rect 217 -88 223 88
+rect 257 -88 263 88
+rect 217 -100 263 -88
+rect 313 88 359 100
+rect 313 -88 319 88
+rect 353 -88 359 88
+rect 313 -100 359 -88
+rect 409 88 455 100
+rect 409 -88 415 88
+rect 449 -88 455 88
+rect 409 -100 455 -88
+rect 505 88 551 100
+rect 505 -88 511 88
+rect 545 -88 551 88
+rect 505 -100 551 -88
+rect 601 88 647 100
+rect 601 -88 607 88
+rect 641 -88 647 88
+rect 601 -100 647 -88
+rect 697 88 743 100
+rect 697 -88 703 88
+rect 737 -88 743 88
+rect 697 -100 743 -88
+rect 793 88 839 100
+rect 793 -88 799 88
+rect 833 -88 839 88
+rect 793 -100 839 -88
+rect 889 88 935 100
+rect 889 -88 895 88
+rect 929 -88 935 88
+rect 889 -100 935 -88
+rect 985 88 1031 100
+rect 985 -88 991 88
+rect 1025 -88 1031 88
+rect 985 -100 1031 -88
+rect 1081 88 1127 100
+rect 1081 -88 1087 88
+rect 1121 -88 1127 88
+rect 1081 -100 1127 -88
+rect 1177 88 1223 100
+rect 1177 -88 1183 88
+rect 1217 -88 1223 88
+rect 1177 -100 1223 -88
+rect 1273 88 1319 100
+rect 1273 -88 1279 88
+rect 1313 -88 1319 88
+rect 1273 -100 1319 -88
+rect 1369 88 1415 100
+rect 1369 -88 1375 88
+rect 1409 -88 1415 88
+rect 1369 -100 1415 -88
+rect 1465 88 1511 100
+rect 1465 -88 1471 88
+rect 1505 -88 1511 88
+rect 1465 -100 1511 -88
+rect 1561 88 1607 100
+rect 1561 -88 1567 88
+rect 1601 -88 1607 88
+rect 1561 -100 1607 -88
+rect 1657 88 1703 100
+rect 1657 -88 1663 88
+rect 1697 -88 1703 88
+rect 1657 -100 1703 -88
+rect 1753 88 1799 100
+rect 1753 -88 1759 88
+rect 1793 -88 1799 88
+rect 1753 -100 1799 -88
+rect 1849 88 1895 100
+rect 1849 -88 1855 88
+rect 1889 -88 1895 88
+rect 1849 -100 1895 -88
+rect 1945 88 1991 100
+rect 1945 -88 1951 88
+rect 1985 -88 1991 88
+rect 1945 -100 1991 -88
+rect 2041 88 2087 100
+rect 2041 -88 2047 88
+rect 2081 -88 2087 88
+rect 2041 -100 2087 -88
+rect 2137 88 2183 100
+rect 2137 -88 2143 88
+rect 2177 -88 2183 88
+rect 2137 -100 2183 -88
+rect 2233 88 2279 100
+rect 2233 -88 2239 88
+rect 2273 -88 2279 88
+rect 2233 -100 2279 -88
+rect 2329 88 2375 100
+rect 2329 -88 2335 88
+rect 2369 -88 2375 88
+rect 2329 -100 2375 -88
+rect 2425 88 2471 100
+rect 2425 -88 2431 88
+rect 2465 -88 2471 88
+rect 2425 -100 2471 -88
+rect 2521 88 2567 100
+rect 2521 -88 2527 88
+rect 2561 -88 2567 88
+rect 2521 -100 2567 -88
+rect 2617 88 2663 100
+rect 2617 -88 2623 88
+rect 2657 -88 2663 88
+rect 2617 -100 2663 -88
+rect 2713 88 2759 100
+rect 2713 -88 2719 88
+rect 2753 -88 2759 88
+rect 2713 -100 2759 -88
+rect 2809 88 2855 100
+rect 2809 -88 2815 88
+rect 2849 -88 2855 88
+rect 2809 -100 2855 -88
+rect 2905 88 2951 100
+rect 2905 -88 2911 88
+rect 2945 -88 2951 88
+rect 2905 -100 2951 -88
+rect -2909 -138 -2851 -132
+rect -2909 -172 -2897 -138
+rect -2863 -172 -2851 -138
+rect -2909 -178 -2851 -172
+rect -2717 -138 -2659 -132
+rect -2717 -172 -2705 -138
+rect -2671 -172 -2659 -138
+rect -2717 -178 -2659 -172
+rect -2525 -138 -2467 -132
+rect -2525 -172 -2513 -138
+rect -2479 -172 -2467 -138
+rect -2525 -178 -2467 -172
+rect -2333 -138 -2275 -132
+rect -2333 -172 -2321 -138
+rect -2287 -172 -2275 -138
+rect -2333 -178 -2275 -172
+rect -2141 -138 -2083 -132
+rect -2141 -172 -2129 -138
+rect -2095 -172 -2083 -138
+rect -2141 -178 -2083 -172
+rect -1949 -138 -1891 -132
+rect -1949 -172 -1937 -138
+rect -1903 -172 -1891 -138
+rect -1949 -178 -1891 -172
+rect -1757 -138 -1699 -132
+rect -1757 -172 -1745 -138
+rect -1711 -172 -1699 -138
+rect -1757 -178 -1699 -172
+rect -1565 -138 -1507 -132
+rect -1565 -172 -1553 -138
+rect -1519 -172 -1507 -138
+rect -1565 -178 -1507 -172
+rect -1373 -138 -1315 -132
+rect -1373 -172 -1361 -138
+rect -1327 -172 -1315 -138
+rect -1373 -178 -1315 -172
+rect -1181 -138 -1123 -132
+rect -1181 -172 -1169 -138
+rect -1135 -172 -1123 -138
+rect -1181 -178 -1123 -172
+rect -989 -138 -931 -132
+rect -989 -172 -977 -138
+rect -943 -172 -931 -138
+rect -989 -178 -931 -172
+rect -797 -138 -739 -132
+rect -797 -172 -785 -138
+rect -751 -172 -739 -138
+rect -797 -178 -739 -172
+rect -605 -138 -547 -132
+rect -605 -172 -593 -138
+rect -559 -172 -547 -138
+rect -605 -178 -547 -172
+rect -413 -138 -355 -132
+rect -413 -172 -401 -138
+rect -367 -172 -355 -138
+rect -413 -178 -355 -172
+rect -221 -138 -163 -132
+rect -221 -172 -209 -138
+rect -175 -172 -163 -138
+rect -221 -178 -163 -172
+rect -29 -138 29 -132
+rect -29 -172 -17 -138
+rect 17 -172 29 -138
+rect -29 -178 29 -172
+rect 163 -138 221 -132
+rect 163 -172 175 -138
+rect 209 -172 221 -138
+rect 163 -178 221 -172
+rect 355 -138 413 -132
+rect 355 -172 367 -138
+rect 401 -172 413 -138
+rect 355 -178 413 -172
+rect 547 -138 605 -132
+rect 547 -172 559 -138
+rect 593 -172 605 -138
+rect 547 -178 605 -172
+rect 739 -138 797 -132
+rect 739 -172 751 -138
+rect 785 -172 797 -138
+rect 739 -178 797 -172
+rect 931 -138 989 -132
+rect 931 -172 943 -138
+rect 977 -172 989 -138
+rect 931 -178 989 -172
+rect 1123 -138 1181 -132
+rect 1123 -172 1135 -138
+rect 1169 -172 1181 -138
+rect 1123 -178 1181 -172
+rect 1315 -138 1373 -132
+rect 1315 -172 1327 -138
+rect 1361 -172 1373 -138
+rect 1315 -178 1373 -172
+rect 1507 -138 1565 -132
+rect 1507 -172 1519 -138
+rect 1553 -172 1565 -138
+rect 1507 -178 1565 -172
+rect 1699 -138 1757 -132
+rect 1699 -172 1711 -138
+rect 1745 -172 1757 -138
+rect 1699 -178 1757 -172
+rect 1891 -138 1949 -132
+rect 1891 -172 1903 -138
+rect 1937 -172 1949 -138
+rect 1891 -178 1949 -172
+rect 2083 -138 2141 -132
+rect 2083 -172 2095 -138
+rect 2129 -172 2141 -138
+rect 2083 -178 2141 -172
+rect 2275 -138 2333 -132
+rect 2275 -172 2287 -138
+rect 2321 -172 2333 -138
+rect 2275 -178 2333 -172
+rect 2467 -138 2525 -132
+rect 2467 -172 2479 -138
+rect 2513 -172 2525 -138
+rect 2467 -178 2525 -172
+rect 2659 -138 2717 -132
+rect 2659 -172 2671 -138
+rect 2705 -172 2717 -138
+rect 2659 -178 2717 -172
+rect 2851 -138 2909 -132
+rect 2851 -172 2863 -138
+rect 2897 -172 2909 -138
+rect 2851 -178 2909 -172
+<< properties >>
+string FIXED_BBOX -3042 -257 3042 257
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 0.15 m 1 nf 61 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_G3ZQK6.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_G3ZQK6.mag
new file mode 100644
index 0000000..3e46c10
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_G3ZQK6.mag
@@ -0,0 +1,5215 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662412052
+<< error_p >>
+rect -8141 172 -8083 178
+rect -7949 172 -7891 178
+rect -7757 172 -7699 178
+rect -7565 172 -7507 178
+rect -7373 172 -7315 178
+rect -7181 172 -7123 178
+rect -6989 172 -6931 178
+rect -6797 172 -6739 178
+rect -6605 172 -6547 178
+rect -6413 172 -6355 178
+rect -6221 172 -6163 178
+rect -6029 172 -5971 178
+rect -5837 172 -5779 178
+rect -5645 172 -5587 178
+rect -5453 172 -5395 178
+rect -5261 172 -5203 178
+rect -5069 172 -5011 178
+rect -4877 172 -4819 178
+rect -4685 172 -4627 178
+rect -4493 172 -4435 178
+rect -4301 172 -4243 178
+rect -4109 172 -4051 178
+rect -3917 172 -3859 178
+rect -3725 172 -3667 178
+rect -3533 172 -3475 178
+rect -3341 172 -3283 178
+rect -3149 172 -3091 178
+rect -2957 172 -2899 178
+rect -2765 172 -2707 178
+rect -2573 172 -2515 178
+rect -2381 172 -2323 178
+rect -2189 172 -2131 178
+rect -1997 172 -1939 178
+rect -1805 172 -1747 178
+rect -1613 172 -1555 178
+rect -1421 172 -1363 178
+rect -1229 172 -1171 178
+rect -1037 172 -979 178
+rect -845 172 -787 178
+rect -653 172 -595 178
+rect -461 172 -403 178
+rect -269 172 -211 178
+rect -77 172 -19 178
+rect 115 172 173 178
+rect 307 172 365 178
+rect 499 172 557 178
+rect 691 172 749 178
+rect 883 172 941 178
+rect 1075 172 1133 178
+rect 1267 172 1325 178
+rect 1459 172 1517 178
+rect 1651 172 1709 178
+rect 1843 172 1901 178
+rect 2035 172 2093 178
+rect 2227 172 2285 178
+rect 2419 172 2477 178
+rect 2611 172 2669 178
+rect 2803 172 2861 178
+rect 2995 172 3053 178
+rect 3187 172 3245 178
+rect 3379 172 3437 178
+rect 3571 172 3629 178
+rect 3763 172 3821 178
+rect 3955 172 4013 178
+rect 4147 172 4205 178
+rect 4339 172 4397 178
+rect 4531 172 4589 178
+rect 4723 172 4781 178
+rect 4915 172 4973 178
+rect 5107 172 5165 178
+rect 5299 172 5357 178
+rect 5491 172 5549 178
+rect 5683 172 5741 178
+rect 5875 172 5933 178
+rect 6067 172 6125 178
+rect 6259 172 6317 178
+rect 6451 172 6509 178
+rect 6643 172 6701 178
+rect 6835 172 6893 178
+rect 7027 172 7085 178
+rect 7219 172 7277 178
+rect 7411 172 7469 178
+rect 7603 172 7661 178
+rect 7795 172 7853 178
+rect 7987 172 8045 178
+rect 8179 172 8237 178
+rect -8141 138 -8129 172
+rect -7949 138 -7937 172
+rect -7757 138 -7745 172
+rect -7565 138 -7553 172
+rect -7373 138 -7361 172
+rect -7181 138 -7169 172
+rect -6989 138 -6977 172
+rect -6797 138 -6785 172
+rect -6605 138 -6593 172
+rect -6413 138 -6401 172
+rect -6221 138 -6209 172
+rect -6029 138 -6017 172
+rect -5837 138 -5825 172
+rect -5645 138 -5633 172
+rect -5453 138 -5441 172
+rect -5261 138 -5249 172
+rect -5069 138 -5057 172
+rect -4877 138 -4865 172
+rect -4685 138 -4673 172
+rect -4493 138 -4481 172
+rect -4301 138 -4289 172
+rect -4109 138 -4097 172
+rect -3917 138 -3905 172
+rect -3725 138 -3713 172
+rect -3533 138 -3521 172
+rect -3341 138 -3329 172
+rect -3149 138 -3137 172
+rect -2957 138 -2945 172
+rect -2765 138 -2753 172
+rect -2573 138 -2561 172
+rect -2381 138 -2369 172
+rect -2189 138 -2177 172
+rect -1997 138 -1985 172
+rect -1805 138 -1793 172
+rect -1613 138 -1601 172
+rect -1421 138 -1409 172
+rect -1229 138 -1217 172
+rect -1037 138 -1025 172
+rect -845 138 -833 172
+rect -653 138 -641 172
+rect -461 138 -449 172
+rect -269 138 -257 172
+rect -77 138 -65 172
+rect 115 138 127 172
+rect 307 138 319 172
+rect 499 138 511 172
+rect 691 138 703 172
+rect 883 138 895 172
+rect 1075 138 1087 172
+rect 1267 138 1279 172
+rect 1459 138 1471 172
+rect 1651 138 1663 172
+rect 1843 138 1855 172
+rect 2035 138 2047 172
+rect 2227 138 2239 172
+rect 2419 138 2431 172
+rect 2611 138 2623 172
+rect 2803 138 2815 172
+rect 2995 138 3007 172
+rect 3187 138 3199 172
+rect 3379 138 3391 172
+rect 3571 138 3583 172
+rect 3763 138 3775 172
+rect 3955 138 3967 172
+rect 4147 138 4159 172
+rect 4339 138 4351 172
+rect 4531 138 4543 172
+rect 4723 138 4735 172
+rect 4915 138 4927 172
+rect 5107 138 5119 172
+rect 5299 138 5311 172
+rect 5491 138 5503 172
+rect 5683 138 5695 172
+rect 5875 138 5887 172
+rect 6067 138 6079 172
+rect 6259 138 6271 172
+rect 6451 138 6463 172
+rect 6643 138 6655 172
+rect 6835 138 6847 172
+rect 7027 138 7039 172
+rect 7219 138 7231 172
+rect 7411 138 7423 172
+rect 7603 138 7615 172
+rect 7795 138 7807 172
+rect 7987 138 7999 172
+rect 8179 138 8191 172
+rect -8141 132 -8083 138
+rect -7949 132 -7891 138
+rect -7757 132 -7699 138
+rect -7565 132 -7507 138
+rect -7373 132 -7315 138
+rect -7181 132 -7123 138
+rect -6989 132 -6931 138
+rect -6797 132 -6739 138
+rect -6605 132 -6547 138
+rect -6413 132 -6355 138
+rect -6221 132 -6163 138
+rect -6029 132 -5971 138
+rect -5837 132 -5779 138
+rect -5645 132 -5587 138
+rect -5453 132 -5395 138
+rect -5261 132 -5203 138
+rect -5069 132 -5011 138
+rect -4877 132 -4819 138
+rect -4685 132 -4627 138
+rect -4493 132 -4435 138
+rect -4301 132 -4243 138
+rect -4109 132 -4051 138
+rect -3917 132 -3859 138
+rect -3725 132 -3667 138
+rect -3533 132 -3475 138
+rect -3341 132 -3283 138
+rect -3149 132 -3091 138
+rect -2957 132 -2899 138
+rect -2765 132 -2707 138
+rect -2573 132 -2515 138
+rect -2381 132 -2323 138
+rect -2189 132 -2131 138
+rect -1997 132 -1939 138
+rect -1805 132 -1747 138
+rect -1613 132 -1555 138
+rect -1421 132 -1363 138
+rect -1229 132 -1171 138
+rect -1037 132 -979 138
+rect -845 132 -787 138
+rect -653 132 -595 138
+rect -461 132 -403 138
+rect -269 132 -211 138
+rect -77 132 -19 138
+rect 115 132 173 138
+rect 307 132 365 138
+rect 499 132 557 138
+rect 691 132 749 138
+rect 883 132 941 138
+rect 1075 132 1133 138
+rect 1267 132 1325 138
+rect 1459 132 1517 138
+rect 1651 132 1709 138
+rect 1843 132 1901 138
+rect 2035 132 2093 138
+rect 2227 132 2285 138
+rect 2419 132 2477 138
+rect 2611 132 2669 138
+rect 2803 132 2861 138
+rect 2995 132 3053 138
+rect 3187 132 3245 138
+rect 3379 132 3437 138
+rect 3571 132 3629 138
+rect 3763 132 3821 138
+rect 3955 132 4013 138
+rect 4147 132 4205 138
+rect 4339 132 4397 138
+rect 4531 132 4589 138
+rect 4723 132 4781 138
+rect 4915 132 4973 138
+rect 5107 132 5165 138
+rect 5299 132 5357 138
+rect 5491 132 5549 138
+rect 5683 132 5741 138
+rect 5875 132 5933 138
+rect 6067 132 6125 138
+rect 6259 132 6317 138
+rect 6451 132 6509 138
+rect 6643 132 6701 138
+rect 6835 132 6893 138
+rect 7027 132 7085 138
+rect 7219 132 7277 138
+rect 7411 132 7469 138
+rect 7603 132 7661 138
+rect 7795 132 7853 138
+rect 7987 132 8045 138
+rect 8179 132 8237 138
+rect -8237 -138 -8179 -132
+rect -8045 -138 -7987 -132
+rect -7853 -138 -7795 -132
+rect -7661 -138 -7603 -132
+rect -7469 -138 -7411 -132
+rect -7277 -138 -7219 -132
+rect -7085 -138 -7027 -132
+rect -6893 -138 -6835 -132
+rect -6701 -138 -6643 -132
+rect -6509 -138 -6451 -132
+rect -6317 -138 -6259 -132
+rect -6125 -138 -6067 -132
+rect -5933 -138 -5875 -132
+rect -5741 -138 -5683 -132
+rect -5549 -138 -5491 -132
+rect -5357 -138 -5299 -132
+rect -5165 -138 -5107 -132
+rect -4973 -138 -4915 -132
+rect -4781 -138 -4723 -132
+rect -4589 -138 -4531 -132
+rect -4397 -138 -4339 -132
+rect -4205 -138 -4147 -132
+rect -4013 -138 -3955 -132
+rect -3821 -138 -3763 -132
+rect -3629 -138 -3571 -132
+rect -3437 -138 -3379 -132
+rect -3245 -138 -3187 -132
+rect -3053 -138 -2995 -132
+rect -2861 -138 -2803 -132
+rect -2669 -138 -2611 -132
+rect -2477 -138 -2419 -132
+rect -2285 -138 -2227 -132
+rect -2093 -138 -2035 -132
+rect -1901 -138 -1843 -132
+rect -1709 -138 -1651 -132
+rect -1517 -138 -1459 -132
+rect -1325 -138 -1267 -132
+rect -1133 -138 -1075 -132
+rect -941 -138 -883 -132
+rect -749 -138 -691 -132
+rect -557 -138 -499 -132
+rect -365 -138 -307 -132
+rect -173 -138 -115 -132
+rect 19 -138 77 -132
+rect 211 -138 269 -132
+rect 403 -138 461 -132
+rect 595 -138 653 -132
+rect 787 -138 845 -132
+rect 979 -138 1037 -132
+rect 1171 -138 1229 -132
+rect 1363 -138 1421 -132
+rect 1555 -138 1613 -132
+rect 1747 -138 1805 -132
+rect 1939 -138 1997 -132
+rect 2131 -138 2189 -132
+rect 2323 -138 2381 -132
+rect 2515 -138 2573 -132
+rect 2707 -138 2765 -132
+rect 2899 -138 2957 -132
+rect 3091 -138 3149 -132
+rect 3283 -138 3341 -132
+rect 3475 -138 3533 -132
+rect 3667 -138 3725 -132
+rect 3859 -138 3917 -132
+rect 4051 -138 4109 -132
+rect 4243 -138 4301 -132
+rect 4435 -138 4493 -132
+rect 4627 -138 4685 -132
+rect 4819 -138 4877 -132
+rect 5011 -138 5069 -132
+rect 5203 -138 5261 -132
+rect 5395 -138 5453 -132
+rect 5587 -138 5645 -132
+rect 5779 -138 5837 -132
+rect 5971 -138 6029 -132
+rect 6163 -138 6221 -132
+rect 6355 -138 6413 -132
+rect 6547 -138 6605 -132
+rect 6739 -138 6797 -132
+rect 6931 -138 6989 -132
+rect 7123 -138 7181 -132
+rect 7315 -138 7373 -132
+rect 7507 -138 7565 -132
+rect 7699 -138 7757 -132
+rect 7891 -138 7949 -132
+rect 8083 -138 8141 -132
+rect -8237 -172 -8225 -138
+rect -8045 -172 -8033 -138
+rect -7853 -172 -7841 -138
+rect -7661 -172 -7649 -138
+rect -7469 -172 -7457 -138
+rect -7277 -172 -7265 -138
+rect -7085 -172 -7073 -138
+rect -6893 -172 -6881 -138
+rect -6701 -172 -6689 -138
+rect -6509 -172 -6497 -138
+rect -6317 -172 -6305 -138
+rect -6125 -172 -6113 -138
+rect -5933 -172 -5921 -138
+rect -5741 -172 -5729 -138
+rect -5549 -172 -5537 -138
+rect -5357 -172 -5345 -138
+rect -5165 -172 -5153 -138
+rect -4973 -172 -4961 -138
+rect -4781 -172 -4769 -138
+rect -4589 -172 -4577 -138
+rect -4397 -172 -4385 -138
+rect -4205 -172 -4193 -138
+rect -4013 -172 -4001 -138
+rect -3821 -172 -3809 -138
+rect -3629 -172 -3617 -138
+rect -3437 -172 -3425 -138
+rect -3245 -172 -3233 -138
+rect -3053 -172 -3041 -138
+rect -2861 -172 -2849 -138
+rect -2669 -172 -2657 -138
+rect -2477 -172 -2465 -138
+rect -2285 -172 -2273 -138
+rect -2093 -172 -2081 -138
+rect -1901 -172 -1889 -138
+rect -1709 -172 -1697 -138
+rect -1517 -172 -1505 -138
+rect -1325 -172 -1313 -138
+rect -1133 -172 -1121 -138
+rect -941 -172 -929 -138
+rect -749 -172 -737 -138
+rect -557 -172 -545 -138
+rect -365 -172 -353 -138
+rect -173 -172 -161 -138
+rect 19 -172 31 -138
+rect 211 -172 223 -138
+rect 403 -172 415 -138
+rect 595 -172 607 -138
+rect 787 -172 799 -138
+rect 979 -172 991 -138
+rect 1171 -172 1183 -138
+rect 1363 -172 1375 -138
+rect 1555 -172 1567 -138
+rect 1747 -172 1759 -138
+rect 1939 -172 1951 -138
+rect 2131 -172 2143 -138
+rect 2323 -172 2335 -138
+rect 2515 -172 2527 -138
+rect 2707 -172 2719 -138
+rect 2899 -172 2911 -138
+rect 3091 -172 3103 -138
+rect 3283 -172 3295 -138
+rect 3475 -172 3487 -138
+rect 3667 -172 3679 -138
+rect 3859 -172 3871 -138
+rect 4051 -172 4063 -138
+rect 4243 -172 4255 -138
+rect 4435 -172 4447 -138
+rect 4627 -172 4639 -138
+rect 4819 -172 4831 -138
+rect 5011 -172 5023 -138
+rect 5203 -172 5215 -138
+rect 5395 -172 5407 -138
+rect 5587 -172 5599 -138
+rect 5779 -172 5791 -138
+rect 5971 -172 5983 -138
+rect 6163 -172 6175 -138
+rect 6355 -172 6367 -138
+rect 6547 -172 6559 -138
+rect 6739 -172 6751 -138
+rect 6931 -172 6943 -138
+rect 7123 -172 7135 -138
+rect 7315 -172 7327 -138
+rect 7507 -172 7519 -138
+rect 7699 -172 7711 -138
+rect 7891 -172 7903 -138
+rect 8083 -172 8095 -138
+rect -8237 -178 -8179 -172
+rect -8045 -178 -7987 -172
+rect -7853 -178 -7795 -172
+rect -7661 -178 -7603 -172
+rect -7469 -178 -7411 -172
+rect -7277 -178 -7219 -172
+rect -7085 -178 -7027 -172
+rect -6893 -178 -6835 -172
+rect -6701 -178 -6643 -172
+rect -6509 -178 -6451 -172
+rect -6317 -178 -6259 -172
+rect -6125 -178 -6067 -172
+rect -5933 -178 -5875 -172
+rect -5741 -178 -5683 -172
+rect -5549 -178 -5491 -172
+rect -5357 -178 -5299 -172
+rect -5165 -178 -5107 -172
+rect -4973 -178 -4915 -172
+rect -4781 -178 -4723 -172
+rect -4589 -178 -4531 -172
+rect -4397 -178 -4339 -172
+rect -4205 -178 -4147 -172
+rect -4013 -178 -3955 -172
+rect -3821 -178 -3763 -172
+rect -3629 -178 -3571 -172
+rect -3437 -178 -3379 -172
+rect -3245 -178 -3187 -172
+rect -3053 -178 -2995 -172
+rect -2861 -178 -2803 -172
+rect -2669 -178 -2611 -172
+rect -2477 -178 -2419 -172
+rect -2285 -178 -2227 -172
+rect -2093 -178 -2035 -172
+rect -1901 -178 -1843 -172
+rect -1709 -178 -1651 -172
+rect -1517 -178 -1459 -172
+rect -1325 -178 -1267 -172
+rect -1133 -178 -1075 -172
+rect -941 -178 -883 -172
+rect -749 -178 -691 -172
+rect -557 -178 -499 -172
+rect -365 -178 -307 -172
+rect -173 -178 -115 -172
+rect 19 -178 77 -172
+rect 211 -178 269 -172
+rect 403 -178 461 -172
+rect 595 -178 653 -172
+rect 787 -178 845 -172
+rect 979 -178 1037 -172
+rect 1171 -178 1229 -172
+rect 1363 -178 1421 -172
+rect 1555 -178 1613 -172
+rect 1747 -178 1805 -172
+rect 1939 -178 1997 -172
+rect 2131 -178 2189 -172
+rect 2323 -178 2381 -172
+rect 2515 -178 2573 -172
+rect 2707 -178 2765 -172
+rect 2899 -178 2957 -172
+rect 3091 -178 3149 -172
+rect 3283 -178 3341 -172
+rect 3475 -178 3533 -172
+rect 3667 -178 3725 -172
+rect 3859 -178 3917 -172
+rect 4051 -178 4109 -172
+rect 4243 -178 4301 -172
+rect 4435 -178 4493 -172
+rect 4627 -178 4685 -172
+rect 4819 -178 4877 -172
+rect 5011 -178 5069 -172
+rect 5203 -178 5261 -172
+rect 5395 -178 5453 -172
+rect 5587 -178 5645 -172
+rect 5779 -178 5837 -172
+rect 5971 -178 6029 -172
+rect 6163 -178 6221 -172
+rect 6355 -178 6413 -172
+rect 6547 -178 6605 -172
+rect 6739 -178 6797 -172
+rect 6931 -178 6989 -172
+rect 7123 -178 7181 -172
+rect 7315 -178 7373 -172
+rect 7507 -178 7565 -172
+rect 7699 -178 7757 -172
+rect 7891 -178 7949 -172
+rect 8083 -178 8141 -172
+<< pwell >>
+rect -8423 -310 8423 310
+<< nmoslvt >>
+rect -8223 -100 -8193 100
+rect -8127 -100 -8097 100
+rect -8031 -100 -8001 100
+rect -7935 -100 -7905 100
+rect -7839 -100 -7809 100
+rect -7743 -100 -7713 100
+rect -7647 -100 -7617 100
+rect -7551 -100 -7521 100
+rect -7455 -100 -7425 100
+rect -7359 -100 -7329 100
+rect -7263 -100 -7233 100
+rect -7167 -100 -7137 100
+rect -7071 -100 -7041 100
+rect -6975 -100 -6945 100
+rect -6879 -100 -6849 100
+rect -6783 -100 -6753 100
+rect -6687 -100 -6657 100
+rect -6591 -100 -6561 100
+rect -6495 -100 -6465 100
+rect -6399 -100 -6369 100
+rect -6303 -100 -6273 100
+rect -6207 -100 -6177 100
+rect -6111 -100 -6081 100
+rect -6015 -100 -5985 100
+rect -5919 -100 -5889 100
+rect -5823 -100 -5793 100
+rect -5727 -100 -5697 100
+rect -5631 -100 -5601 100
+rect -5535 -100 -5505 100
+rect -5439 -100 -5409 100
+rect -5343 -100 -5313 100
+rect -5247 -100 -5217 100
+rect -5151 -100 -5121 100
+rect -5055 -100 -5025 100
+rect -4959 -100 -4929 100
+rect -4863 -100 -4833 100
+rect -4767 -100 -4737 100
+rect -4671 -100 -4641 100
+rect -4575 -100 -4545 100
+rect -4479 -100 -4449 100
+rect -4383 -100 -4353 100
+rect -4287 -100 -4257 100
+rect -4191 -100 -4161 100
+rect -4095 -100 -4065 100
+rect -3999 -100 -3969 100
+rect -3903 -100 -3873 100
+rect -3807 -100 -3777 100
+rect -3711 -100 -3681 100
+rect -3615 -100 -3585 100
+rect -3519 -100 -3489 100
+rect -3423 -100 -3393 100
+rect -3327 -100 -3297 100
+rect -3231 -100 -3201 100
+rect -3135 -100 -3105 100
+rect -3039 -100 -3009 100
+rect -2943 -100 -2913 100
+rect -2847 -100 -2817 100
+rect -2751 -100 -2721 100
+rect -2655 -100 -2625 100
+rect -2559 -100 -2529 100
+rect -2463 -100 -2433 100
+rect -2367 -100 -2337 100
+rect -2271 -100 -2241 100
+rect -2175 -100 -2145 100
+rect -2079 -100 -2049 100
+rect -1983 -100 -1953 100
+rect -1887 -100 -1857 100
+rect -1791 -100 -1761 100
+rect -1695 -100 -1665 100
+rect -1599 -100 -1569 100
+rect -1503 -100 -1473 100
+rect -1407 -100 -1377 100
+rect -1311 -100 -1281 100
+rect -1215 -100 -1185 100
+rect -1119 -100 -1089 100
+rect -1023 -100 -993 100
+rect -927 -100 -897 100
+rect -831 -100 -801 100
+rect -735 -100 -705 100
+rect -639 -100 -609 100
+rect -543 -100 -513 100
+rect -447 -100 -417 100
+rect -351 -100 -321 100
+rect -255 -100 -225 100
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+rect 225 -100 255 100
+rect 321 -100 351 100
+rect 417 -100 447 100
+rect 513 -100 543 100
+rect 609 -100 639 100
+rect 705 -100 735 100
+rect 801 -100 831 100
+rect 897 -100 927 100
+rect 993 -100 1023 100
+rect 1089 -100 1119 100
+rect 1185 -100 1215 100
+rect 1281 -100 1311 100
+rect 1377 -100 1407 100
+rect 1473 -100 1503 100
+rect 1569 -100 1599 100
+rect 1665 -100 1695 100
+rect 1761 -100 1791 100
+rect 1857 -100 1887 100
+rect 1953 -100 1983 100
+rect 2049 -100 2079 100
+rect 2145 -100 2175 100
+rect 2241 -100 2271 100
+rect 2337 -100 2367 100
+rect 2433 -100 2463 100
+rect 2529 -100 2559 100
+rect 2625 -100 2655 100
+rect 2721 -100 2751 100
+rect 2817 -100 2847 100
+rect 2913 -100 2943 100
+rect 3009 -100 3039 100
+rect 3105 -100 3135 100
+rect 3201 -100 3231 100
+rect 3297 -100 3327 100
+rect 3393 -100 3423 100
+rect 3489 -100 3519 100
+rect 3585 -100 3615 100
+rect 3681 -100 3711 100
+rect 3777 -100 3807 100
+rect 3873 -100 3903 100
+rect 3969 -100 3999 100
+rect 4065 -100 4095 100
+rect 4161 -100 4191 100
+rect 4257 -100 4287 100
+rect 4353 -100 4383 100
+rect 4449 -100 4479 100
+rect 4545 -100 4575 100
+rect 4641 -100 4671 100
+rect 4737 -100 4767 100
+rect 4833 -100 4863 100
+rect 4929 -100 4959 100
+rect 5025 -100 5055 100
+rect 5121 -100 5151 100
+rect 5217 -100 5247 100
+rect 5313 -100 5343 100
+rect 5409 -100 5439 100
+rect 5505 -100 5535 100
+rect 5601 -100 5631 100
+rect 5697 -100 5727 100
+rect 5793 -100 5823 100
+rect 5889 -100 5919 100
+rect 5985 -100 6015 100
+rect 6081 -100 6111 100
+rect 6177 -100 6207 100
+rect 6273 -100 6303 100
+rect 6369 -100 6399 100
+rect 6465 -100 6495 100
+rect 6561 -100 6591 100
+rect 6657 -100 6687 100
+rect 6753 -100 6783 100
+rect 6849 -100 6879 100
+rect 6945 -100 6975 100
+rect 7041 -100 7071 100
+rect 7137 -100 7167 100
+rect 7233 -100 7263 100
+rect 7329 -100 7359 100
+rect 7425 -100 7455 100
+rect 7521 -100 7551 100
+rect 7617 -100 7647 100
+rect 7713 -100 7743 100
+rect 7809 -100 7839 100
+rect 7905 -100 7935 100
+rect 8001 -100 8031 100
+rect 8097 -100 8127 100
+rect 8193 -100 8223 100
+<< ndiff >>
+rect -8285 88 -8223 100
+rect -8285 -88 -8273 88
+rect -8239 -88 -8223 88
+rect -8285 -100 -8223 -88
+rect -8193 88 -8127 100
+rect -8193 -88 -8177 88
+rect -8143 -88 -8127 88
+rect -8193 -100 -8127 -88
+rect -8097 88 -8031 100
+rect -8097 -88 -8081 88
+rect -8047 -88 -8031 88
+rect -8097 -100 -8031 -88
+rect -8001 88 -7935 100
+rect -8001 -88 -7985 88
+rect -7951 -88 -7935 88
+rect -8001 -100 -7935 -88
+rect -7905 88 -7839 100
+rect -7905 -88 -7889 88
+rect -7855 -88 -7839 88
+rect -7905 -100 -7839 -88
+rect -7809 88 -7743 100
+rect -7809 -88 -7793 88
+rect -7759 -88 -7743 88
+rect -7809 -100 -7743 -88
+rect -7713 88 -7647 100
+rect -7713 -88 -7697 88
+rect -7663 -88 -7647 88
+rect -7713 -100 -7647 -88
+rect -7617 88 -7551 100
+rect -7617 -88 -7601 88
+rect -7567 -88 -7551 88
+rect -7617 -100 -7551 -88
+rect -7521 88 -7455 100
+rect -7521 -88 -7505 88
+rect -7471 -88 -7455 88
+rect -7521 -100 -7455 -88
+rect -7425 88 -7359 100
+rect -7425 -88 -7409 88
+rect -7375 -88 -7359 88
+rect -7425 -100 -7359 -88
+rect -7329 88 -7263 100
+rect -7329 -88 -7313 88
+rect -7279 -88 -7263 88
+rect -7329 -100 -7263 -88
+rect -7233 88 -7167 100
+rect -7233 -88 -7217 88
+rect -7183 -88 -7167 88
+rect -7233 -100 -7167 -88
+rect -7137 88 -7071 100
+rect -7137 -88 -7121 88
+rect -7087 -88 -7071 88
+rect -7137 -100 -7071 -88
+rect -7041 88 -6975 100
+rect -7041 -88 -7025 88
+rect -6991 -88 -6975 88
+rect -7041 -100 -6975 -88
+rect -6945 88 -6879 100
+rect -6945 -88 -6929 88
+rect -6895 -88 -6879 88
+rect -6945 -100 -6879 -88
+rect -6849 88 -6783 100
+rect -6849 -88 -6833 88
+rect -6799 -88 -6783 88
+rect -6849 -100 -6783 -88
+rect -6753 88 -6687 100
+rect -6753 -88 -6737 88
+rect -6703 -88 -6687 88
+rect -6753 -100 -6687 -88
+rect -6657 88 -6591 100
+rect -6657 -88 -6641 88
+rect -6607 -88 -6591 88
+rect -6657 -100 -6591 -88
+rect -6561 88 -6495 100
+rect -6561 -88 -6545 88
+rect -6511 -88 -6495 88
+rect -6561 -100 -6495 -88
+rect -6465 88 -6399 100
+rect -6465 -88 -6449 88
+rect -6415 -88 -6399 88
+rect -6465 -100 -6399 -88
+rect -6369 88 -6303 100
+rect -6369 -88 -6353 88
+rect -6319 -88 -6303 88
+rect -6369 -100 -6303 -88
+rect -6273 88 -6207 100
+rect -6273 -88 -6257 88
+rect -6223 -88 -6207 88
+rect -6273 -100 -6207 -88
+rect -6177 88 -6111 100
+rect -6177 -88 -6161 88
+rect -6127 -88 -6111 88
+rect -6177 -100 -6111 -88
+rect -6081 88 -6015 100
+rect -6081 -88 -6065 88
+rect -6031 -88 -6015 88
+rect -6081 -100 -6015 -88
+rect -5985 88 -5919 100
+rect -5985 -88 -5969 88
+rect -5935 -88 -5919 88
+rect -5985 -100 -5919 -88
+rect -5889 88 -5823 100
+rect -5889 -88 -5873 88
+rect -5839 -88 -5823 88
+rect -5889 -100 -5823 -88
+rect -5793 88 -5727 100
+rect -5793 -88 -5777 88
+rect -5743 -88 -5727 88
+rect -5793 -100 -5727 -88
+rect -5697 88 -5631 100
+rect -5697 -88 -5681 88
+rect -5647 -88 -5631 88
+rect -5697 -100 -5631 -88
+rect -5601 88 -5535 100
+rect -5601 -88 -5585 88
+rect -5551 -88 -5535 88
+rect -5601 -100 -5535 -88
+rect -5505 88 -5439 100
+rect -5505 -88 -5489 88
+rect -5455 -88 -5439 88
+rect -5505 -100 -5439 -88
+rect -5409 88 -5343 100
+rect -5409 -88 -5393 88
+rect -5359 -88 -5343 88
+rect -5409 -100 -5343 -88
+rect -5313 88 -5247 100
+rect -5313 -88 -5297 88
+rect -5263 -88 -5247 88
+rect -5313 -100 -5247 -88
+rect -5217 88 -5151 100
+rect -5217 -88 -5201 88
+rect -5167 -88 -5151 88
+rect -5217 -100 -5151 -88
+rect -5121 88 -5055 100
+rect -5121 -88 -5105 88
+rect -5071 -88 -5055 88
+rect -5121 -100 -5055 -88
+rect -5025 88 -4959 100
+rect -5025 -88 -5009 88
+rect -4975 -88 -4959 88
+rect -5025 -100 -4959 -88
+rect -4929 88 -4863 100
+rect -4929 -88 -4913 88
+rect -4879 -88 -4863 88
+rect -4929 -100 -4863 -88
+rect -4833 88 -4767 100
+rect -4833 -88 -4817 88
+rect -4783 -88 -4767 88
+rect -4833 -100 -4767 -88
+rect -4737 88 -4671 100
+rect -4737 -88 -4721 88
+rect -4687 -88 -4671 88
+rect -4737 -100 -4671 -88
+rect -4641 88 -4575 100
+rect -4641 -88 -4625 88
+rect -4591 -88 -4575 88
+rect -4641 -100 -4575 -88
+rect -4545 88 -4479 100
+rect -4545 -88 -4529 88
+rect -4495 -88 -4479 88
+rect -4545 -100 -4479 -88
+rect -4449 88 -4383 100
+rect -4449 -88 -4433 88
+rect -4399 -88 -4383 88
+rect -4449 -100 -4383 -88
+rect -4353 88 -4287 100
+rect -4353 -88 -4337 88
+rect -4303 -88 -4287 88
+rect -4353 -100 -4287 -88
+rect -4257 88 -4191 100
+rect -4257 -88 -4241 88
+rect -4207 -88 -4191 88
+rect -4257 -100 -4191 -88
+rect -4161 88 -4095 100
+rect -4161 -88 -4145 88
+rect -4111 -88 -4095 88
+rect -4161 -100 -4095 -88
+rect -4065 88 -3999 100
+rect -4065 -88 -4049 88
+rect -4015 -88 -3999 88
+rect -4065 -100 -3999 -88
+rect -3969 88 -3903 100
+rect -3969 -88 -3953 88
+rect -3919 -88 -3903 88
+rect -3969 -100 -3903 -88
+rect -3873 88 -3807 100
+rect -3873 -88 -3857 88
+rect -3823 -88 -3807 88
+rect -3873 -100 -3807 -88
+rect -3777 88 -3711 100
+rect -3777 -88 -3761 88
+rect -3727 -88 -3711 88
+rect -3777 -100 -3711 -88
+rect -3681 88 -3615 100
+rect -3681 -88 -3665 88
+rect -3631 -88 -3615 88
+rect -3681 -100 -3615 -88
+rect -3585 88 -3519 100
+rect -3585 -88 -3569 88
+rect -3535 -88 -3519 88
+rect -3585 -100 -3519 -88
+rect -3489 88 -3423 100
+rect -3489 -88 -3473 88
+rect -3439 -88 -3423 88
+rect -3489 -100 -3423 -88
+rect -3393 88 -3327 100
+rect -3393 -88 -3377 88
+rect -3343 -88 -3327 88
+rect -3393 -100 -3327 -88
+rect -3297 88 -3231 100
+rect -3297 -88 -3281 88
+rect -3247 -88 -3231 88
+rect -3297 -100 -3231 -88
+rect -3201 88 -3135 100
+rect -3201 -88 -3185 88
+rect -3151 -88 -3135 88
+rect -3201 -100 -3135 -88
+rect -3105 88 -3039 100
+rect -3105 -88 -3089 88
+rect -3055 -88 -3039 88
+rect -3105 -100 -3039 -88
+rect -3009 88 -2943 100
+rect -3009 -88 -2993 88
+rect -2959 -88 -2943 88
+rect -3009 -100 -2943 -88
+rect -2913 88 -2847 100
+rect -2913 -88 -2897 88
+rect -2863 -88 -2847 88
+rect -2913 -100 -2847 -88
+rect -2817 88 -2751 100
+rect -2817 -88 -2801 88
+rect -2767 -88 -2751 88
+rect -2817 -100 -2751 -88
+rect -2721 88 -2655 100
+rect -2721 -88 -2705 88
+rect -2671 -88 -2655 88
+rect -2721 -100 -2655 -88
+rect -2625 88 -2559 100
+rect -2625 -88 -2609 88
+rect -2575 -88 -2559 88
+rect -2625 -100 -2559 -88
+rect -2529 88 -2463 100
+rect -2529 -88 -2513 88
+rect -2479 -88 -2463 88
+rect -2529 -100 -2463 -88
+rect -2433 88 -2367 100
+rect -2433 -88 -2417 88
+rect -2383 -88 -2367 88
+rect -2433 -100 -2367 -88
+rect -2337 88 -2271 100
+rect -2337 -88 -2321 88
+rect -2287 -88 -2271 88
+rect -2337 -100 -2271 -88
+rect -2241 88 -2175 100
+rect -2241 -88 -2225 88
+rect -2191 -88 -2175 88
+rect -2241 -100 -2175 -88
+rect -2145 88 -2079 100
+rect -2145 -88 -2129 88
+rect -2095 -88 -2079 88
+rect -2145 -100 -2079 -88
+rect -2049 88 -1983 100
+rect -2049 -88 -2033 88
+rect -1999 -88 -1983 88
+rect -2049 -100 -1983 -88
+rect -1953 88 -1887 100
+rect -1953 -88 -1937 88
+rect -1903 -88 -1887 88
+rect -1953 -100 -1887 -88
+rect -1857 88 -1791 100
+rect -1857 -88 -1841 88
+rect -1807 -88 -1791 88
+rect -1857 -100 -1791 -88
+rect -1761 88 -1695 100
+rect -1761 -88 -1745 88
+rect -1711 -88 -1695 88
+rect -1761 -100 -1695 -88
+rect -1665 88 -1599 100
+rect -1665 -88 -1649 88
+rect -1615 -88 -1599 88
+rect -1665 -100 -1599 -88
+rect -1569 88 -1503 100
+rect -1569 -88 -1553 88
+rect -1519 -88 -1503 88
+rect -1569 -100 -1503 -88
+rect -1473 88 -1407 100
+rect -1473 -88 -1457 88
+rect -1423 -88 -1407 88
+rect -1473 -100 -1407 -88
+rect -1377 88 -1311 100
+rect -1377 -88 -1361 88
+rect -1327 -88 -1311 88
+rect -1377 -100 -1311 -88
+rect -1281 88 -1215 100
+rect -1281 -88 -1265 88
+rect -1231 -88 -1215 88
+rect -1281 -100 -1215 -88
+rect -1185 88 -1119 100
+rect -1185 -88 -1169 88
+rect -1135 -88 -1119 88
+rect -1185 -100 -1119 -88
+rect -1089 88 -1023 100
+rect -1089 -88 -1073 88
+rect -1039 -88 -1023 88
+rect -1089 -100 -1023 -88
+rect -993 88 -927 100
+rect -993 -88 -977 88
+rect -943 -88 -927 88
+rect -993 -100 -927 -88
+rect -897 88 -831 100
+rect -897 -88 -881 88
+rect -847 -88 -831 88
+rect -897 -100 -831 -88
+rect -801 88 -735 100
+rect -801 -88 -785 88
+rect -751 -88 -735 88
+rect -801 -100 -735 -88
+rect -705 88 -639 100
+rect -705 -88 -689 88
+rect -655 -88 -639 88
+rect -705 -100 -639 -88
+rect -609 88 -543 100
+rect -609 -88 -593 88
+rect -559 -88 -543 88
+rect -609 -100 -543 -88
+rect -513 88 -447 100
+rect -513 -88 -497 88
+rect -463 -88 -447 88
+rect -513 -100 -447 -88
+rect -417 88 -351 100
+rect -417 -88 -401 88
+rect -367 -88 -351 88
+rect -417 -100 -351 -88
+rect -321 88 -255 100
+rect -321 -88 -305 88
+rect -271 -88 -255 88
+rect -321 -100 -255 -88
+rect -225 88 -159 100
+rect -225 -88 -209 88
+rect -175 -88 -159 88
+rect -225 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 225 100
+rect 159 -88 175 88
+rect 209 -88 225 88
+rect 159 -100 225 -88
+rect 255 88 321 100
+rect 255 -88 271 88
+rect 305 -88 321 88
+rect 255 -100 321 -88
+rect 351 88 417 100
+rect 351 -88 367 88
+rect 401 -88 417 88
+rect 351 -100 417 -88
+rect 447 88 513 100
+rect 447 -88 463 88
+rect 497 -88 513 88
+rect 447 -100 513 -88
+rect 543 88 609 100
+rect 543 -88 559 88
+rect 593 -88 609 88
+rect 543 -100 609 -88
+rect 639 88 705 100
+rect 639 -88 655 88
+rect 689 -88 705 88
+rect 639 -100 705 -88
+rect 735 88 801 100
+rect 735 -88 751 88
+rect 785 -88 801 88
+rect 735 -100 801 -88
+rect 831 88 897 100
+rect 831 -88 847 88
+rect 881 -88 897 88
+rect 831 -100 897 -88
+rect 927 88 993 100
+rect 927 -88 943 88
+rect 977 -88 993 88
+rect 927 -100 993 -88
+rect 1023 88 1089 100
+rect 1023 -88 1039 88
+rect 1073 -88 1089 88
+rect 1023 -100 1089 -88
+rect 1119 88 1185 100
+rect 1119 -88 1135 88
+rect 1169 -88 1185 88
+rect 1119 -100 1185 -88
+rect 1215 88 1281 100
+rect 1215 -88 1231 88
+rect 1265 -88 1281 88
+rect 1215 -100 1281 -88
+rect 1311 88 1377 100
+rect 1311 -88 1327 88
+rect 1361 -88 1377 88
+rect 1311 -100 1377 -88
+rect 1407 88 1473 100
+rect 1407 -88 1423 88
+rect 1457 -88 1473 88
+rect 1407 -100 1473 -88
+rect 1503 88 1569 100
+rect 1503 -88 1519 88
+rect 1553 -88 1569 88
+rect 1503 -100 1569 -88
+rect 1599 88 1665 100
+rect 1599 -88 1615 88
+rect 1649 -88 1665 88
+rect 1599 -100 1665 -88
+rect 1695 88 1761 100
+rect 1695 -88 1711 88
+rect 1745 -88 1761 88
+rect 1695 -100 1761 -88
+rect 1791 88 1857 100
+rect 1791 -88 1807 88
+rect 1841 -88 1857 88
+rect 1791 -100 1857 -88
+rect 1887 88 1953 100
+rect 1887 -88 1903 88
+rect 1937 -88 1953 88
+rect 1887 -100 1953 -88
+rect 1983 88 2049 100
+rect 1983 -88 1999 88
+rect 2033 -88 2049 88
+rect 1983 -100 2049 -88
+rect 2079 88 2145 100
+rect 2079 -88 2095 88
+rect 2129 -88 2145 88
+rect 2079 -100 2145 -88
+rect 2175 88 2241 100
+rect 2175 -88 2191 88
+rect 2225 -88 2241 88
+rect 2175 -100 2241 -88
+rect 2271 88 2337 100
+rect 2271 -88 2287 88
+rect 2321 -88 2337 88
+rect 2271 -100 2337 -88
+rect 2367 88 2433 100
+rect 2367 -88 2383 88
+rect 2417 -88 2433 88
+rect 2367 -100 2433 -88
+rect 2463 88 2529 100
+rect 2463 -88 2479 88
+rect 2513 -88 2529 88
+rect 2463 -100 2529 -88
+rect 2559 88 2625 100
+rect 2559 -88 2575 88
+rect 2609 -88 2625 88
+rect 2559 -100 2625 -88
+rect 2655 88 2721 100
+rect 2655 -88 2671 88
+rect 2705 -88 2721 88
+rect 2655 -100 2721 -88
+rect 2751 88 2817 100
+rect 2751 -88 2767 88
+rect 2801 -88 2817 88
+rect 2751 -100 2817 -88
+rect 2847 88 2913 100
+rect 2847 -88 2863 88
+rect 2897 -88 2913 88
+rect 2847 -100 2913 -88
+rect 2943 88 3009 100
+rect 2943 -88 2959 88
+rect 2993 -88 3009 88
+rect 2943 -100 3009 -88
+rect 3039 88 3105 100
+rect 3039 -88 3055 88
+rect 3089 -88 3105 88
+rect 3039 -100 3105 -88
+rect 3135 88 3201 100
+rect 3135 -88 3151 88
+rect 3185 -88 3201 88
+rect 3135 -100 3201 -88
+rect 3231 88 3297 100
+rect 3231 -88 3247 88
+rect 3281 -88 3297 88
+rect 3231 -100 3297 -88
+rect 3327 88 3393 100
+rect 3327 -88 3343 88
+rect 3377 -88 3393 88
+rect 3327 -100 3393 -88
+rect 3423 88 3489 100
+rect 3423 -88 3439 88
+rect 3473 -88 3489 88
+rect 3423 -100 3489 -88
+rect 3519 88 3585 100
+rect 3519 -88 3535 88
+rect 3569 -88 3585 88
+rect 3519 -100 3585 -88
+rect 3615 88 3681 100
+rect 3615 -88 3631 88
+rect 3665 -88 3681 88
+rect 3615 -100 3681 -88
+rect 3711 88 3777 100
+rect 3711 -88 3727 88
+rect 3761 -88 3777 88
+rect 3711 -100 3777 -88
+rect 3807 88 3873 100
+rect 3807 -88 3823 88
+rect 3857 -88 3873 88
+rect 3807 -100 3873 -88
+rect 3903 88 3969 100
+rect 3903 -88 3919 88
+rect 3953 -88 3969 88
+rect 3903 -100 3969 -88
+rect 3999 88 4065 100
+rect 3999 -88 4015 88
+rect 4049 -88 4065 88
+rect 3999 -100 4065 -88
+rect 4095 88 4161 100
+rect 4095 -88 4111 88
+rect 4145 -88 4161 88
+rect 4095 -100 4161 -88
+rect 4191 88 4257 100
+rect 4191 -88 4207 88
+rect 4241 -88 4257 88
+rect 4191 -100 4257 -88
+rect 4287 88 4353 100
+rect 4287 -88 4303 88
+rect 4337 -88 4353 88
+rect 4287 -100 4353 -88
+rect 4383 88 4449 100
+rect 4383 -88 4399 88
+rect 4433 -88 4449 88
+rect 4383 -100 4449 -88
+rect 4479 88 4545 100
+rect 4479 -88 4495 88
+rect 4529 -88 4545 88
+rect 4479 -100 4545 -88
+rect 4575 88 4641 100
+rect 4575 -88 4591 88
+rect 4625 -88 4641 88
+rect 4575 -100 4641 -88
+rect 4671 88 4737 100
+rect 4671 -88 4687 88
+rect 4721 -88 4737 88
+rect 4671 -100 4737 -88
+rect 4767 88 4833 100
+rect 4767 -88 4783 88
+rect 4817 -88 4833 88
+rect 4767 -100 4833 -88
+rect 4863 88 4929 100
+rect 4863 -88 4879 88
+rect 4913 -88 4929 88
+rect 4863 -100 4929 -88
+rect 4959 88 5025 100
+rect 4959 -88 4975 88
+rect 5009 -88 5025 88
+rect 4959 -100 5025 -88
+rect 5055 88 5121 100
+rect 5055 -88 5071 88
+rect 5105 -88 5121 88
+rect 5055 -100 5121 -88
+rect 5151 88 5217 100
+rect 5151 -88 5167 88
+rect 5201 -88 5217 88
+rect 5151 -100 5217 -88
+rect 5247 88 5313 100
+rect 5247 -88 5263 88
+rect 5297 -88 5313 88
+rect 5247 -100 5313 -88
+rect 5343 88 5409 100
+rect 5343 -88 5359 88
+rect 5393 -88 5409 88
+rect 5343 -100 5409 -88
+rect 5439 88 5505 100
+rect 5439 -88 5455 88
+rect 5489 -88 5505 88
+rect 5439 -100 5505 -88
+rect 5535 88 5601 100
+rect 5535 -88 5551 88
+rect 5585 -88 5601 88
+rect 5535 -100 5601 -88
+rect 5631 88 5697 100
+rect 5631 -88 5647 88
+rect 5681 -88 5697 88
+rect 5631 -100 5697 -88
+rect 5727 88 5793 100
+rect 5727 -88 5743 88
+rect 5777 -88 5793 88
+rect 5727 -100 5793 -88
+rect 5823 88 5889 100
+rect 5823 -88 5839 88
+rect 5873 -88 5889 88
+rect 5823 -100 5889 -88
+rect 5919 88 5985 100
+rect 5919 -88 5935 88
+rect 5969 -88 5985 88
+rect 5919 -100 5985 -88
+rect 6015 88 6081 100
+rect 6015 -88 6031 88
+rect 6065 -88 6081 88
+rect 6015 -100 6081 -88
+rect 6111 88 6177 100
+rect 6111 -88 6127 88
+rect 6161 -88 6177 88
+rect 6111 -100 6177 -88
+rect 6207 88 6273 100
+rect 6207 -88 6223 88
+rect 6257 -88 6273 88
+rect 6207 -100 6273 -88
+rect 6303 88 6369 100
+rect 6303 -88 6319 88
+rect 6353 -88 6369 88
+rect 6303 -100 6369 -88
+rect 6399 88 6465 100
+rect 6399 -88 6415 88
+rect 6449 -88 6465 88
+rect 6399 -100 6465 -88
+rect 6495 88 6561 100
+rect 6495 -88 6511 88
+rect 6545 -88 6561 88
+rect 6495 -100 6561 -88
+rect 6591 88 6657 100
+rect 6591 -88 6607 88
+rect 6641 -88 6657 88
+rect 6591 -100 6657 -88
+rect 6687 88 6753 100
+rect 6687 -88 6703 88
+rect 6737 -88 6753 88
+rect 6687 -100 6753 -88
+rect 6783 88 6849 100
+rect 6783 -88 6799 88
+rect 6833 -88 6849 88
+rect 6783 -100 6849 -88
+rect 6879 88 6945 100
+rect 6879 -88 6895 88
+rect 6929 -88 6945 88
+rect 6879 -100 6945 -88
+rect 6975 88 7041 100
+rect 6975 -88 6991 88
+rect 7025 -88 7041 88
+rect 6975 -100 7041 -88
+rect 7071 88 7137 100
+rect 7071 -88 7087 88
+rect 7121 -88 7137 88
+rect 7071 -100 7137 -88
+rect 7167 88 7233 100
+rect 7167 -88 7183 88
+rect 7217 -88 7233 88
+rect 7167 -100 7233 -88
+rect 7263 88 7329 100
+rect 7263 -88 7279 88
+rect 7313 -88 7329 88
+rect 7263 -100 7329 -88
+rect 7359 88 7425 100
+rect 7359 -88 7375 88
+rect 7409 -88 7425 88
+rect 7359 -100 7425 -88
+rect 7455 88 7521 100
+rect 7455 -88 7471 88
+rect 7505 -88 7521 88
+rect 7455 -100 7521 -88
+rect 7551 88 7617 100
+rect 7551 -88 7567 88
+rect 7601 -88 7617 88
+rect 7551 -100 7617 -88
+rect 7647 88 7713 100
+rect 7647 -88 7663 88
+rect 7697 -88 7713 88
+rect 7647 -100 7713 -88
+rect 7743 88 7809 100
+rect 7743 -88 7759 88
+rect 7793 -88 7809 88
+rect 7743 -100 7809 -88
+rect 7839 88 7905 100
+rect 7839 -88 7855 88
+rect 7889 -88 7905 88
+rect 7839 -100 7905 -88
+rect 7935 88 8001 100
+rect 7935 -88 7951 88
+rect 7985 -88 8001 88
+rect 7935 -100 8001 -88
+rect 8031 88 8097 100
+rect 8031 -88 8047 88
+rect 8081 -88 8097 88
+rect 8031 -100 8097 -88
+rect 8127 88 8193 100
+rect 8127 -88 8143 88
+rect 8177 -88 8193 88
+rect 8127 -100 8193 -88
+rect 8223 88 8285 100
+rect 8223 -88 8239 88
+rect 8273 -88 8285 88
+rect 8223 -100 8285 -88
+<< ndiffc >>
+rect -8273 -88 -8239 88
+rect -8177 -88 -8143 88
+rect -8081 -88 -8047 88
+rect -7985 -88 -7951 88
+rect -7889 -88 -7855 88
+rect -7793 -88 -7759 88
+rect -7697 -88 -7663 88
+rect -7601 -88 -7567 88
+rect -7505 -88 -7471 88
+rect -7409 -88 -7375 88
+rect -7313 -88 -7279 88
+rect -7217 -88 -7183 88
+rect -7121 -88 -7087 88
+rect -7025 -88 -6991 88
+rect -6929 -88 -6895 88
+rect -6833 -88 -6799 88
+rect -6737 -88 -6703 88
+rect -6641 -88 -6607 88
+rect -6545 -88 -6511 88
+rect -6449 -88 -6415 88
+rect -6353 -88 -6319 88
+rect -6257 -88 -6223 88
+rect -6161 -88 -6127 88
+rect -6065 -88 -6031 88
+rect -5969 -88 -5935 88
+rect -5873 -88 -5839 88
+rect -5777 -88 -5743 88
+rect -5681 -88 -5647 88
+rect -5585 -88 -5551 88
+rect -5489 -88 -5455 88
+rect -5393 -88 -5359 88
+rect -5297 -88 -5263 88
+rect -5201 -88 -5167 88
+rect -5105 -88 -5071 88
+rect -5009 -88 -4975 88
+rect -4913 -88 -4879 88
+rect -4817 -88 -4783 88
+rect -4721 -88 -4687 88
+rect -4625 -88 -4591 88
+rect -4529 -88 -4495 88
+rect -4433 -88 -4399 88
+rect -4337 -88 -4303 88
+rect -4241 -88 -4207 88
+rect -4145 -88 -4111 88
+rect -4049 -88 -4015 88
+rect -3953 -88 -3919 88
+rect -3857 -88 -3823 88
+rect -3761 -88 -3727 88
+rect -3665 -88 -3631 88
+rect -3569 -88 -3535 88
+rect -3473 -88 -3439 88
+rect -3377 -88 -3343 88
+rect -3281 -88 -3247 88
+rect -3185 -88 -3151 88
+rect -3089 -88 -3055 88
+rect -2993 -88 -2959 88
+rect -2897 -88 -2863 88
+rect -2801 -88 -2767 88
+rect -2705 -88 -2671 88
+rect -2609 -88 -2575 88
+rect -2513 -88 -2479 88
+rect -2417 -88 -2383 88
+rect -2321 -88 -2287 88
+rect -2225 -88 -2191 88
+rect -2129 -88 -2095 88
+rect -2033 -88 -1999 88
+rect -1937 -88 -1903 88
+rect -1841 -88 -1807 88
+rect -1745 -88 -1711 88
+rect -1649 -88 -1615 88
+rect -1553 -88 -1519 88
+rect -1457 -88 -1423 88
+rect -1361 -88 -1327 88
+rect -1265 -88 -1231 88
+rect -1169 -88 -1135 88
+rect -1073 -88 -1039 88
+rect -977 -88 -943 88
+rect -881 -88 -847 88
+rect -785 -88 -751 88
+rect -689 -88 -655 88
+rect -593 -88 -559 88
+rect -497 -88 -463 88
+rect -401 -88 -367 88
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+rect 367 -88 401 88
+rect 463 -88 497 88
+rect 559 -88 593 88
+rect 655 -88 689 88
+rect 751 -88 785 88
+rect 847 -88 881 88
+rect 943 -88 977 88
+rect 1039 -88 1073 88
+rect 1135 -88 1169 88
+rect 1231 -88 1265 88
+rect 1327 -88 1361 88
+rect 1423 -88 1457 88
+rect 1519 -88 1553 88
+rect 1615 -88 1649 88
+rect 1711 -88 1745 88
+rect 1807 -88 1841 88
+rect 1903 -88 1937 88
+rect 1999 -88 2033 88
+rect 2095 -88 2129 88
+rect 2191 -88 2225 88
+rect 2287 -88 2321 88
+rect 2383 -88 2417 88
+rect 2479 -88 2513 88
+rect 2575 -88 2609 88
+rect 2671 -88 2705 88
+rect 2767 -88 2801 88
+rect 2863 -88 2897 88
+rect 2959 -88 2993 88
+rect 3055 -88 3089 88
+rect 3151 -88 3185 88
+rect 3247 -88 3281 88
+rect 3343 -88 3377 88
+rect 3439 -88 3473 88
+rect 3535 -88 3569 88
+rect 3631 -88 3665 88
+rect 3727 -88 3761 88
+rect 3823 -88 3857 88
+rect 3919 -88 3953 88
+rect 4015 -88 4049 88
+rect 4111 -88 4145 88
+rect 4207 -88 4241 88
+rect 4303 -88 4337 88
+rect 4399 -88 4433 88
+rect 4495 -88 4529 88
+rect 4591 -88 4625 88
+rect 4687 -88 4721 88
+rect 4783 -88 4817 88
+rect 4879 -88 4913 88
+rect 4975 -88 5009 88
+rect 5071 -88 5105 88
+rect 5167 -88 5201 88
+rect 5263 -88 5297 88
+rect 5359 -88 5393 88
+rect 5455 -88 5489 88
+rect 5551 -88 5585 88
+rect 5647 -88 5681 88
+rect 5743 -88 5777 88
+rect 5839 -88 5873 88
+rect 5935 -88 5969 88
+rect 6031 -88 6065 88
+rect 6127 -88 6161 88
+rect 6223 -88 6257 88
+rect 6319 -88 6353 88
+rect 6415 -88 6449 88
+rect 6511 -88 6545 88
+rect 6607 -88 6641 88
+rect 6703 -88 6737 88
+rect 6799 -88 6833 88
+rect 6895 -88 6929 88
+rect 6991 -88 7025 88
+rect 7087 -88 7121 88
+rect 7183 -88 7217 88
+rect 7279 -88 7313 88
+rect 7375 -88 7409 88
+rect 7471 -88 7505 88
+rect 7567 -88 7601 88
+rect 7663 -88 7697 88
+rect 7759 -88 7793 88
+rect 7855 -88 7889 88
+rect 7951 -88 7985 88
+rect 8047 -88 8081 88
+rect 8143 -88 8177 88
+rect 8239 -88 8273 88
+<< psubdiff >>
+rect -8387 240 -8291 274
+rect 8291 240 8387 274
+rect -8387 178 -8353 240
+rect 8353 178 8387 240
+rect -8387 -240 -8353 -178
+rect 8353 -240 8387 -178
+rect -8387 -274 -8291 -240
+rect 8291 -274 8387 -240
+<< psubdiffcont >>
+rect -8291 240 8291 274
+rect -8387 -178 -8353 178
+rect 8353 -178 8387 178
+rect -8291 -274 8291 -240
+<< poly >>
+rect -8145 172 -8079 188
+rect -8145 138 -8129 172
+rect -8095 138 -8079 172
+rect -8223 100 -8193 126
+rect -8145 122 -8079 138
+rect -7953 172 -7887 188
+rect -7953 138 -7937 172
+rect -7903 138 -7887 172
+rect -8127 100 -8097 122
+rect -8031 100 -8001 126
+rect -7953 122 -7887 138
+rect -7761 172 -7695 188
+rect -7761 138 -7745 172
+rect -7711 138 -7695 172
+rect -7935 100 -7905 122
+rect -7839 100 -7809 126
+rect -7761 122 -7695 138
+rect -7569 172 -7503 188
+rect -7569 138 -7553 172
+rect -7519 138 -7503 172
+rect -7743 100 -7713 122
+rect -7647 100 -7617 126
+rect -7569 122 -7503 138
+rect -7377 172 -7311 188
+rect -7377 138 -7361 172
+rect -7327 138 -7311 172
+rect -7551 100 -7521 122
+rect -7455 100 -7425 126
+rect -7377 122 -7311 138
+rect -7185 172 -7119 188
+rect -7185 138 -7169 172
+rect -7135 138 -7119 172
+rect -7359 100 -7329 122
+rect -7263 100 -7233 126
+rect -7185 122 -7119 138
+rect -6993 172 -6927 188
+rect -6993 138 -6977 172
+rect -6943 138 -6927 172
+rect -7167 100 -7137 122
+rect -7071 100 -7041 126
+rect -6993 122 -6927 138
+rect -6801 172 -6735 188
+rect -6801 138 -6785 172
+rect -6751 138 -6735 172
+rect -6975 100 -6945 122
+rect -6879 100 -6849 126
+rect -6801 122 -6735 138
+rect -6609 172 -6543 188
+rect -6609 138 -6593 172
+rect -6559 138 -6543 172
+rect -6783 100 -6753 122
+rect -6687 100 -6657 126
+rect -6609 122 -6543 138
+rect -6417 172 -6351 188
+rect -6417 138 -6401 172
+rect -6367 138 -6351 172
+rect -6591 100 -6561 122
+rect -6495 100 -6465 126
+rect -6417 122 -6351 138
+rect -6225 172 -6159 188
+rect -6225 138 -6209 172
+rect -6175 138 -6159 172
+rect -6399 100 -6369 122
+rect -6303 100 -6273 126
+rect -6225 122 -6159 138
+rect -6033 172 -5967 188
+rect -6033 138 -6017 172
+rect -5983 138 -5967 172
+rect -6207 100 -6177 122
+rect -6111 100 -6081 126
+rect -6033 122 -5967 138
+rect -5841 172 -5775 188
+rect -5841 138 -5825 172
+rect -5791 138 -5775 172
+rect -6015 100 -5985 122
+rect -5919 100 -5889 126
+rect -5841 122 -5775 138
+rect -5649 172 -5583 188
+rect -5649 138 -5633 172
+rect -5599 138 -5583 172
+rect -5823 100 -5793 122
+rect -5727 100 -5697 126
+rect -5649 122 -5583 138
+rect -5457 172 -5391 188
+rect -5457 138 -5441 172
+rect -5407 138 -5391 172
+rect -5631 100 -5601 122
+rect -5535 100 -5505 126
+rect -5457 122 -5391 138
+rect -5265 172 -5199 188
+rect -5265 138 -5249 172
+rect -5215 138 -5199 172
+rect -5439 100 -5409 122
+rect -5343 100 -5313 126
+rect -5265 122 -5199 138
+rect -5073 172 -5007 188
+rect -5073 138 -5057 172
+rect -5023 138 -5007 172
+rect -5247 100 -5217 122
+rect -5151 100 -5121 126
+rect -5073 122 -5007 138
+rect -4881 172 -4815 188
+rect -4881 138 -4865 172
+rect -4831 138 -4815 172
+rect -5055 100 -5025 122
+rect -4959 100 -4929 126
+rect -4881 122 -4815 138
+rect -4689 172 -4623 188
+rect -4689 138 -4673 172
+rect -4639 138 -4623 172
+rect -4863 100 -4833 122
+rect -4767 100 -4737 126
+rect -4689 122 -4623 138
+rect -4497 172 -4431 188
+rect -4497 138 -4481 172
+rect -4447 138 -4431 172
+rect -4671 100 -4641 122
+rect -4575 100 -4545 126
+rect -4497 122 -4431 138
+rect -4305 172 -4239 188
+rect -4305 138 -4289 172
+rect -4255 138 -4239 172
+rect -4479 100 -4449 122
+rect -4383 100 -4353 126
+rect -4305 122 -4239 138
+rect -4113 172 -4047 188
+rect -4113 138 -4097 172
+rect -4063 138 -4047 172
+rect -4287 100 -4257 122
+rect -4191 100 -4161 126
+rect -4113 122 -4047 138
+rect -3921 172 -3855 188
+rect -3921 138 -3905 172
+rect -3871 138 -3855 172
+rect -4095 100 -4065 122
+rect -3999 100 -3969 126
+rect -3921 122 -3855 138
+rect -3729 172 -3663 188
+rect -3729 138 -3713 172
+rect -3679 138 -3663 172
+rect -3903 100 -3873 122
+rect -3807 100 -3777 126
+rect -3729 122 -3663 138
+rect -3537 172 -3471 188
+rect -3537 138 -3521 172
+rect -3487 138 -3471 172
+rect -3711 100 -3681 122
+rect -3615 100 -3585 126
+rect -3537 122 -3471 138
+rect -3345 172 -3279 188
+rect -3345 138 -3329 172
+rect -3295 138 -3279 172
+rect -3519 100 -3489 122
+rect -3423 100 -3393 126
+rect -3345 122 -3279 138
+rect -3153 172 -3087 188
+rect -3153 138 -3137 172
+rect -3103 138 -3087 172
+rect -3327 100 -3297 122
+rect -3231 100 -3201 126
+rect -3153 122 -3087 138
+rect -2961 172 -2895 188
+rect -2961 138 -2945 172
+rect -2911 138 -2895 172
+rect -3135 100 -3105 122
+rect -3039 100 -3009 126
+rect -2961 122 -2895 138
+rect -2769 172 -2703 188
+rect -2769 138 -2753 172
+rect -2719 138 -2703 172
+rect -2943 100 -2913 122
+rect -2847 100 -2817 126
+rect -2769 122 -2703 138
+rect -2577 172 -2511 188
+rect -2577 138 -2561 172
+rect -2527 138 -2511 172
+rect -2751 100 -2721 122
+rect -2655 100 -2625 126
+rect -2577 122 -2511 138
+rect -2385 172 -2319 188
+rect -2385 138 -2369 172
+rect -2335 138 -2319 172
+rect -2559 100 -2529 122
+rect -2463 100 -2433 126
+rect -2385 122 -2319 138
+rect -2193 172 -2127 188
+rect -2193 138 -2177 172
+rect -2143 138 -2127 172
+rect -2367 100 -2337 122
+rect -2271 100 -2241 126
+rect -2193 122 -2127 138
+rect -2001 172 -1935 188
+rect -2001 138 -1985 172
+rect -1951 138 -1935 172
+rect -2175 100 -2145 122
+rect -2079 100 -2049 126
+rect -2001 122 -1935 138
+rect -1809 172 -1743 188
+rect -1809 138 -1793 172
+rect -1759 138 -1743 172
+rect -1983 100 -1953 122
+rect -1887 100 -1857 126
+rect -1809 122 -1743 138
+rect -1617 172 -1551 188
+rect -1617 138 -1601 172
+rect -1567 138 -1551 172
+rect -1791 100 -1761 122
+rect -1695 100 -1665 126
+rect -1617 122 -1551 138
+rect -1425 172 -1359 188
+rect -1425 138 -1409 172
+rect -1375 138 -1359 172
+rect -1599 100 -1569 122
+rect -1503 100 -1473 126
+rect -1425 122 -1359 138
+rect -1233 172 -1167 188
+rect -1233 138 -1217 172
+rect -1183 138 -1167 172
+rect -1407 100 -1377 122
+rect -1311 100 -1281 126
+rect -1233 122 -1167 138
+rect -1041 172 -975 188
+rect -1041 138 -1025 172
+rect -991 138 -975 172
+rect -1215 100 -1185 122
+rect -1119 100 -1089 126
+rect -1041 122 -975 138
+rect -849 172 -783 188
+rect -849 138 -833 172
+rect -799 138 -783 172
+rect -1023 100 -993 122
+rect -927 100 -897 126
+rect -849 122 -783 138
+rect -657 172 -591 188
+rect -657 138 -641 172
+rect -607 138 -591 172
+rect -831 100 -801 122
+rect -735 100 -705 126
+rect -657 122 -591 138
+rect -465 172 -399 188
+rect -465 138 -449 172
+rect -415 138 -399 172
+rect -639 100 -609 122
+rect -543 100 -513 126
+rect -465 122 -399 138
+rect -273 172 -207 188
+rect -273 138 -257 172
+rect -223 138 -207 172
+rect -447 100 -417 122
+rect -351 100 -321 126
+rect -273 122 -207 138
+rect -81 172 -15 188
+rect -81 138 -65 172
+rect -31 138 -15 172
+rect -255 100 -225 122
+rect -159 100 -129 126
+rect -81 122 -15 138
+rect 111 172 177 188
+rect 111 138 127 172
+rect 161 138 177 172
+rect -63 100 -33 122
+rect 33 100 63 126
+rect 111 122 177 138
+rect 303 172 369 188
+rect 303 138 319 172
+rect 353 138 369 172
+rect 129 100 159 122
+rect 225 100 255 126
+rect 303 122 369 138
+rect 495 172 561 188
+rect 495 138 511 172
+rect 545 138 561 172
+rect 321 100 351 122
+rect 417 100 447 126
+rect 495 122 561 138
+rect 687 172 753 188
+rect 687 138 703 172
+rect 737 138 753 172
+rect 513 100 543 122
+rect 609 100 639 126
+rect 687 122 753 138
+rect 879 172 945 188
+rect 879 138 895 172
+rect 929 138 945 172
+rect 705 100 735 122
+rect 801 100 831 126
+rect 879 122 945 138
+rect 1071 172 1137 188
+rect 1071 138 1087 172
+rect 1121 138 1137 172
+rect 897 100 927 122
+rect 993 100 1023 126
+rect 1071 122 1137 138
+rect 1263 172 1329 188
+rect 1263 138 1279 172
+rect 1313 138 1329 172
+rect 1089 100 1119 122
+rect 1185 100 1215 126
+rect 1263 122 1329 138
+rect 1455 172 1521 188
+rect 1455 138 1471 172
+rect 1505 138 1521 172
+rect 1281 100 1311 122
+rect 1377 100 1407 126
+rect 1455 122 1521 138
+rect 1647 172 1713 188
+rect 1647 138 1663 172
+rect 1697 138 1713 172
+rect 1473 100 1503 122
+rect 1569 100 1599 126
+rect 1647 122 1713 138
+rect 1839 172 1905 188
+rect 1839 138 1855 172
+rect 1889 138 1905 172
+rect 1665 100 1695 122
+rect 1761 100 1791 126
+rect 1839 122 1905 138
+rect 2031 172 2097 188
+rect 2031 138 2047 172
+rect 2081 138 2097 172
+rect 1857 100 1887 122
+rect 1953 100 1983 126
+rect 2031 122 2097 138
+rect 2223 172 2289 188
+rect 2223 138 2239 172
+rect 2273 138 2289 172
+rect 2049 100 2079 122
+rect 2145 100 2175 126
+rect 2223 122 2289 138
+rect 2415 172 2481 188
+rect 2415 138 2431 172
+rect 2465 138 2481 172
+rect 2241 100 2271 122
+rect 2337 100 2367 126
+rect 2415 122 2481 138
+rect 2607 172 2673 188
+rect 2607 138 2623 172
+rect 2657 138 2673 172
+rect 2433 100 2463 122
+rect 2529 100 2559 126
+rect 2607 122 2673 138
+rect 2799 172 2865 188
+rect 2799 138 2815 172
+rect 2849 138 2865 172
+rect 2625 100 2655 122
+rect 2721 100 2751 126
+rect 2799 122 2865 138
+rect 2991 172 3057 188
+rect 2991 138 3007 172
+rect 3041 138 3057 172
+rect 2817 100 2847 122
+rect 2913 100 2943 126
+rect 2991 122 3057 138
+rect 3183 172 3249 188
+rect 3183 138 3199 172
+rect 3233 138 3249 172
+rect 3009 100 3039 122
+rect 3105 100 3135 126
+rect 3183 122 3249 138
+rect 3375 172 3441 188
+rect 3375 138 3391 172
+rect 3425 138 3441 172
+rect 3201 100 3231 122
+rect 3297 100 3327 126
+rect 3375 122 3441 138
+rect 3567 172 3633 188
+rect 3567 138 3583 172
+rect 3617 138 3633 172
+rect 3393 100 3423 122
+rect 3489 100 3519 126
+rect 3567 122 3633 138
+rect 3759 172 3825 188
+rect 3759 138 3775 172
+rect 3809 138 3825 172
+rect 3585 100 3615 122
+rect 3681 100 3711 126
+rect 3759 122 3825 138
+rect 3951 172 4017 188
+rect 3951 138 3967 172
+rect 4001 138 4017 172
+rect 3777 100 3807 122
+rect 3873 100 3903 126
+rect 3951 122 4017 138
+rect 4143 172 4209 188
+rect 4143 138 4159 172
+rect 4193 138 4209 172
+rect 3969 100 3999 122
+rect 4065 100 4095 126
+rect 4143 122 4209 138
+rect 4335 172 4401 188
+rect 4335 138 4351 172
+rect 4385 138 4401 172
+rect 4161 100 4191 122
+rect 4257 100 4287 126
+rect 4335 122 4401 138
+rect 4527 172 4593 188
+rect 4527 138 4543 172
+rect 4577 138 4593 172
+rect 4353 100 4383 122
+rect 4449 100 4479 126
+rect 4527 122 4593 138
+rect 4719 172 4785 188
+rect 4719 138 4735 172
+rect 4769 138 4785 172
+rect 4545 100 4575 122
+rect 4641 100 4671 126
+rect 4719 122 4785 138
+rect 4911 172 4977 188
+rect 4911 138 4927 172
+rect 4961 138 4977 172
+rect 4737 100 4767 122
+rect 4833 100 4863 126
+rect 4911 122 4977 138
+rect 5103 172 5169 188
+rect 5103 138 5119 172
+rect 5153 138 5169 172
+rect 4929 100 4959 122
+rect 5025 100 5055 126
+rect 5103 122 5169 138
+rect 5295 172 5361 188
+rect 5295 138 5311 172
+rect 5345 138 5361 172
+rect 5121 100 5151 122
+rect 5217 100 5247 126
+rect 5295 122 5361 138
+rect 5487 172 5553 188
+rect 5487 138 5503 172
+rect 5537 138 5553 172
+rect 5313 100 5343 122
+rect 5409 100 5439 126
+rect 5487 122 5553 138
+rect 5679 172 5745 188
+rect 5679 138 5695 172
+rect 5729 138 5745 172
+rect 5505 100 5535 122
+rect 5601 100 5631 126
+rect 5679 122 5745 138
+rect 5871 172 5937 188
+rect 5871 138 5887 172
+rect 5921 138 5937 172
+rect 5697 100 5727 122
+rect 5793 100 5823 126
+rect 5871 122 5937 138
+rect 6063 172 6129 188
+rect 6063 138 6079 172
+rect 6113 138 6129 172
+rect 5889 100 5919 122
+rect 5985 100 6015 126
+rect 6063 122 6129 138
+rect 6255 172 6321 188
+rect 6255 138 6271 172
+rect 6305 138 6321 172
+rect 6081 100 6111 122
+rect 6177 100 6207 126
+rect 6255 122 6321 138
+rect 6447 172 6513 188
+rect 6447 138 6463 172
+rect 6497 138 6513 172
+rect 6273 100 6303 122
+rect 6369 100 6399 126
+rect 6447 122 6513 138
+rect 6639 172 6705 188
+rect 6639 138 6655 172
+rect 6689 138 6705 172
+rect 6465 100 6495 122
+rect 6561 100 6591 126
+rect 6639 122 6705 138
+rect 6831 172 6897 188
+rect 6831 138 6847 172
+rect 6881 138 6897 172
+rect 6657 100 6687 122
+rect 6753 100 6783 126
+rect 6831 122 6897 138
+rect 7023 172 7089 188
+rect 7023 138 7039 172
+rect 7073 138 7089 172
+rect 6849 100 6879 122
+rect 6945 100 6975 126
+rect 7023 122 7089 138
+rect 7215 172 7281 188
+rect 7215 138 7231 172
+rect 7265 138 7281 172
+rect 7041 100 7071 122
+rect 7137 100 7167 126
+rect 7215 122 7281 138
+rect 7407 172 7473 188
+rect 7407 138 7423 172
+rect 7457 138 7473 172
+rect 7233 100 7263 122
+rect 7329 100 7359 126
+rect 7407 122 7473 138
+rect 7599 172 7665 188
+rect 7599 138 7615 172
+rect 7649 138 7665 172
+rect 7425 100 7455 122
+rect 7521 100 7551 126
+rect 7599 122 7665 138
+rect 7791 172 7857 188
+rect 7791 138 7807 172
+rect 7841 138 7857 172
+rect 7617 100 7647 122
+rect 7713 100 7743 126
+rect 7791 122 7857 138
+rect 7983 172 8049 188
+rect 7983 138 7999 172
+rect 8033 138 8049 172
+rect 7809 100 7839 122
+rect 7905 100 7935 126
+rect 7983 122 8049 138
+rect 8175 172 8241 188
+rect 8175 138 8191 172
+rect 8225 138 8241 172
+rect 8001 100 8031 122
+rect 8097 100 8127 126
+rect 8175 122 8241 138
+rect 8193 100 8223 122
+rect -8223 -122 -8193 -100
+rect -8241 -138 -8175 -122
+rect -8127 -126 -8097 -100
+rect -8031 -122 -8001 -100
+rect -8241 -172 -8225 -138
+rect -8191 -172 -8175 -138
+rect -8241 -188 -8175 -172
+rect -8049 -138 -7983 -122
+rect -7935 -126 -7905 -100
+rect -7839 -122 -7809 -100
+rect -8049 -172 -8033 -138
+rect -7999 -172 -7983 -138
+rect -8049 -188 -7983 -172
+rect -7857 -138 -7791 -122
+rect -7743 -126 -7713 -100
+rect -7647 -122 -7617 -100
+rect -7857 -172 -7841 -138
+rect -7807 -172 -7791 -138
+rect -7857 -188 -7791 -172
+rect -7665 -138 -7599 -122
+rect -7551 -126 -7521 -100
+rect -7455 -122 -7425 -100
+rect -7665 -172 -7649 -138
+rect -7615 -172 -7599 -138
+rect -7665 -188 -7599 -172
+rect -7473 -138 -7407 -122
+rect -7359 -126 -7329 -100
+rect -7263 -122 -7233 -100
+rect -7473 -172 -7457 -138
+rect -7423 -172 -7407 -138
+rect -7473 -188 -7407 -172
+rect -7281 -138 -7215 -122
+rect -7167 -126 -7137 -100
+rect -7071 -122 -7041 -100
+rect -7281 -172 -7265 -138
+rect -7231 -172 -7215 -138
+rect -7281 -188 -7215 -172
+rect -7089 -138 -7023 -122
+rect -6975 -126 -6945 -100
+rect -6879 -122 -6849 -100
+rect -7089 -172 -7073 -138
+rect -7039 -172 -7023 -138
+rect -7089 -188 -7023 -172
+rect -6897 -138 -6831 -122
+rect -6783 -126 -6753 -100
+rect -6687 -122 -6657 -100
+rect -6897 -172 -6881 -138
+rect -6847 -172 -6831 -138
+rect -6897 -188 -6831 -172
+rect -6705 -138 -6639 -122
+rect -6591 -126 -6561 -100
+rect -6495 -122 -6465 -100
+rect -6705 -172 -6689 -138
+rect -6655 -172 -6639 -138
+rect -6705 -188 -6639 -172
+rect -6513 -138 -6447 -122
+rect -6399 -126 -6369 -100
+rect -6303 -122 -6273 -100
+rect -6513 -172 -6497 -138
+rect -6463 -172 -6447 -138
+rect -6513 -188 -6447 -172
+rect -6321 -138 -6255 -122
+rect -6207 -126 -6177 -100
+rect -6111 -122 -6081 -100
+rect -6321 -172 -6305 -138
+rect -6271 -172 -6255 -138
+rect -6321 -188 -6255 -172
+rect -6129 -138 -6063 -122
+rect -6015 -126 -5985 -100
+rect -5919 -122 -5889 -100
+rect -6129 -172 -6113 -138
+rect -6079 -172 -6063 -138
+rect -6129 -188 -6063 -172
+rect -5937 -138 -5871 -122
+rect -5823 -126 -5793 -100
+rect -5727 -122 -5697 -100
+rect -5937 -172 -5921 -138
+rect -5887 -172 -5871 -138
+rect -5937 -188 -5871 -172
+rect -5745 -138 -5679 -122
+rect -5631 -126 -5601 -100
+rect -5535 -122 -5505 -100
+rect -5745 -172 -5729 -138
+rect -5695 -172 -5679 -138
+rect -5745 -188 -5679 -172
+rect -5553 -138 -5487 -122
+rect -5439 -126 -5409 -100
+rect -5343 -122 -5313 -100
+rect -5553 -172 -5537 -138
+rect -5503 -172 -5487 -138
+rect -5553 -188 -5487 -172
+rect -5361 -138 -5295 -122
+rect -5247 -126 -5217 -100
+rect -5151 -122 -5121 -100
+rect -5361 -172 -5345 -138
+rect -5311 -172 -5295 -138
+rect -5361 -188 -5295 -172
+rect -5169 -138 -5103 -122
+rect -5055 -126 -5025 -100
+rect -4959 -122 -4929 -100
+rect -5169 -172 -5153 -138
+rect -5119 -172 -5103 -138
+rect -5169 -188 -5103 -172
+rect -4977 -138 -4911 -122
+rect -4863 -126 -4833 -100
+rect -4767 -122 -4737 -100
+rect -4977 -172 -4961 -138
+rect -4927 -172 -4911 -138
+rect -4977 -188 -4911 -172
+rect -4785 -138 -4719 -122
+rect -4671 -126 -4641 -100
+rect -4575 -122 -4545 -100
+rect -4785 -172 -4769 -138
+rect -4735 -172 -4719 -138
+rect -4785 -188 -4719 -172
+rect -4593 -138 -4527 -122
+rect -4479 -126 -4449 -100
+rect -4383 -122 -4353 -100
+rect -4593 -172 -4577 -138
+rect -4543 -172 -4527 -138
+rect -4593 -188 -4527 -172
+rect -4401 -138 -4335 -122
+rect -4287 -126 -4257 -100
+rect -4191 -122 -4161 -100
+rect -4401 -172 -4385 -138
+rect -4351 -172 -4335 -138
+rect -4401 -188 -4335 -172
+rect -4209 -138 -4143 -122
+rect -4095 -126 -4065 -100
+rect -3999 -122 -3969 -100
+rect -4209 -172 -4193 -138
+rect -4159 -172 -4143 -138
+rect -4209 -188 -4143 -172
+rect -4017 -138 -3951 -122
+rect -3903 -126 -3873 -100
+rect -3807 -122 -3777 -100
+rect -4017 -172 -4001 -138
+rect -3967 -172 -3951 -138
+rect -4017 -188 -3951 -172
+rect -3825 -138 -3759 -122
+rect -3711 -126 -3681 -100
+rect -3615 -122 -3585 -100
+rect -3825 -172 -3809 -138
+rect -3775 -172 -3759 -138
+rect -3825 -188 -3759 -172
+rect -3633 -138 -3567 -122
+rect -3519 -126 -3489 -100
+rect -3423 -122 -3393 -100
+rect -3633 -172 -3617 -138
+rect -3583 -172 -3567 -138
+rect -3633 -188 -3567 -172
+rect -3441 -138 -3375 -122
+rect -3327 -126 -3297 -100
+rect -3231 -122 -3201 -100
+rect -3441 -172 -3425 -138
+rect -3391 -172 -3375 -138
+rect -3441 -188 -3375 -172
+rect -3249 -138 -3183 -122
+rect -3135 -126 -3105 -100
+rect -3039 -122 -3009 -100
+rect -3249 -172 -3233 -138
+rect -3199 -172 -3183 -138
+rect -3249 -188 -3183 -172
+rect -3057 -138 -2991 -122
+rect -2943 -126 -2913 -100
+rect -2847 -122 -2817 -100
+rect -3057 -172 -3041 -138
+rect -3007 -172 -2991 -138
+rect -3057 -188 -2991 -172
+rect -2865 -138 -2799 -122
+rect -2751 -126 -2721 -100
+rect -2655 -122 -2625 -100
+rect -2865 -172 -2849 -138
+rect -2815 -172 -2799 -138
+rect -2865 -188 -2799 -172
+rect -2673 -138 -2607 -122
+rect -2559 -126 -2529 -100
+rect -2463 -122 -2433 -100
+rect -2673 -172 -2657 -138
+rect -2623 -172 -2607 -138
+rect -2673 -188 -2607 -172
+rect -2481 -138 -2415 -122
+rect -2367 -126 -2337 -100
+rect -2271 -122 -2241 -100
+rect -2481 -172 -2465 -138
+rect -2431 -172 -2415 -138
+rect -2481 -188 -2415 -172
+rect -2289 -138 -2223 -122
+rect -2175 -126 -2145 -100
+rect -2079 -122 -2049 -100
+rect -2289 -172 -2273 -138
+rect -2239 -172 -2223 -138
+rect -2289 -188 -2223 -172
+rect -2097 -138 -2031 -122
+rect -1983 -126 -1953 -100
+rect -1887 -122 -1857 -100
+rect -2097 -172 -2081 -138
+rect -2047 -172 -2031 -138
+rect -2097 -188 -2031 -172
+rect -1905 -138 -1839 -122
+rect -1791 -126 -1761 -100
+rect -1695 -122 -1665 -100
+rect -1905 -172 -1889 -138
+rect -1855 -172 -1839 -138
+rect -1905 -188 -1839 -172
+rect -1713 -138 -1647 -122
+rect -1599 -126 -1569 -100
+rect -1503 -122 -1473 -100
+rect -1713 -172 -1697 -138
+rect -1663 -172 -1647 -138
+rect -1713 -188 -1647 -172
+rect -1521 -138 -1455 -122
+rect -1407 -126 -1377 -100
+rect -1311 -122 -1281 -100
+rect -1521 -172 -1505 -138
+rect -1471 -172 -1455 -138
+rect -1521 -188 -1455 -172
+rect -1329 -138 -1263 -122
+rect -1215 -126 -1185 -100
+rect -1119 -122 -1089 -100
+rect -1329 -172 -1313 -138
+rect -1279 -172 -1263 -138
+rect -1329 -188 -1263 -172
+rect -1137 -138 -1071 -122
+rect -1023 -126 -993 -100
+rect -927 -122 -897 -100
+rect -1137 -172 -1121 -138
+rect -1087 -172 -1071 -138
+rect -1137 -188 -1071 -172
+rect -945 -138 -879 -122
+rect -831 -126 -801 -100
+rect -735 -122 -705 -100
+rect -945 -172 -929 -138
+rect -895 -172 -879 -138
+rect -945 -188 -879 -172
+rect -753 -138 -687 -122
+rect -639 -126 -609 -100
+rect -543 -122 -513 -100
+rect -753 -172 -737 -138
+rect -703 -172 -687 -138
+rect -753 -188 -687 -172
+rect -561 -138 -495 -122
+rect -447 -126 -417 -100
+rect -351 -122 -321 -100
+rect -561 -172 -545 -138
+rect -511 -172 -495 -138
+rect -561 -188 -495 -172
+rect -369 -138 -303 -122
+rect -255 -126 -225 -100
+rect -159 -122 -129 -100
+rect -369 -172 -353 -138
+rect -319 -172 -303 -138
+rect -369 -188 -303 -172
+rect -177 -138 -111 -122
+rect -63 -126 -33 -100
+rect 33 -122 63 -100
+rect -177 -172 -161 -138
+rect -127 -172 -111 -138
+rect -177 -188 -111 -172
+rect 15 -138 81 -122
+rect 129 -126 159 -100
+rect 225 -122 255 -100
+rect 15 -172 31 -138
+rect 65 -172 81 -138
+rect 15 -188 81 -172
+rect 207 -138 273 -122
+rect 321 -126 351 -100
+rect 417 -122 447 -100
+rect 207 -172 223 -138
+rect 257 -172 273 -138
+rect 207 -188 273 -172
+rect 399 -138 465 -122
+rect 513 -126 543 -100
+rect 609 -122 639 -100
+rect 399 -172 415 -138
+rect 449 -172 465 -138
+rect 399 -188 465 -172
+rect 591 -138 657 -122
+rect 705 -126 735 -100
+rect 801 -122 831 -100
+rect 591 -172 607 -138
+rect 641 -172 657 -138
+rect 591 -188 657 -172
+rect 783 -138 849 -122
+rect 897 -126 927 -100
+rect 993 -122 1023 -100
+rect 783 -172 799 -138
+rect 833 -172 849 -138
+rect 783 -188 849 -172
+rect 975 -138 1041 -122
+rect 1089 -126 1119 -100
+rect 1185 -122 1215 -100
+rect 975 -172 991 -138
+rect 1025 -172 1041 -138
+rect 975 -188 1041 -172
+rect 1167 -138 1233 -122
+rect 1281 -126 1311 -100
+rect 1377 -122 1407 -100
+rect 1167 -172 1183 -138
+rect 1217 -172 1233 -138
+rect 1167 -188 1233 -172
+rect 1359 -138 1425 -122
+rect 1473 -126 1503 -100
+rect 1569 -122 1599 -100
+rect 1359 -172 1375 -138
+rect 1409 -172 1425 -138
+rect 1359 -188 1425 -172
+rect 1551 -138 1617 -122
+rect 1665 -126 1695 -100
+rect 1761 -122 1791 -100
+rect 1551 -172 1567 -138
+rect 1601 -172 1617 -138
+rect 1551 -188 1617 -172
+rect 1743 -138 1809 -122
+rect 1857 -126 1887 -100
+rect 1953 -122 1983 -100
+rect 1743 -172 1759 -138
+rect 1793 -172 1809 -138
+rect 1743 -188 1809 -172
+rect 1935 -138 2001 -122
+rect 2049 -126 2079 -100
+rect 2145 -122 2175 -100
+rect 1935 -172 1951 -138
+rect 1985 -172 2001 -138
+rect 1935 -188 2001 -172
+rect 2127 -138 2193 -122
+rect 2241 -126 2271 -100
+rect 2337 -122 2367 -100
+rect 2127 -172 2143 -138
+rect 2177 -172 2193 -138
+rect 2127 -188 2193 -172
+rect 2319 -138 2385 -122
+rect 2433 -126 2463 -100
+rect 2529 -122 2559 -100
+rect 2319 -172 2335 -138
+rect 2369 -172 2385 -138
+rect 2319 -188 2385 -172
+rect 2511 -138 2577 -122
+rect 2625 -126 2655 -100
+rect 2721 -122 2751 -100
+rect 2511 -172 2527 -138
+rect 2561 -172 2577 -138
+rect 2511 -188 2577 -172
+rect 2703 -138 2769 -122
+rect 2817 -126 2847 -100
+rect 2913 -122 2943 -100
+rect 2703 -172 2719 -138
+rect 2753 -172 2769 -138
+rect 2703 -188 2769 -172
+rect 2895 -138 2961 -122
+rect 3009 -126 3039 -100
+rect 3105 -122 3135 -100
+rect 2895 -172 2911 -138
+rect 2945 -172 2961 -138
+rect 2895 -188 2961 -172
+rect 3087 -138 3153 -122
+rect 3201 -126 3231 -100
+rect 3297 -122 3327 -100
+rect 3087 -172 3103 -138
+rect 3137 -172 3153 -138
+rect 3087 -188 3153 -172
+rect 3279 -138 3345 -122
+rect 3393 -126 3423 -100
+rect 3489 -122 3519 -100
+rect 3279 -172 3295 -138
+rect 3329 -172 3345 -138
+rect 3279 -188 3345 -172
+rect 3471 -138 3537 -122
+rect 3585 -126 3615 -100
+rect 3681 -122 3711 -100
+rect 3471 -172 3487 -138
+rect 3521 -172 3537 -138
+rect 3471 -188 3537 -172
+rect 3663 -138 3729 -122
+rect 3777 -126 3807 -100
+rect 3873 -122 3903 -100
+rect 3663 -172 3679 -138
+rect 3713 -172 3729 -138
+rect 3663 -188 3729 -172
+rect 3855 -138 3921 -122
+rect 3969 -126 3999 -100
+rect 4065 -122 4095 -100
+rect 3855 -172 3871 -138
+rect 3905 -172 3921 -138
+rect 3855 -188 3921 -172
+rect 4047 -138 4113 -122
+rect 4161 -126 4191 -100
+rect 4257 -122 4287 -100
+rect 4047 -172 4063 -138
+rect 4097 -172 4113 -138
+rect 4047 -188 4113 -172
+rect 4239 -138 4305 -122
+rect 4353 -126 4383 -100
+rect 4449 -122 4479 -100
+rect 4239 -172 4255 -138
+rect 4289 -172 4305 -138
+rect 4239 -188 4305 -172
+rect 4431 -138 4497 -122
+rect 4545 -126 4575 -100
+rect 4641 -122 4671 -100
+rect 4431 -172 4447 -138
+rect 4481 -172 4497 -138
+rect 4431 -188 4497 -172
+rect 4623 -138 4689 -122
+rect 4737 -126 4767 -100
+rect 4833 -122 4863 -100
+rect 4623 -172 4639 -138
+rect 4673 -172 4689 -138
+rect 4623 -188 4689 -172
+rect 4815 -138 4881 -122
+rect 4929 -126 4959 -100
+rect 5025 -122 5055 -100
+rect 4815 -172 4831 -138
+rect 4865 -172 4881 -138
+rect 4815 -188 4881 -172
+rect 5007 -138 5073 -122
+rect 5121 -126 5151 -100
+rect 5217 -122 5247 -100
+rect 5007 -172 5023 -138
+rect 5057 -172 5073 -138
+rect 5007 -188 5073 -172
+rect 5199 -138 5265 -122
+rect 5313 -126 5343 -100
+rect 5409 -122 5439 -100
+rect 5199 -172 5215 -138
+rect 5249 -172 5265 -138
+rect 5199 -188 5265 -172
+rect 5391 -138 5457 -122
+rect 5505 -126 5535 -100
+rect 5601 -122 5631 -100
+rect 5391 -172 5407 -138
+rect 5441 -172 5457 -138
+rect 5391 -188 5457 -172
+rect 5583 -138 5649 -122
+rect 5697 -126 5727 -100
+rect 5793 -122 5823 -100
+rect 5583 -172 5599 -138
+rect 5633 -172 5649 -138
+rect 5583 -188 5649 -172
+rect 5775 -138 5841 -122
+rect 5889 -126 5919 -100
+rect 5985 -122 6015 -100
+rect 5775 -172 5791 -138
+rect 5825 -172 5841 -138
+rect 5775 -188 5841 -172
+rect 5967 -138 6033 -122
+rect 6081 -126 6111 -100
+rect 6177 -122 6207 -100
+rect 5967 -172 5983 -138
+rect 6017 -172 6033 -138
+rect 5967 -188 6033 -172
+rect 6159 -138 6225 -122
+rect 6273 -126 6303 -100
+rect 6369 -122 6399 -100
+rect 6159 -172 6175 -138
+rect 6209 -172 6225 -138
+rect 6159 -188 6225 -172
+rect 6351 -138 6417 -122
+rect 6465 -126 6495 -100
+rect 6561 -122 6591 -100
+rect 6351 -172 6367 -138
+rect 6401 -172 6417 -138
+rect 6351 -188 6417 -172
+rect 6543 -138 6609 -122
+rect 6657 -126 6687 -100
+rect 6753 -122 6783 -100
+rect 6543 -172 6559 -138
+rect 6593 -172 6609 -138
+rect 6543 -188 6609 -172
+rect 6735 -138 6801 -122
+rect 6849 -126 6879 -100
+rect 6945 -122 6975 -100
+rect 6735 -172 6751 -138
+rect 6785 -172 6801 -138
+rect 6735 -188 6801 -172
+rect 6927 -138 6993 -122
+rect 7041 -126 7071 -100
+rect 7137 -122 7167 -100
+rect 6927 -172 6943 -138
+rect 6977 -172 6993 -138
+rect 6927 -188 6993 -172
+rect 7119 -138 7185 -122
+rect 7233 -126 7263 -100
+rect 7329 -122 7359 -100
+rect 7119 -172 7135 -138
+rect 7169 -172 7185 -138
+rect 7119 -188 7185 -172
+rect 7311 -138 7377 -122
+rect 7425 -126 7455 -100
+rect 7521 -122 7551 -100
+rect 7311 -172 7327 -138
+rect 7361 -172 7377 -138
+rect 7311 -188 7377 -172
+rect 7503 -138 7569 -122
+rect 7617 -126 7647 -100
+rect 7713 -122 7743 -100
+rect 7503 -172 7519 -138
+rect 7553 -172 7569 -138
+rect 7503 -188 7569 -172
+rect 7695 -138 7761 -122
+rect 7809 -126 7839 -100
+rect 7905 -122 7935 -100
+rect 7695 -172 7711 -138
+rect 7745 -172 7761 -138
+rect 7695 -188 7761 -172
+rect 7887 -138 7953 -122
+rect 8001 -126 8031 -100
+rect 8097 -122 8127 -100
+rect 7887 -172 7903 -138
+rect 7937 -172 7953 -138
+rect 7887 -188 7953 -172
+rect 8079 -138 8145 -122
+rect 8193 -126 8223 -100
+rect 8079 -172 8095 -138
+rect 8129 -172 8145 -138
+rect 8079 -188 8145 -172
+<< polycont >>
+rect -8129 138 -8095 172
+rect -7937 138 -7903 172
+rect -7745 138 -7711 172
+rect -7553 138 -7519 172
+rect -7361 138 -7327 172
+rect -7169 138 -7135 172
+rect -6977 138 -6943 172
+rect -6785 138 -6751 172
+rect -6593 138 -6559 172
+rect -6401 138 -6367 172
+rect -6209 138 -6175 172
+rect -6017 138 -5983 172
+rect -5825 138 -5791 172
+rect -5633 138 -5599 172
+rect -5441 138 -5407 172
+rect -5249 138 -5215 172
+rect -5057 138 -5023 172
+rect -4865 138 -4831 172
+rect -4673 138 -4639 172
+rect -4481 138 -4447 172
+rect -4289 138 -4255 172
+rect -4097 138 -4063 172
+rect -3905 138 -3871 172
+rect -3713 138 -3679 172
+rect -3521 138 -3487 172
+rect -3329 138 -3295 172
+rect -3137 138 -3103 172
+rect -2945 138 -2911 172
+rect -2753 138 -2719 172
+rect -2561 138 -2527 172
+rect -2369 138 -2335 172
+rect -2177 138 -2143 172
+rect -1985 138 -1951 172
+rect -1793 138 -1759 172
+rect -1601 138 -1567 172
+rect -1409 138 -1375 172
+rect -1217 138 -1183 172
+rect -1025 138 -991 172
+rect -833 138 -799 172
+rect -641 138 -607 172
+rect -449 138 -415 172
+rect -257 138 -223 172
+rect -65 138 -31 172
+rect 127 138 161 172
+rect 319 138 353 172
+rect 511 138 545 172
+rect 703 138 737 172
+rect 895 138 929 172
+rect 1087 138 1121 172
+rect 1279 138 1313 172
+rect 1471 138 1505 172
+rect 1663 138 1697 172
+rect 1855 138 1889 172
+rect 2047 138 2081 172
+rect 2239 138 2273 172
+rect 2431 138 2465 172
+rect 2623 138 2657 172
+rect 2815 138 2849 172
+rect 3007 138 3041 172
+rect 3199 138 3233 172
+rect 3391 138 3425 172
+rect 3583 138 3617 172
+rect 3775 138 3809 172
+rect 3967 138 4001 172
+rect 4159 138 4193 172
+rect 4351 138 4385 172
+rect 4543 138 4577 172
+rect 4735 138 4769 172
+rect 4927 138 4961 172
+rect 5119 138 5153 172
+rect 5311 138 5345 172
+rect 5503 138 5537 172
+rect 5695 138 5729 172
+rect 5887 138 5921 172
+rect 6079 138 6113 172
+rect 6271 138 6305 172
+rect 6463 138 6497 172
+rect 6655 138 6689 172
+rect 6847 138 6881 172
+rect 7039 138 7073 172
+rect 7231 138 7265 172
+rect 7423 138 7457 172
+rect 7615 138 7649 172
+rect 7807 138 7841 172
+rect 7999 138 8033 172
+rect 8191 138 8225 172
+rect -8225 -172 -8191 -138
+rect -8033 -172 -7999 -138
+rect -7841 -172 -7807 -138
+rect -7649 -172 -7615 -138
+rect -7457 -172 -7423 -138
+rect -7265 -172 -7231 -138
+rect -7073 -172 -7039 -138
+rect -6881 -172 -6847 -138
+rect -6689 -172 -6655 -138
+rect -6497 -172 -6463 -138
+rect -6305 -172 -6271 -138
+rect -6113 -172 -6079 -138
+rect -5921 -172 -5887 -138
+rect -5729 -172 -5695 -138
+rect -5537 -172 -5503 -138
+rect -5345 -172 -5311 -138
+rect -5153 -172 -5119 -138
+rect -4961 -172 -4927 -138
+rect -4769 -172 -4735 -138
+rect -4577 -172 -4543 -138
+rect -4385 -172 -4351 -138
+rect -4193 -172 -4159 -138
+rect -4001 -172 -3967 -138
+rect -3809 -172 -3775 -138
+rect -3617 -172 -3583 -138
+rect -3425 -172 -3391 -138
+rect -3233 -172 -3199 -138
+rect -3041 -172 -3007 -138
+rect -2849 -172 -2815 -138
+rect -2657 -172 -2623 -138
+rect -2465 -172 -2431 -138
+rect -2273 -172 -2239 -138
+rect -2081 -172 -2047 -138
+rect -1889 -172 -1855 -138
+rect -1697 -172 -1663 -138
+rect -1505 -172 -1471 -138
+rect -1313 -172 -1279 -138
+rect -1121 -172 -1087 -138
+rect -929 -172 -895 -138
+rect -737 -172 -703 -138
+rect -545 -172 -511 -138
+rect -353 -172 -319 -138
+rect -161 -172 -127 -138
+rect 31 -172 65 -138
+rect 223 -172 257 -138
+rect 415 -172 449 -138
+rect 607 -172 641 -138
+rect 799 -172 833 -138
+rect 991 -172 1025 -138
+rect 1183 -172 1217 -138
+rect 1375 -172 1409 -138
+rect 1567 -172 1601 -138
+rect 1759 -172 1793 -138
+rect 1951 -172 1985 -138
+rect 2143 -172 2177 -138
+rect 2335 -172 2369 -138
+rect 2527 -172 2561 -138
+rect 2719 -172 2753 -138
+rect 2911 -172 2945 -138
+rect 3103 -172 3137 -138
+rect 3295 -172 3329 -138
+rect 3487 -172 3521 -138
+rect 3679 -172 3713 -138
+rect 3871 -172 3905 -138
+rect 4063 -172 4097 -138
+rect 4255 -172 4289 -138
+rect 4447 -172 4481 -138
+rect 4639 -172 4673 -138
+rect 4831 -172 4865 -138
+rect 5023 -172 5057 -138
+rect 5215 -172 5249 -138
+rect 5407 -172 5441 -138
+rect 5599 -172 5633 -138
+rect 5791 -172 5825 -138
+rect 5983 -172 6017 -138
+rect 6175 -172 6209 -138
+rect 6367 -172 6401 -138
+rect 6559 -172 6593 -138
+rect 6751 -172 6785 -138
+rect 6943 -172 6977 -138
+rect 7135 -172 7169 -138
+rect 7327 -172 7361 -138
+rect 7519 -172 7553 -138
+rect 7711 -172 7745 -138
+rect 7903 -172 7937 -138
+rect 8095 -172 8129 -138
+<< locali >>
+rect -8387 240 -8291 274
+rect 8291 240 8387 274
+rect -8387 178 -8353 240
+rect 8353 178 8387 240
+rect -8145 138 -8129 172
+rect -8095 138 -8079 172
+rect -7953 138 -7937 172
+rect -7903 138 -7887 172
+rect -7761 138 -7745 172
+rect -7711 138 -7695 172
+rect -7569 138 -7553 172
+rect -7519 138 -7503 172
+rect -7377 138 -7361 172
+rect -7327 138 -7311 172
+rect -7185 138 -7169 172
+rect -7135 138 -7119 172
+rect -6993 138 -6977 172
+rect -6943 138 -6927 172
+rect -6801 138 -6785 172
+rect -6751 138 -6735 172
+rect -6609 138 -6593 172
+rect -6559 138 -6543 172
+rect -6417 138 -6401 172
+rect -6367 138 -6351 172
+rect -6225 138 -6209 172
+rect -6175 138 -6159 172
+rect -6033 138 -6017 172
+rect -5983 138 -5967 172
+rect -5841 138 -5825 172
+rect -5791 138 -5775 172
+rect -5649 138 -5633 172
+rect -5599 138 -5583 172
+rect -5457 138 -5441 172
+rect -5407 138 -5391 172
+rect -5265 138 -5249 172
+rect -5215 138 -5199 172
+rect -5073 138 -5057 172
+rect -5023 138 -5007 172
+rect -4881 138 -4865 172
+rect -4831 138 -4815 172
+rect -4689 138 -4673 172
+rect -4639 138 -4623 172
+rect -4497 138 -4481 172
+rect -4447 138 -4431 172
+rect -4305 138 -4289 172
+rect -4255 138 -4239 172
+rect -4113 138 -4097 172
+rect -4063 138 -4047 172
+rect -3921 138 -3905 172
+rect -3871 138 -3855 172
+rect -3729 138 -3713 172
+rect -3679 138 -3663 172
+rect -3537 138 -3521 172
+rect -3487 138 -3471 172
+rect -3345 138 -3329 172
+rect -3295 138 -3279 172
+rect -3153 138 -3137 172
+rect -3103 138 -3087 172
+rect -2961 138 -2945 172
+rect -2911 138 -2895 172
+rect -2769 138 -2753 172
+rect -2719 138 -2703 172
+rect -2577 138 -2561 172
+rect -2527 138 -2511 172
+rect -2385 138 -2369 172
+rect -2335 138 -2319 172
+rect -2193 138 -2177 172
+rect -2143 138 -2127 172
+rect -2001 138 -1985 172
+rect -1951 138 -1935 172
+rect -1809 138 -1793 172
+rect -1759 138 -1743 172
+rect -1617 138 -1601 172
+rect -1567 138 -1551 172
+rect -1425 138 -1409 172
+rect -1375 138 -1359 172
+rect -1233 138 -1217 172
+rect -1183 138 -1167 172
+rect -1041 138 -1025 172
+rect -991 138 -975 172
+rect -849 138 -833 172
+rect -799 138 -783 172
+rect -657 138 -641 172
+rect -607 138 -591 172
+rect -465 138 -449 172
+rect -415 138 -399 172
+rect -273 138 -257 172
+rect -223 138 -207 172
+rect -81 138 -65 172
+rect -31 138 -15 172
+rect 111 138 127 172
+rect 161 138 177 172
+rect 303 138 319 172
+rect 353 138 369 172
+rect 495 138 511 172
+rect 545 138 561 172
+rect 687 138 703 172
+rect 737 138 753 172
+rect 879 138 895 172
+rect 929 138 945 172
+rect 1071 138 1087 172
+rect 1121 138 1137 172
+rect 1263 138 1279 172
+rect 1313 138 1329 172
+rect 1455 138 1471 172
+rect 1505 138 1521 172
+rect 1647 138 1663 172
+rect 1697 138 1713 172
+rect 1839 138 1855 172
+rect 1889 138 1905 172
+rect 2031 138 2047 172
+rect 2081 138 2097 172
+rect 2223 138 2239 172
+rect 2273 138 2289 172
+rect 2415 138 2431 172
+rect 2465 138 2481 172
+rect 2607 138 2623 172
+rect 2657 138 2673 172
+rect 2799 138 2815 172
+rect 2849 138 2865 172
+rect 2991 138 3007 172
+rect 3041 138 3057 172
+rect 3183 138 3199 172
+rect 3233 138 3249 172
+rect 3375 138 3391 172
+rect 3425 138 3441 172
+rect 3567 138 3583 172
+rect 3617 138 3633 172
+rect 3759 138 3775 172
+rect 3809 138 3825 172
+rect 3951 138 3967 172
+rect 4001 138 4017 172
+rect 4143 138 4159 172
+rect 4193 138 4209 172
+rect 4335 138 4351 172
+rect 4385 138 4401 172
+rect 4527 138 4543 172
+rect 4577 138 4593 172
+rect 4719 138 4735 172
+rect 4769 138 4785 172
+rect 4911 138 4927 172
+rect 4961 138 4977 172
+rect 5103 138 5119 172
+rect 5153 138 5169 172
+rect 5295 138 5311 172
+rect 5345 138 5361 172
+rect 5487 138 5503 172
+rect 5537 138 5553 172
+rect 5679 138 5695 172
+rect 5729 138 5745 172
+rect 5871 138 5887 172
+rect 5921 138 5937 172
+rect 6063 138 6079 172
+rect 6113 138 6129 172
+rect 6255 138 6271 172
+rect 6305 138 6321 172
+rect 6447 138 6463 172
+rect 6497 138 6513 172
+rect 6639 138 6655 172
+rect 6689 138 6705 172
+rect 6831 138 6847 172
+rect 6881 138 6897 172
+rect 7023 138 7039 172
+rect 7073 138 7089 172
+rect 7215 138 7231 172
+rect 7265 138 7281 172
+rect 7407 138 7423 172
+rect 7457 138 7473 172
+rect 7599 138 7615 172
+rect 7649 138 7665 172
+rect 7791 138 7807 172
+rect 7841 138 7857 172
+rect 7983 138 7999 172
+rect 8033 138 8049 172
+rect 8175 138 8191 172
+rect 8225 138 8241 172
+rect -8273 88 -8239 104
+rect -8273 -104 -8239 -88
+rect -8177 88 -8143 104
+rect -8177 -104 -8143 -88
+rect -8081 88 -8047 104
+rect -8081 -104 -8047 -88
+rect -7985 88 -7951 104
+rect -7985 -104 -7951 -88
+rect -7889 88 -7855 104
+rect -7889 -104 -7855 -88
+rect -7793 88 -7759 104
+rect -7793 -104 -7759 -88
+rect -7697 88 -7663 104
+rect -7697 -104 -7663 -88
+rect -7601 88 -7567 104
+rect -7601 -104 -7567 -88
+rect -7505 88 -7471 104
+rect -7505 -104 -7471 -88
+rect -7409 88 -7375 104
+rect -7409 -104 -7375 -88
+rect -7313 88 -7279 104
+rect -7313 -104 -7279 -88
+rect -7217 88 -7183 104
+rect -7217 -104 -7183 -88
+rect -7121 88 -7087 104
+rect -7121 -104 -7087 -88
+rect -7025 88 -6991 104
+rect -7025 -104 -6991 -88
+rect -6929 88 -6895 104
+rect -6929 -104 -6895 -88
+rect -6833 88 -6799 104
+rect -6833 -104 -6799 -88
+rect -6737 88 -6703 104
+rect -6737 -104 -6703 -88
+rect -6641 88 -6607 104
+rect -6641 -104 -6607 -88
+rect -6545 88 -6511 104
+rect -6545 -104 -6511 -88
+rect -6449 88 -6415 104
+rect -6449 -104 -6415 -88
+rect -6353 88 -6319 104
+rect -6353 -104 -6319 -88
+rect -6257 88 -6223 104
+rect -6257 -104 -6223 -88
+rect -6161 88 -6127 104
+rect -6161 -104 -6127 -88
+rect -6065 88 -6031 104
+rect -6065 -104 -6031 -88
+rect -5969 88 -5935 104
+rect -5969 -104 -5935 -88
+rect -5873 88 -5839 104
+rect -5873 -104 -5839 -88
+rect -5777 88 -5743 104
+rect -5777 -104 -5743 -88
+rect -5681 88 -5647 104
+rect -5681 -104 -5647 -88
+rect -5585 88 -5551 104
+rect -5585 -104 -5551 -88
+rect -5489 88 -5455 104
+rect -5489 -104 -5455 -88
+rect -5393 88 -5359 104
+rect -5393 -104 -5359 -88
+rect -5297 88 -5263 104
+rect -5297 -104 -5263 -88
+rect -5201 88 -5167 104
+rect -5201 -104 -5167 -88
+rect -5105 88 -5071 104
+rect -5105 -104 -5071 -88
+rect -5009 88 -4975 104
+rect -5009 -104 -4975 -88
+rect -4913 88 -4879 104
+rect -4913 -104 -4879 -88
+rect -4817 88 -4783 104
+rect -4817 -104 -4783 -88
+rect -4721 88 -4687 104
+rect -4721 -104 -4687 -88
+rect -4625 88 -4591 104
+rect -4625 -104 -4591 -88
+rect -4529 88 -4495 104
+rect -4529 -104 -4495 -88
+rect -4433 88 -4399 104
+rect -4433 -104 -4399 -88
+rect -4337 88 -4303 104
+rect -4337 -104 -4303 -88
+rect -4241 88 -4207 104
+rect -4241 -104 -4207 -88
+rect -4145 88 -4111 104
+rect -4145 -104 -4111 -88
+rect -4049 88 -4015 104
+rect -4049 -104 -4015 -88
+rect -3953 88 -3919 104
+rect -3953 -104 -3919 -88
+rect -3857 88 -3823 104
+rect -3857 -104 -3823 -88
+rect -3761 88 -3727 104
+rect -3761 -104 -3727 -88
+rect -3665 88 -3631 104
+rect -3665 -104 -3631 -88
+rect -3569 88 -3535 104
+rect -3569 -104 -3535 -88
+rect -3473 88 -3439 104
+rect -3473 -104 -3439 -88
+rect -3377 88 -3343 104
+rect -3377 -104 -3343 -88
+rect -3281 88 -3247 104
+rect -3281 -104 -3247 -88
+rect -3185 88 -3151 104
+rect -3185 -104 -3151 -88
+rect -3089 88 -3055 104
+rect -3089 -104 -3055 -88
+rect -2993 88 -2959 104
+rect -2993 -104 -2959 -88
+rect -2897 88 -2863 104
+rect -2897 -104 -2863 -88
+rect -2801 88 -2767 104
+rect -2801 -104 -2767 -88
+rect -2705 88 -2671 104
+rect -2705 -104 -2671 -88
+rect -2609 88 -2575 104
+rect -2609 -104 -2575 -88
+rect -2513 88 -2479 104
+rect -2513 -104 -2479 -88
+rect -2417 88 -2383 104
+rect -2417 -104 -2383 -88
+rect -2321 88 -2287 104
+rect -2321 -104 -2287 -88
+rect -2225 88 -2191 104
+rect -2225 -104 -2191 -88
+rect -2129 88 -2095 104
+rect -2129 -104 -2095 -88
+rect -2033 88 -1999 104
+rect -2033 -104 -1999 -88
+rect -1937 88 -1903 104
+rect -1937 -104 -1903 -88
+rect -1841 88 -1807 104
+rect -1841 -104 -1807 -88
+rect -1745 88 -1711 104
+rect -1745 -104 -1711 -88
+rect -1649 88 -1615 104
+rect -1649 -104 -1615 -88
+rect -1553 88 -1519 104
+rect -1553 -104 -1519 -88
+rect -1457 88 -1423 104
+rect -1457 -104 -1423 -88
+rect -1361 88 -1327 104
+rect -1361 -104 -1327 -88
+rect -1265 88 -1231 104
+rect -1265 -104 -1231 -88
+rect -1169 88 -1135 104
+rect -1169 -104 -1135 -88
+rect -1073 88 -1039 104
+rect -1073 -104 -1039 -88
+rect -977 88 -943 104
+rect -977 -104 -943 -88
+rect -881 88 -847 104
+rect -881 -104 -847 -88
+rect -785 88 -751 104
+rect -785 -104 -751 -88
+rect -689 88 -655 104
+rect -689 -104 -655 -88
+rect -593 88 -559 104
+rect -593 -104 -559 -88
+rect -497 88 -463 104
+rect -497 -104 -463 -88
+rect -401 88 -367 104
+rect -401 -104 -367 -88
+rect -305 88 -271 104
+rect -305 -104 -271 -88
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect 271 88 305 104
+rect 271 -104 305 -88
+rect 367 88 401 104
+rect 367 -104 401 -88
+rect 463 88 497 104
+rect 463 -104 497 -88
+rect 559 88 593 104
+rect 559 -104 593 -88
+rect 655 88 689 104
+rect 655 -104 689 -88
+rect 751 88 785 104
+rect 751 -104 785 -88
+rect 847 88 881 104
+rect 847 -104 881 -88
+rect 943 88 977 104
+rect 943 -104 977 -88
+rect 1039 88 1073 104
+rect 1039 -104 1073 -88
+rect 1135 88 1169 104
+rect 1135 -104 1169 -88
+rect 1231 88 1265 104
+rect 1231 -104 1265 -88
+rect 1327 88 1361 104
+rect 1327 -104 1361 -88
+rect 1423 88 1457 104
+rect 1423 -104 1457 -88
+rect 1519 88 1553 104
+rect 1519 -104 1553 -88
+rect 1615 88 1649 104
+rect 1615 -104 1649 -88
+rect 1711 88 1745 104
+rect 1711 -104 1745 -88
+rect 1807 88 1841 104
+rect 1807 -104 1841 -88
+rect 1903 88 1937 104
+rect 1903 -104 1937 -88
+rect 1999 88 2033 104
+rect 1999 -104 2033 -88
+rect 2095 88 2129 104
+rect 2095 -104 2129 -88
+rect 2191 88 2225 104
+rect 2191 -104 2225 -88
+rect 2287 88 2321 104
+rect 2287 -104 2321 -88
+rect 2383 88 2417 104
+rect 2383 -104 2417 -88
+rect 2479 88 2513 104
+rect 2479 -104 2513 -88
+rect 2575 88 2609 104
+rect 2575 -104 2609 -88
+rect 2671 88 2705 104
+rect 2671 -104 2705 -88
+rect 2767 88 2801 104
+rect 2767 -104 2801 -88
+rect 2863 88 2897 104
+rect 2863 -104 2897 -88
+rect 2959 88 2993 104
+rect 2959 -104 2993 -88
+rect 3055 88 3089 104
+rect 3055 -104 3089 -88
+rect 3151 88 3185 104
+rect 3151 -104 3185 -88
+rect 3247 88 3281 104
+rect 3247 -104 3281 -88
+rect 3343 88 3377 104
+rect 3343 -104 3377 -88
+rect 3439 88 3473 104
+rect 3439 -104 3473 -88
+rect 3535 88 3569 104
+rect 3535 -104 3569 -88
+rect 3631 88 3665 104
+rect 3631 -104 3665 -88
+rect 3727 88 3761 104
+rect 3727 -104 3761 -88
+rect 3823 88 3857 104
+rect 3823 -104 3857 -88
+rect 3919 88 3953 104
+rect 3919 -104 3953 -88
+rect 4015 88 4049 104
+rect 4015 -104 4049 -88
+rect 4111 88 4145 104
+rect 4111 -104 4145 -88
+rect 4207 88 4241 104
+rect 4207 -104 4241 -88
+rect 4303 88 4337 104
+rect 4303 -104 4337 -88
+rect 4399 88 4433 104
+rect 4399 -104 4433 -88
+rect 4495 88 4529 104
+rect 4495 -104 4529 -88
+rect 4591 88 4625 104
+rect 4591 -104 4625 -88
+rect 4687 88 4721 104
+rect 4687 -104 4721 -88
+rect 4783 88 4817 104
+rect 4783 -104 4817 -88
+rect 4879 88 4913 104
+rect 4879 -104 4913 -88
+rect 4975 88 5009 104
+rect 4975 -104 5009 -88
+rect 5071 88 5105 104
+rect 5071 -104 5105 -88
+rect 5167 88 5201 104
+rect 5167 -104 5201 -88
+rect 5263 88 5297 104
+rect 5263 -104 5297 -88
+rect 5359 88 5393 104
+rect 5359 -104 5393 -88
+rect 5455 88 5489 104
+rect 5455 -104 5489 -88
+rect 5551 88 5585 104
+rect 5551 -104 5585 -88
+rect 5647 88 5681 104
+rect 5647 -104 5681 -88
+rect 5743 88 5777 104
+rect 5743 -104 5777 -88
+rect 5839 88 5873 104
+rect 5839 -104 5873 -88
+rect 5935 88 5969 104
+rect 5935 -104 5969 -88
+rect 6031 88 6065 104
+rect 6031 -104 6065 -88
+rect 6127 88 6161 104
+rect 6127 -104 6161 -88
+rect 6223 88 6257 104
+rect 6223 -104 6257 -88
+rect 6319 88 6353 104
+rect 6319 -104 6353 -88
+rect 6415 88 6449 104
+rect 6415 -104 6449 -88
+rect 6511 88 6545 104
+rect 6511 -104 6545 -88
+rect 6607 88 6641 104
+rect 6607 -104 6641 -88
+rect 6703 88 6737 104
+rect 6703 -104 6737 -88
+rect 6799 88 6833 104
+rect 6799 -104 6833 -88
+rect 6895 88 6929 104
+rect 6895 -104 6929 -88
+rect 6991 88 7025 104
+rect 6991 -104 7025 -88
+rect 7087 88 7121 104
+rect 7087 -104 7121 -88
+rect 7183 88 7217 104
+rect 7183 -104 7217 -88
+rect 7279 88 7313 104
+rect 7279 -104 7313 -88
+rect 7375 88 7409 104
+rect 7375 -104 7409 -88
+rect 7471 88 7505 104
+rect 7471 -104 7505 -88
+rect 7567 88 7601 104
+rect 7567 -104 7601 -88
+rect 7663 88 7697 104
+rect 7663 -104 7697 -88
+rect 7759 88 7793 104
+rect 7759 -104 7793 -88
+rect 7855 88 7889 104
+rect 7855 -104 7889 -88
+rect 7951 88 7985 104
+rect 7951 -104 7985 -88
+rect 8047 88 8081 104
+rect 8047 -104 8081 -88
+rect 8143 88 8177 104
+rect 8143 -104 8177 -88
+rect 8239 88 8273 104
+rect 8239 -104 8273 -88
+rect -8241 -172 -8225 -138
+rect -8191 -172 -8175 -138
+rect -8049 -172 -8033 -138
+rect -7999 -172 -7983 -138
+rect -7857 -172 -7841 -138
+rect -7807 -172 -7791 -138
+rect -7665 -172 -7649 -138
+rect -7615 -172 -7599 -138
+rect -7473 -172 -7457 -138
+rect -7423 -172 -7407 -138
+rect -7281 -172 -7265 -138
+rect -7231 -172 -7215 -138
+rect -7089 -172 -7073 -138
+rect -7039 -172 -7023 -138
+rect -6897 -172 -6881 -138
+rect -6847 -172 -6831 -138
+rect -6705 -172 -6689 -138
+rect -6655 -172 -6639 -138
+rect -6513 -172 -6497 -138
+rect -6463 -172 -6447 -138
+rect -6321 -172 -6305 -138
+rect -6271 -172 -6255 -138
+rect -6129 -172 -6113 -138
+rect -6079 -172 -6063 -138
+rect -5937 -172 -5921 -138
+rect -5887 -172 -5871 -138
+rect -5745 -172 -5729 -138
+rect -5695 -172 -5679 -138
+rect -5553 -172 -5537 -138
+rect -5503 -172 -5487 -138
+rect -5361 -172 -5345 -138
+rect -5311 -172 -5295 -138
+rect -5169 -172 -5153 -138
+rect -5119 -172 -5103 -138
+rect -4977 -172 -4961 -138
+rect -4927 -172 -4911 -138
+rect -4785 -172 -4769 -138
+rect -4735 -172 -4719 -138
+rect -4593 -172 -4577 -138
+rect -4543 -172 -4527 -138
+rect -4401 -172 -4385 -138
+rect -4351 -172 -4335 -138
+rect -4209 -172 -4193 -138
+rect -4159 -172 -4143 -138
+rect -4017 -172 -4001 -138
+rect -3967 -172 -3951 -138
+rect -3825 -172 -3809 -138
+rect -3775 -172 -3759 -138
+rect -3633 -172 -3617 -138
+rect -3583 -172 -3567 -138
+rect -3441 -172 -3425 -138
+rect -3391 -172 -3375 -138
+rect -3249 -172 -3233 -138
+rect -3199 -172 -3183 -138
+rect -3057 -172 -3041 -138
+rect -3007 -172 -2991 -138
+rect -2865 -172 -2849 -138
+rect -2815 -172 -2799 -138
+rect -2673 -172 -2657 -138
+rect -2623 -172 -2607 -138
+rect -2481 -172 -2465 -138
+rect -2431 -172 -2415 -138
+rect -2289 -172 -2273 -138
+rect -2239 -172 -2223 -138
+rect -2097 -172 -2081 -138
+rect -2047 -172 -2031 -138
+rect -1905 -172 -1889 -138
+rect -1855 -172 -1839 -138
+rect -1713 -172 -1697 -138
+rect -1663 -172 -1647 -138
+rect -1521 -172 -1505 -138
+rect -1471 -172 -1455 -138
+rect -1329 -172 -1313 -138
+rect -1279 -172 -1263 -138
+rect -1137 -172 -1121 -138
+rect -1087 -172 -1071 -138
+rect -945 -172 -929 -138
+rect -895 -172 -879 -138
+rect -753 -172 -737 -138
+rect -703 -172 -687 -138
+rect -561 -172 -545 -138
+rect -511 -172 -495 -138
+rect -369 -172 -353 -138
+rect -319 -172 -303 -138
+rect -177 -172 -161 -138
+rect -127 -172 -111 -138
+rect 15 -172 31 -138
+rect 65 -172 81 -138
+rect 207 -172 223 -138
+rect 257 -172 273 -138
+rect 399 -172 415 -138
+rect 449 -172 465 -138
+rect 591 -172 607 -138
+rect 641 -172 657 -138
+rect 783 -172 799 -138
+rect 833 -172 849 -138
+rect 975 -172 991 -138
+rect 1025 -172 1041 -138
+rect 1167 -172 1183 -138
+rect 1217 -172 1233 -138
+rect 1359 -172 1375 -138
+rect 1409 -172 1425 -138
+rect 1551 -172 1567 -138
+rect 1601 -172 1617 -138
+rect 1743 -172 1759 -138
+rect 1793 -172 1809 -138
+rect 1935 -172 1951 -138
+rect 1985 -172 2001 -138
+rect 2127 -172 2143 -138
+rect 2177 -172 2193 -138
+rect 2319 -172 2335 -138
+rect 2369 -172 2385 -138
+rect 2511 -172 2527 -138
+rect 2561 -172 2577 -138
+rect 2703 -172 2719 -138
+rect 2753 -172 2769 -138
+rect 2895 -172 2911 -138
+rect 2945 -172 2961 -138
+rect 3087 -172 3103 -138
+rect 3137 -172 3153 -138
+rect 3279 -172 3295 -138
+rect 3329 -172 3345 -138
+rect 3471 -172 3487 -138
+rect 3521 -172 3537 -138
+rect 3663 -172 3679 -138
+rect 3713 -172 3729 -138
+rect 3855 -172 3871 -138
+rect 3905 -172 3921 -138
+rect 4047 -172 4063 -138
+rect 4097 -172 4113 -138
+rect 4239 -172 4255 -138
+rect 4289 -172 4305 -138
+rect 4431 -172 4447 -138
+rect 4481 -172 4497 -138
+rect 4623 -172 4639 -138
+rect 4673 -172 4689 -138
+rect 4815 -172 4831 -138
+rect 4865 -172 4881 -138
+rect 5007 -172 5023 -138
+rect 5057 -172 5073 -138
+rect 5199 -172 5215 -138
+rect 5249 -172 5265 -138
+rect 5391 -172 5407 -138
+rect 5441 -172 5457 -138
+rect 5583 -172 5599 -138
+rect 5633 -172 5649 -138
+rect 5775 -172 5791 -138
+rect 5825 -172 5841 -138
+rect 5967 -172 5983 -138
+rect 6017 -172 6033 -138
+rect 6159 -172 6175 -138
+rect 6209 -172 6225 -138
+rect 6351 -172 6367 -138
+rect 6401 -172 6417 -138
+rect 6543 -172 6559 -138
+rect 6593 -172 6609 -138
+rect 6735 -172 6751 -138
+rect 6785 -172 6801 -138
+rect 6927 -172 6943 -138
+rect 6977 -172 6993 -138
+rect 7119 -172 7135 -138
+rect 7169 -172 7185 -138
+rect 7311 -172 7327 -138
+rect 7361 -172 7377 -138
+rect 7503 -172 7519 -138
+rect 7553 -172 7569 -138
+rect 7695 -172 7711 -138
+rect 7745 -172 7761 -138
+rect 7887 -172 7903 -138
+rect 7937 -172 7953 -138
+rect 8079 -172 8095 -138
+rect 8129 -172 8145 -138
+rect -8387 -240 -8353 -178
+rect 8353 -240 8387 -178
+rect -8387 -274 -8291 -240
+rect 8291 -274 8387 -240
+<< viali >>
+rect -8129 138 -8095 172
+rect -7937 138 -7903 172
+rect -7745 138 -7711 172
+rect -7553 138 -7519 172
+rect -7361 138 -7327 172
+rect -7169 138 -7135 172
+rect -6977 138 -6943 172
+rect -6785 138 -6751 172
+rect -6593 138 -6559 172
+rect -6401 138 -6367 172
+rect -6209 138 -6175 172
+rect -6017 138 -5983 172
+rect -5825 138 -5791 172
+rect -5633 138 -5599 172
+rect -5441 138 -5407 172
+rect -5249 138 -5215 172
+rect -5057 138 -5023 172
+rect -4865 138 -4831 172
+rect -4673 138 -4639 172
+rect -4481 138 -4447 172
+rect -4289 138 -4255 172
+rect -4097 138 -4063 172
+rect -3905 138 -3871 172
+rect -3713 138 -3679 172
+rect -3521 138 -3487 172
+rect -3329 138 -3295 172
+rect -3137 138 -3103 172
+rect -2945 138 -2911 172
+rect -2753 138 -2719 172
+rect -2561 138 -2527 172
+rect -2369 138 -2335 172
+rect -2177 138 -2143 172
+rect -1985 138 -1951 172
+rect -1793 138 -1759 172
+rect -1601 138 -1567 172
+rect -1409 138 -1375 172
+rect -1217 138 -1183 172
+rect -1025 138 -991 172
+rect -833 138 -799 172
+rect -641 138 -607 172
+rect -449 138 -415 172
+rect -257 138 -223 172
+rect -65 138 -31 172
+rect 127 138 161 172
+rect 319 138 353 172
+rect 511 138 545 172
+rect 703 138 737 172
+rect 895 138 929 172
+rect 1087 138 1121 172
+rect 1279 138 1313 172
+rect 1471 138 1505 172
+rect 1663 138 1697 172
+rect 1855 138 1889 172
+rect 2047 138 2081 172
+rect 2239 138 2273 172
+rect 2431 138 2465 172
+rect 2623 138 2657 172
+rect 2815 138 2849 172
+rect 3007 138 3041 172
+rect 3199 138 3233 172
+rect 3391 138 3425 172
+rect 3583 138 3617 172
+rect 3775 138 3809 172
+rect 3967 138 4001 172
+rect 4159 138 4193 172
+rect 4351 138 4385 172
+rect 4543 138 4577 172
+rect 4735 138 4769 172
+rect 4927 138 4961 172
+rect 5119 138 5153 172
+rect 5311 138 5345 172
+rect 5503 138 5537 172
+rect 5695 138 5729 172
+rect 5887 138 5921 172
+rect 6079 138 6113 172
+rect 6271 138 6305 172
+rect 6463 138 6497 172
+rect 6655 138 6689 172
+rect 6847 138 6881 172
+rect 7039 138 7073 172
+rect 7231 138 7265 172
+rect 7423 138 7457 172
+rect 7615 138 7649 172
+rect 7807 138 7841 172
+rect 7999 138 8033 172
+rect 8191 138 8225 172
+rect -8273 -88 -8239 88
+rect -8177 -88 -8143 88
+rect -8081 -88 -8047 88
+rect -7985 -88 -7951 88
+rect -7889 -88 -7855 88
+rect -7793 -88 -7759 88
+rect -7697 -88 -7663 88
+rect -7601 -88 -7567 88
+rect -7505 -88 -7471 88
+rect -7409 -88 -7375 88
+rect -7313 -88 -7279 88
+rect -7217 -88 -7183 88
+rect -7121 -88 -7087 88
+rect -7025 -88 -6991 88
+rect -6929 -88 -6895 88
+rect -6833 -88 -6799 88
+rect -6737 -88 -6703 88
+rect -6641 -88 -6607 88
+rect -6545 -88 -6511 88
+rect -6449 -88 -6415 88
+rect -6353 -88 -6319 88
+rect -6257 -88 -6223 88
+rect -6161 -88 -6127 88
+rect -6065 -88 -6031 88
+rect -5969 -88 -5935 88
+rect -5873 -88 -5839 88
+rect -5777 -88 -5743 88
+rect -5681 -88 -5647 88
+rect -5585 -88 -5551 88
+rect -5489 -88 -5455 88
+rect -5393 -88 -5359 88
+rect -5297 -88 -5263 88
+rect -5201 -88 -5167 88
+rect -5105 -88 -5071 88
+rect -5009 -88 -4975 88
+rect -4913 -88 -4879 88
+rect -4817 -88 -4783 88
+rect -4721 -88 -4687 88
+rect -4625 -88 -4591 88
+rect -4529 -88 -4495 88
+rect -4433 -88 -4399 88
+rect -4337 -88 -4303 88
+rect -4241 -88 -4207 88
+rect -4145 -88 -4111 88
+rect -4049 -88 -4015 88
+rect -3953 -88 -3919 88
+rect -3857 -88 -3823 88
+rect -3761 -88 -3727 88
+rect -3665 -88 -3631 88
+rect -3569 -88 -3535 88
+rect -3473 -88 -3439 88
+rect -3377 -88 -3343 88
+rect -3281 -88 -3247 88
+rect -3185 -88 -3151 88
+rect -3089 -88 -3055 88
+rect -2993 -88 -2959 88
+rect -2897 -88 -2863 88
+rect -2801 -88 -2767 88
+rect -2705 -88 -2671 88
+rect -2609 -88 -2575 88
+rect -2513 -88 -2479 88
+rect -2417 -88 -2383 88
+rect -2321 -88 -2287 88
+rect -2225 -88 -2191 88
+rect -2129 -88 -2095 88
+rect -2033 -88 -1999 88
+rect -1937 -88 -1903 88
+rect -1841 -88 -1807 88
+rect -1745 -88 -1711 88
+rect -1649 -88 -1615 88
+rect -1553 -88 -1519 88
+rect -1457 -88 -1423 88
+rect -1361 -88 -1327 88
+rect -1265 -88 -1231 88
+rect -1169 -88 -1135 88
+rect -1073 -88 -1039 88
+rect -977 -88 -943 88
+rect -881 -88 -847 88
+rect -785 -88 -751 88
+rect -689 -88 -655 88
+rect -593 -88 -559 88
+rect -497 -88 -463 88
+rect -401 -88 -367 88
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+rect 367 -88 401 88
+rect 463 -88 497 88
+rect 559 -88 593 88
+rect 655 -88 689 88
+rect 751 -88 785 88
+rect 847 -88 881 88
+rect 943 -88 977 88
+rect 1039 -88 1073 88
+rect 1135 -88 1169 88
+rect 1231 -88 1265 88
+rect 1327 -88 1361 88
+rect 1423 -88 1457 88
+rect 1519 -88 1553 88
+rect 1615 -88 1649 88
+rect 1711 -88 1745 88
+rect 1807 -88 1841 88
+rect 1903 -88 1937 88
+rect 1999 -88 2033 88
+rect 2095 -88 2129 88
+rect 2191 -88 2225 88
+rect 2287 -88 2321 88
+rect 2383 -88 2417 88
+rect 2479 -88 2513 88
+rect 2575 -88 2609 88
+rect 2671 -88 2705 88
+rect 2767 -88 2801 88
+rect 2863 -88 2897 88
+rect 2959 -88 2993 88
+rect 3055 -88 3089 88
+rect 3151 -88 3185 88
+rect 3247 -88 3281 88
+rect 3343 -88 3377 88
+rect 3439 -88 3473 88
+rect 3535 -88 3569 88
+rect 3631 -88 3665 88
+rect 3727 -88 3761 88
+rect 3823 -88 3857 88
+rect 3919 -88 3953 88
+rect 4015 -88 4049 88
+rect 4111 -88 4145 88
+rect 4207 -88 4241 88
+rect 4303 -88 4337 88
+rect 4399 -88 4433 88
+rect 4495 -88 4529 88
+rect 4591 -88 4625 88
+rect 4687 -88 4721 88
+rect 4783 -88 4817 88
+rect 4879 -88 4913 88
+rect 4975 -88 5009 88
+rect 5071 -88 5105 88
+rect 5167 -88 5201 88
+rect 5263 -88 5297 88
+rect 5359 -88 5393 88
+rect 5455 -88 5489 88
+rect 5551 -88 5585 88
+rect 5647 -88 5681 88
+rect 5743 -88 5777 88
+rect 5839 -88 5873 88
+rect 5935 -88 5969 88
+rect 6031 -88 6065 88
+rect 6127 -88 6161 88
+rect 6223 -88 6257 88
+rect 6319 -88 6353 88
+rect 6415 -88 6449 88
+rect 6511 -88 6545 88
+rect 6607 -88 6641 88
+rect 6703 -88 6737 88
+rect 6799 -88 6833 88
+rect 6895 -88 6929 88
+rect 6991 -88 7025 88
+rect 7087 -88 7121 88
+rect 7183 -88 7217 88
+rect 7279 -88 7313 88
+rect 7375 -88 7409 88
+rect 7471 -88 7505 88
+rect 7567 -88 7601 88
+rect 7663 -88 7697 88
+rect 7759 -88 7793 88
+rect 7855 -88 7889 88
+rect 7951 -88 7985 88
+rect 8047 -88 8081 88
+rect 8143 -88 8177 88
+rect 8239 -88 8273 88
+rect -8225 -172 -8191 -138
+rect -8033 -172 -7999 -138
+rect -7841 -172 -7807 -138
+rect -7649 -172 -7615 -138
+rect -7457 -172 -7423 -138
+rect -7265 -172 -7231 -138
+rect -7073 -172 -7039 -138
+rect -6881 -172 -6847 -138
+rect -6689 -172 -6655 -138
+rect -6497 -172 -6463 -138
+rect -6305 -172 -6271 -138
+rect -6113 -172 -6079 -138
+rect -5921 -172 -5887 -138
+rect -5729 -172 -5695 -138
+rect -5537 -172 -5503 -138
+rect -5345 -172 -5311 -138
+rect -5153 -172 -5119 -138
+rect -4961 -172 -4927 -138
+rect -4769 -172 -4735 -138
+rect -4577 -172 -4543 -138
+rect -4385 -172 -4351 -138
+rect -4193 -172 -4159 -138
+rect -4001 -172 -3967 -138
+rect -3809 -172 -3775 -138
+rect -3617 -172 -3583 -138
+rect -3425 -172 -3391 -138
+rect -3233 -172 -3199 -138
+rect -3041 -172 -3007 -138
+rect -2849 -172 -2815 -138
+rect -2657 -172 -2623 -138
+rect -2465 -172 -2431 -138
+rect -2273 -172 -2239 -138
+rect -2081 -172 -2047 -138
+rect -1889 -172 -1855 -138
+rect -1697 -172 -1663 -138
+rect -1505 -172 -1471 -138
+rect -1313 -172 -1279 -138
+rect -1121 -172 -1087 -138
+rect -929 -172 -895 -138
+rect -737 -172 -703 -138
+rect -545 -172 -511 -138
+rect -353 -172 -319 -138
+rect -161 -172 -127 -138
+rect 31 -172 65 -138
+rect 223 -172 257 -138
+rect 415 -172 449 -138
+rect 607 -172 641 -138
+rect 799 -172 833 -138
+rect 991 -172 1025 -138
+rect 1183 -172 1217 -138
+rect 1375 -172 1409 -138
+rect 1567 -172 1601 -138
+rect 1759 -172 1793 -138
+rect 1951 -172 1985 -138
+rect 2143 -172 2177 -138
+rect 2335 -172 2369 -138
+rect 2527 -172 2561 -138
+rect 2719 -172 2753 -138
+rect 2911 -172 2945 -138
+rect 3103 -172 3137 -138
+rect 3295 -172 3329 -138
+rect 3487 -172 3521 -138
+rect 3679 -172 3713 -138
+rect 3871 -172 3905 -138
+rect 4063 -172 4097 -138
+rect 4255 -172 4289 -138
+rect 4447 -172 4481 -138
+rect 4639 -172 4673 -138
+rect 4831 -172 4865 -138
+rect 5023 -172 5057 -138
+rect 5215 -172 5249 -138
+rect 5407 -172 5441 -138
+rect 5599 -172 5633 -138
+rect 5791 -172 5825 -138
+rect 5983 -172 6017 -138
+rect 6175 -172 6209 -138
+rect 6367 -172 6401 -138
+rect 6559 -172 6593 -138
+rect 6751 -172 6785 -138
+rect 6943 -172 6977 -138
+rect 7135 -172 7169 -138
+rect 7327 -172 7361 -138
+rect 7519 -172 7553 -138
+rect 7711 -172 7745 -138
+rect 7903 -172 7937 -138
+rect 8095 -172 8129 -138
+<< metal1 >>
+rect -8141 172 -8083 178
+rect -8141 138 -8129 172
+rect -8095 138 -8083 172
+rect -8141 132 -8083 138
+rect -7949 172 -7891 178
+rect -7949 138 -7937 172
+rect -7903 138 -7891 172
+rect -7949 132 -7891 138
+rect -7757 172 -7699 178
+rect -7757 138 -7745 172
+rect -7711 138 -7699 172
+rect -7757 132 -7699 138
+rect -7565 172 -7507 178
+rect -7565 138 -7553 172
+rect -7519 138 -7507 172
+rect -7565 132 -7507 138
+rect -7373 172 -7315 178
+rect -7373 138 -7361 172
+rect -7327 138 -7315 172
+rect -7373 132 -7315 138
+rect -7181 172 -7123 178
+rect -7181 138 -7169 172
+rect -7135 138 -7123 172
+rect -7181 132 -7123 138
+rect -6989 172 -6931 178
+rect -6989 138 -6977 172
+rect -6943 138 -6931 172
+rect -6989 132 -6931 138
+rect -6797 172 -6739 178
+rect -6797 138 -6785 172
+rect -6751 138 -6739 172
+rect -6797 132 -6739 138
+rect -6605 172 -6547 178
+rect -6605 138 -6593 172
+rect -6559 138 -6547 172
+rect -6605 132 -6547 138
+rect -6413 172 -6355 178
+rect -6413 138 -6401 172
+rect -6367 138 -6355 172
+rect -6413 132 -6355 138
+rect -6221 172 -6163 178
+rect -6221 138 -6209 172
+rect -6175 138 -6163 172
+rect -6221 132 -6163 138
+rect -6029 172 -5971 178
+rect -6029 138 -6017 172
+rect -5983 138 -5971 172
+rect -6029 132 -5971 138
+rect -5837 172 -5779 178
+rect -5837 138 -5825 172
+rect -5791 138 -5779 172
+rect -5837 132 -5779 138
+rect -5645 172 -5587 178
+rect -5645 138 -5633 172
+rect -5599 138 -5587 172
+rect -5645 132 -5587 138
+rect -5453 172 -5395 178
+rect -5453 138 -5441 172
+rect -5407 138 -5395 172
+rect -5453 132 -5395 138
+rect -5261 172 -5203 178
+rect -5261 138 -5249 172
+rect -5215 138 -5203 172
+rect -5261 132 -5203 138
+rect -5069 172 -5011 178
+rect -5069 138 -5057 172
+rect -5023 138 -5011 172
+rect -5069 132 -5011 138
+rect -4877 172 -4819 178
+rect -4877 138 -4865 172
+rect -4831 138 -4819 172
+rect -4877 132 -4819 138
+rect -4685 172 -4627 178
+rect -4685 138 -4673 172
+rect -4639 138 -4627 172
+rect -4685 132 -4627 138
+rect -4493 172 -4435 178
+rect -4493 138 -4481 172
+rect -4447 138 -4435 172
+rect -4493 132 -4435 138
+rect -4301 172 -4243 178
+rect -4301 138 -4289 172
+rect -4255 138 -4243 172
+rect -4301 132 -4243 138
+rect -4109 172 -4051 178
+rect -4109 138 -4097 172
+rect -4063 138 -4051 172
+rect -4109 132 -4051 138
+rect -3917 172 -3859 178
+rect -3917 138 -3905 172
+rect -3871 138 -3859 172
+rect -3917 132 -3859 138
+rect -3725 172 -3667 178
+rect -3725 138 -3713 172
+rect -3679 138 -3667 172
+rect -3725 132 -3667 138
+rect -3533 172 -3475 178
+rect -3533 138 -3521 172
+rect -3487 138 -3475 172
+rect -3533 132 -3475 138
+rect -3341 172 -3283 178
+rect -3341 138 -3329 172
+rect -3295 138 -3283 172
+rect -3341 132 -3283 138
+rect -3149 172 -3091 178
+rect -3149 138 -3137 172
+rect -3103 138 -3091 172
+rect -3149 132 -3091 138
+rect -2957 172 -2899 178
+rect -2957 138 -2945 172
+rect -2911 138 -2899 172
+rect -2957 132 -2899 138
+rect -2765 172 -2707 178
+rect -2765 138 -2753 172
+rect -2719 138 -2707 172
+rect -2765 132 -2707 138
+rect -2573 172 -2515 178
+rect -2573 138 -2561 172
+rect -2527 138 -2515 172
+rect -2573 132 -2515 138
+rect -2381 172 -2323 178
+rect -2381 138 -2369 172
+rect -2335 138 -2323 172
+rect -2381 132 -2323 138
+rect -2189 172 -2131 178
+rect -2189 138 -2177 172
+rect -2143 138 -2131 172
+rect -2189 132 -2131 138
+rect -1997 172 -1939 178
+rect -1997 138 -1985 172
+rect -1951 138 -1939 172
+rect -1997 132 -1939 138
+rect -1805 172 -1747 178
+rect -1805 138 -1793 172
+rect -1759 138 -1747 172
+rect -1805 132 -1747 138
+rect -1613 172 -1555 178
+rect -1613 138 -1601 172
+rect -1567 138 -1555 172
+rect -1613 132 -1555 138
+rect -1421 172 -1363 178
+rect -1421 138 -1409 172
+rect -1375 138 -1363 172
+rect -1421 132 -1363 138
+rect -1229 172 -1171 178
+rect -1229 138 -1217 172
+rect -1183 138 -1171 172
+rect -1229 132 -1171 138
+rect -1037 172 -979 178
+rect -1037 138 -1025 172
+rect -991 138 -979 172
+rect -1037 132 -979 138
+rect -845 172 -787 178
+rect -845 138 -833 172
+rect -799 138 -787 172
+rect -845 132 -787 138
+rect -653 172 -595 178
+rect -653 138 -641 172
+rect -607 138 -595 172
+rect -653 132 -595 138
+rect -461 172 -403 178
+rect -461 138 -449 172
+rect -415 138 -403 172
+rect -461 132 -403 138
+rect -269 172 -211 178
+rect -269 138 -257 172
+rect -223 138 -211 172
+rect -269 132 -211 138
+rect -77 172 -19 178
+rect -77 138 -65 172
+rect -31 138 -19 172
+rect -77 132 -19 138
+rect 115 172 173 178
+rect 115 138 127 172
+rect 161 138 173 172
+rect 115 132 173 138
+rect 307 172 365 178
+rect 307 138 319 172
+rect 353 138 365 172
+rect 307 132 365 138
+rect 499 172 557 178
+rect 499 138 511 172
+rect 545 138 557 172
+rect 499 132 557 138
+rect 691 172 749 178
+rect 691 138 703 172
+rect 737 138 749 172
+rect 691 132 749 138
+rect 883 172 941 178
+rect 883 138 895 172
+rect 929 138 941 172
+rect 883 132 941 138
+rect 1075 172 1133 178
+rect 1075 138 1087 172
+rect 1121 138 1133 172
+rect 1075 132 1133 138
+rect 1267 172 1325 178
+rect 1267 138 1279 172
+rect 1313 138 1325 172
+rect 1267 132 1325 138
+rect 1459 172 1517 178
+rect 1459 138 1471 172
+rect 1505 138 1517 172
+rect 1459 132 1517 138
+rect 1651 172 1709 178
+rect 1651 138 1663 172
+rect 1697 138 1709 172
+rect 1651 132 1709 138
+rect 1843 172 1901 178
+rect 1843 138 1855 172
+rect 1889 138 1901 172
+rect 1843 132 1901 138
+rect 2035 172 2093 178
+rect 2035 138 2047 172
+rect 2081 138 2093 172
+rect 2035 132 2093 138
+rect 2227 172 2285 178
+rect 2227 138 2239 172
+rect 2273 138 2285 172
+rect 2227 132 2285 138
+rect 2419 172 2477 178
+rect 2419 138 2431 172
+rect 2465 138 2477 172
+rect 2419 132 2477 138
+rect 2611 172 2669 178
+rect 2611 138 2623 172
+rect 2657 138 2669 172
+rect 2611 132 2669 138
+rect 2803 172 2861 178
+rect 2803 138 2815 172
+rect 2849 138 2861 172
+rect 2803 132 2861 138
+rect 2995 172 3053 178
+rect 2995 138 3007 172
+rect 3041 138 3053 172
+rect 2995 132 3053 138
+rect 3187 172 3245 178
+rect 3187 138 3199 172
+rect 3233 138 3245 172
+rect 3187 132 3245 138
+rect 3379 172 3437 178
+rect 3379 138 3391 172
+rect 3425 138 3437 172
+rect 3379 132 3437 138
+rect 3571 172 3629 178
+rect 3571 138 3583 172
+rect 3617 138 3629 172
+rect 3571 132 3629 138
+rect 3763 172 3821 178
+rect 3763 138 3775 172
+rect 3809 138 3821 172
+rect 3763 132 3821 138
+rect 3955 172 4013 178
+rect 3955 138 3967 172
+rect 4001 138 4013 172
+rect 3955 132 4013 138
+rect 4147 172 4205 178
+rect 4147 138 4159 172
+rect 4193 138 4205 172
+rect 4147 132 4205 138
+rect 4339 172 4397 178
+rect 4339 138 4351 172
+rect 4385 138 4397 172
+rect 4339 132 4397 138
+rect 4531 172 4589 178
+rect 4531 138 4543 172
+rect 4577 138 4589 172
+rect 4531 132 4589 138
+rect 4723 172 4781 178
+rect 4723 138 4735 172
+rect 4769 138 4781 172
+rect 4723 132 4781 138
+rect 4915 172 4973 178
+rect 4915 138 4927 172
+rect 4961 138 4973 172
+rect 4915 132 4973 138
+rect 5107 172 5165 178
+rect 5107 138 5119 172
+rect 5153 138 5165 172
+rect 5107 132 5165 138
+rect 5299 172 5357 178
+rect 5299 138 5311 172
+rect 5345 138 5357 172
+rect 5299 132 5357 138
+rect 5491 172 5549 178
+rect 5491 138 5503 172
+rect 5537 138 5549 172
+rect 5491 132 5549 138
+rect 5683 172 5741 178
+rect 5683 138 5695 172
+rect 5729 138 5741 172
+rect 5683 132 5741 138
+rect 5875 172 5933 178
+rect 5875 138 5887 172
+rect 5921 138 5933 172
+rect 5875 132 5933 138
+rect 6067 172 6125 178
+rect 6067 138 6079 172
+rect 6113 138 6125 172
+rect 6067 132 6125 138
+rect 6259 172 6317 178
+rect 6259 138 6271 172
+rect 6305 138 6317 172
+rect 6259 132 6317 138
+rect 6451 172 6509 178
+rect 6451 138 6463 172
+rect 6497 138 6509 172
+rect 6451 132 6509 138
+rect 6643 172 6701 178
+rect 6643 138 6655 172
+rect 6689 138 6701 172
+rect 6643 132 6701 138
+rect 6835 172 6893 178
+rect 6835 138 6847 172
+rect 6881 138 6893 172
+rect 6835 132 6893 138
+rect 7027 172 7085 178
+rect 7027 138 7039 172
+rect 7073 138 7085 172
+rect 7027 132 7085 138
+rect 7219 172 7277 178
+rect 7219 138 7231 172
+rect 7265 138 7277 172
+rect 7219 132 7277 138
+rect 7411 172 7469 178
+rect 7411 138 7423 172
+rect 7457 138 7469 172
+rect 7411 132 7469 138
+rect 7603 172 7661 178
+rect 7603 138 7615 172
+rect 7649 138 7661 172
+rect 7603 132 7661 138
+rect 7795 172 7853 178
+rect 7795 138 7807 172
+rect 7841 138 7853 172
+rect 7795 132 7853 138
+rect 7987 172 8045 178
+rect 7987 138 7999 172
+rect 8033 138 8045 172
+rect 7987 132 8045 138
+rect 8179 172 8237 178
+rect 8179 138 8191 172
+rect 8225 138 8237 172
+rect 8179 132 8237 138
+rect -8279 88 -8233 100
+rect -8279 -88 -8273 88
+rect -8239 -88 -8233 88
+rect -8279 -100 -8233 -88
+rect -8183 88 -8137 100
+rect -8183 -88 -8177 88
+rect -8143 -88 -8137 88
+rect -8183 -100 -8137 -88
+rect -8087 88 -8041 100
+rect -8087 -88 -8081 88
+rect -8047 -88 -8041 88
+rect -8087 -100 -8041 -88
+rect -7991 88 -7945 100
+rect -7991 -88 -7985 88
+rect -7951 -88 -7945 88
+rect -7991 -100 -7945 -88
+rect -7895 88 -7849 100
+rect -7895 -88 -7889 88
+rect -7855 -88 -7849 88
+rect -7895 -100 -7849 -88
+rect -7799 88 -7753 100
+rect -7799 -88 -7793 88
+rect -7759 -88 -7753 88
+rect -7799 -100 -7753 -88
+rect -7703 88 -7657 100
+rect -7703 -88 -7697 88
+rect -7663 -88 -7657 88
+rect -7703 -100 -7657 -88
+rect -7607 88 -7561 100
+rect -7607 -88 -7601 88
+rect -7567 -88 -7561 88
+rect -7607 -100 -7561 -88
+rect -7511 88 -7465 100
+rect -7511 -88 -7505 88
+rect -7471 -88 -7465 88
+rect -7511 -100 -7465 -88
+rect -7415 88 -7369 100
+rect -7415 -88 -7409 88
+rect -7375 -88 -7369 88
+rect -7415 -100 -7369 -88
+rect -7319 88 -7273 100
+rect -7319 -88 -7313 88
+rect -7279 -88 -7273 88
+rect -7319 -100 -7273 -88
+rect -7223 88 -7177 100
+rect -7223 -88 -7217 88
+rect -7183 -88 -7177 88
+rect -7223 -100 -7177 -88
+rect -7127 88 -7081 100
+rect -7127 -88 -7121 88
+rect -7087 -88 -7081 88
+rect -7127 -100 -7081 -88
+rect -7031 88 -6985 100
+rect -7031 -88 -7025 88
+rect -6991 -88 -6985 88
+rect -7031 -100 -6985 -88
+rect -6935 88 -6889 100
+rect -6935 -88 -6929 88
+rect -6895 -88 -6889 88
+rect -6935 -100 -6889 -88
+rect -6839 88 -6793 100
+rect -6839 -88 -6833 88
+rect -6799 -88 -6793 88
+rect -6839 -100 -6793 -88
+rect -6743 88 -6697 100
+rect -6743 -88 -6737 88
+rect -6703 -88 -6697 88
+rect -6743 -100 -6697 -88
+rect -6647 88 -6601 100
+rect -6647 -88 -6641 88
+rect -6607 -88 -6601 88
+rect -6647 -100 -6601 -88
+rect -6551 88 -6505 100
+rect -6551 -88 -6545 88
+rect -6511 -88 -6505 88
+rect -6551 -100 -6505 -88
+rect -6455 88 -6409 100
+rect -6455 -88 -6449 88
+rect -6415 -88 -6409 88
+rect -6455 -100 -6409 -88
+rect -6359 88 -6313 100
+rect -6359 -88 -6353 88
+rect -6319 -88 -6313 88
+rect -6359 -100 -6313 -88
+rect -6263 88 -6217 100
+rect -6263 -88 -6257 88
+rect -6223 -88 -6217 88
+rect -6263 -100 -6217 -88
+rect -6167 88 -6121 100
+rect -6167 -88 -6161 88
+rect -6127 -88 -6121 88
+rect -6167 -100 -6121 -88
+rect -6071 88 -6025 100
+rect -6071 -88 -6065 88
+rect -6031 -88 -6025 88
+rect -6071 -100 -6025 -88
+rect -5975 88 -5929 100
+rect -5975 -88 -5969 88
+rect -5935 -88 -5929 88
+rect -5975 -100 -5929 -88
+rect -5879 88 -5833 100
+rect -5879 -88 -5873 88
+rect -5839 -88 -5833 88
+rect -5879 -100 -5833 -88
+rect -5783 88 -5737 100
+rect -5783 -88 -5777 88
+rect -5743 -88 -5737 88
+rect -5783 -100 -5737 -88
+rect -5687 88 -5641 100
+rect -5687 -88 -5681 88
+rect -5647 -88 -5641 88
+rect -5687 -100 -5641 -88
+rect -5591 88 -5545 100
+rect -5591 -88 -5585 88
+rect -5551 -88 -5545 88
+rect -5591 -100 -5545 -88
+rect -5495 88 -5449 100
+rect -5495 -88 -5489 88
+rect -5455 -88 -5449 88
+rect -5495 -100 -5449 -88
+rect -5399 88 -5353 100
+rect -5399 -88 -5393 88
+rect -5359 -88 -5353 88
+rect -5399 -100 -5353 -88
+rect -5303 88 -5257 100
+rect -5303 -88 -5297 88
+rect -5263 -88 -5257 88
+rect -5303 -100 -5257 -88
+rect -5207 88 -5161 100
+rect -5207 -88 -5201 88
+rect -5167 -88 -5161 88
+rect -5207 -100 -5161 -88
+rect -5111 88 -5065 100
+rect -5111 -88 -5105 88
+rect -5071 -88 -5065 88
+rect -5111 -100 -5065 -88
+rect -5015 88 -4969 100
+rect -5015 -88 -5009 88
+rect -4975 -88 -4969 88
+rect -5015 -100 -4969 -88
+rect -4919 88 -4873 100
+rect -4919 -88 -4913 88
+rect -4879 -88 -4873 88
+rect -4919 -100 -4873 -88
+rect -4823 88 -4777 100
+rect -4823 -88 -4817 88
+rect -4783 -88 -4777 88
+rect -4823 -100 -4777 -88
+rect -4727 88 -4681 100
+rect -4727 -88 -4721 88
+rect -4687 -88 -4681 88
+rect -4727 -100 -4681 -88
+rect -4631 88 -4585 100
+rect -4631 -88 -4625 88
+rect -4591 -88 -4585 88
+rect -4631 -100 -4585 -88
+rect -4535 88 -4489 100
+rect -4535 -88 -4529 88
+rect -4495 -88 -4489 88
+rect -4535 -100 -4489 -88
+rect -4439 88 -4393 100
+rect -4439 -88 -4433 88
+rect -4399 -88 -4393 88
+rect -4439 -100 -4393 -88
+rect -4343 88 -4297 100
+rect -4343 -88 -4337 88
+rect -4303 -88 -4297 88
+rect -4343 -100 -4297 -88
+rect -4247 88 -4201 100
+rect -4247 -88 -4241 88
+rect -4207 -88 -4201 88
+rect -4247 -100 -4201 -88
+rect -4151 88 -4105 100
+rect -4151 -88 -4145 88
+rect -4111 -88 -4105 88
+rect -4151 -100 -4105 -88
+rect -4055 88 -4009 100
+rect -4055 -88 -4049 88
+rect -4015 -88 -4009 88
+rect -4055 -100 -4009 -88
+rect -3959 88 -3913 100
+rect -3959 -88 -3953 88
+rect -3919 -88 -3913 88
+rect -3959 -100 -3913 -88
+rect -3863 88 -3817 100
+rect -3863 -88 -3857 88
+rect -3823 -88 -3817 88
+rect -3863 -100 -3817 -88
+rect -3767 88 -3721 100
+rect -3767 -88 -3761 88
+rect -3727 -88 -3721 88
+rect -3767 -100 -3721 -88
+rect -3671 88 -3625 100
+rect -3671 -88 -3665 88
+rect -3631 -88 -3625 88
+rect -3671 -100 -3625 -88
+rect -3575 88 -3529 100
+rect -3575 -88 -3569 88
+rect -3535 -88 -3529 88
+rect -3575 -100 -3529 -88
+rect -3479 88 -3433 100
+rect -3479 -88 -3473 88
+rect -3439 -88 -3433 88
+rect -3479 -100 -3433 -88
+rect -3383 88 -3337 100
+rect -3383 -88 -3377 88
+rect -3343 -88 -3337 88
+rect -3383 -100 -3337 -88
+rect -3287 88 -3241 100
+rect -3287 -88 -3281 88
+rect -3247 -88 -3241 88
+rect -3287 -100 -3241 -88
+rect -3191 88 -3145 100
+rect -3191 -88 -3185 88
+rect -3151 -88 -3145 88
+rect -3191 -100 -3145 -88
+rect -3095 88 -3049 100
+rect -3095 -88 -3089 88
+rect -3055 -88 -3049 88
+rect -3095 -100 -3049 -88
+rect -2999 88 -2953 100
+rect -2999 -88 -2993 88
+rect -2959 -88 -2953 88
+rect -2999 -100 -2953 -88
+rect -2903 88 -2857 100
+rect -2903 -88 -2897 88
+rect -2863 -88 -2857 88
+rect -2903 -100 -2857 -88
+rect -2807 88 -2761 100
+rect -2807 -88 -2801 88
+rect -2767 -88 -2761 88
+rect -2807 -100 -2761 -88
+rect -2711 88 -2665 100
+rect -2711 -88 -2705 88
+rect -2671 -88 -2665 88
+rect -2711 -100 -2665 -88
+rect -2615 88 -2569 100
+rect -2615 -88 -2609 88
+rect -2575 -88 -2569 88
+rect -2615 -100 -2569 -88
+rect -2519 88 -2473 100
+rect -2519 -88 -2513 88
+rect -2479 -88 -2473 88
+rect -2519 -100 -2473 -88
+rect -2423 88 -2377 100
+rect -2423 -88 -2417 88
+rect -2383 -88 -2377 88
+rect -2423 -100 -2377 -88
+rect -2327 88 -2281 100
+rect -2327 -88 -2321 88
+rect -2287 -88 -2281 88
+rect -2327 -100 -2281 -88
+rect -2231 88 -2185 100
+rect -2231 -88 -2225 88
+rect -2191 -88 -2185 88
+rect -2231 -100 -2185 -88
+rect -2135 88 -2089 100
+rect -2135 -88 -2129 88
+rect -2095 -88 -2089 88
+rect -2135 -100 -2089 -88
+rect -2039 88 -1993 100
+rect -2039 -88 -2033 88
+rect -1999 -88 -1993 88
+rect -2039 -100 -1993 -88
+rect -1943 88 -1897 100
+rect -1943 -88 -1937 88
+rect -1903 -88 -1897 88
+rect -1943 -100 -1897 -88
+rect -1847 88 -1801 100
+rect -1847 -88 -1841 88
+rect -1807 -88 -1801 88
+rect -1847 -100 -1801 -88
+rect -1751 88 -1705 100
+rect -1751 -88 -1745 88
+rect -1711 -88 -1705 88
+rect -1751 -100 -1705 -88
+rect -1655 88 -1609 100
+rect -1655 -88 -1649 88
+rect -1615 -88 -1609 88
+rect -1655 -100 -1609 -88
+rect -1559 88 -1513 100
+rect -1559 -88 -1553 88
+rect -1519 -88 -1513 88
+rect -1559 -100 -1513 -88
+rect -1463 88 -1417 100
+rect -1463 -88 -1457 88
+rect -1423 -88 -1417 88
+rect -1463 -100 -1417 -88
+rect -1367 88 -1321 100
+rect -1367 -88 -1361 88
+rect -1327 -88 -1321 88
+rect -1367 -100 -1321 -88
+rect -1271 88 -1225 100
+rect -1271 -88 -1265 88
+rect -1231 -88 -1225 88
+rect -1271 -100 -1225 -88
+rect -1175 88 -1129 100
+rect -1175 -88 -1169 88
+rect -1135 -88 -1129 88
+rect -1175 -100 -1129 -88
+rect -1079 88 -1033 100
+rect -1079 -88 -1073 88
+rect -1039 -88 -1033 88
+rect -1079 -100 -1033 -88
+rect -983 88 -937 100
+rect -983 -88 -977 88
+rect -943 -88 -937 88
+rect -983 -100 -937 -88
+rect -887 88 -841 100
+rect -887 -88 -881 88
+rect -847 -88 -841 88
+rect -887 -100 -841 -88
+rect -791 88 -745 100
+rect -791 -88 -785 88
+rect -751 -88 -745 88
+rect -791 -100 -745 -88
+rect -695 88 -649 100
+rect -695 -88 -689 88
+rect -655 -88 -649 88
+rect -695 -100 -649 -88
+rect -599 88 -553 100
+rect -599 -88 -593 88
+rect -559 -88 -553 88
+rect -599 -100 -553 -88
+rect -503 88 -457 100
+rect -503 -88 -497 88
+rect -463 -88 -457 88
+rect -503 -100 -457 -88
+rect -407 88 -361 100
+rect -407 -88 -401 88
+rect -367 -88 -361 88
+rect -407 -100 -361 -88
+rect -311 88 -265 100
+rect -311 -88 -305 88
+rect -271 -88 -265 88
+rect -311 -100 -265 -88
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+rect 265 88 311 100
+rect 265 -88 271 88
+rect 305 -88 311 88
+rect 265 -100 311 -88
+rect 361 88 407 100
+rect 361 -88 367 88
+rect 401 -88 407 88
+rect 361 -100 407 -88
+rect 457 88 503 100
+rect 457 -88 463 88
+rect 497 -88 503 88
+rect 457 -100 503 -88
+rect 553 88 599 100
+rect 553 -88 559 88
+rect 593 -88 599 88
+rect 553 -100 599 -88
+rect 649 88 695 100
+rect 649 -88 655 88
+rect 689 -88 695 88
+rect 649 -100 695 -88
+rect 745 88 791 100
+rect 745 -88 751 88
+rect 785 -88 791 88
+rect 745 -100 791 -88
+rect 841 88 887 100
+rect 841 -88 847 88
+rect 881 -88 887 88
+rect 841 -100 887 -88
+rect 937 88 983 100
+rect 937 -88 943 88
+rect 977 -88 983 88
+rect 937 -100 983 -88
+rect 1033 88 1079 100
+rect 1033 -88 1039 88
+rect 1073 -88 1079 88
+rect 1033 -100 1079 -88
+rect 1129 88 1175 100
+rect 1129 -88 1135 88
+rect 1169 -88 1175 88
+rect 1129 -100 1175 -88
+rect 1225 88 1271 100
+rect 1225 -88 1231 88
+rect 1265 -88 1271 88
+rect 1225 -100 1271 -88
+rect 1321 88 1367 100
+rect 1321 -88 1327 88
+rect 1361 -88 1367 88
+rect 1321 -100 1367 -88
+rect 1417 88 1463 100
+rect 1417 -88 1423 88
+rect 1457 -88 1463 88
+rect 1417 -100 1463 -88
+rect 1513 88 1559 100
+rect 1513 -88 1519 88
+rect 1553 -88 1559 88
+rect 1513 -100 1559 -88
+rect 1609 88 1655 100
+rect 1609 -88 1615 88
+rect 1649 -88 1655 88
+rect 1609 -100 1655 -88
+rect 1705 88 1751 100
+rect 1705 -88 1711 88
+rect 1745 -88 1751 88
+rect 1705 -100 1751 -88
+rect 1801 88 1847 100
+rect 1801 -88 1807 88
+rect 1841 -88 1847 88
+rect 1801 -100 1847 -88
+rect 1897 88 1943 100
+rect 1897 -88 1903 88
+rect 1937 -88 1943 88
+rect 1897 -100 1943 -88
+rect 1993 88 2039 100
+rect 1993 -88 1999 88
+rect 2033 -88 2039 88
+rect 1993 -100 2039 -88
+rect 2089 88 2135 100
+rect 2089 -88 2095 88
+rect 2129 -88 2135 88
+rect 2089 -100 2135 -88
+rect 2185 88 2231 100
+rect 2185 -88 2191 88
+rect 2225 -88 2231 88
+rect 2185 -100 2231 -88
+rect 2281 88 2327 100
+rect 2281 -88 2287 88
+rect 2321 -88 2327 88
+rect 2281 -100 2327 -88
+rect 2377 88 2423 100
+rect 2377 -88 2383 88
+rect 2417 -88 2423 88
+rect 2377 -100 2423 -88
+rect 2473 88 2519 100
+rect 2473 -88 2479 88
+rect 2513 -88 2519 88
+rect 2473 -100 2519 -88
+rect 2569 88 2615 100
+rect 2569 -88 2575 88
+rect 2609 -88 2615 88
+rect 2569 -100 2615 -88
+rect 2665 88 2711 100
+rect 2665 -88 2671 88
+rect 2705 -88 2711 88
+rect 2665 -100 2711 -88
+rect 2761 88 2807 100
+rect 2761 -88 2767 88
+rect 2801 -88 2807 88
+rect 2761 -100 2807 -88
+rect 2857 88 2903 100
+rect 2857 -88 2863 88
+rect 2897 -88 2903 88
+rect 2857 -100 2903 -88
+rect 2953 88 2999 100
+rect 2953 -88 2959 88
+rect 2993 -88 2999 88
+rect 2953 -100 2999 -88
+rect 3049 88 3095 100
+rect 3049 -88 3055 88
+rect 3089 -88 3095 88
+rect 3049 -100 3095 -88
+rect 3145 88 3191 100
+rect 3145 -88 3151 88
+rect 3185 -88 3191 88
+rect 3145 -100 3191 -88
+rect 3241 88 3287 100
+rect 3241 -88 3247 88
+rect 3281 -88 3287 88
+rect 3241 -100 3287 -88
+rect 3337 88 3383 100
+rect 3337 -88 3343 88
+rect 3377 -88 3383 88
+rect 3337 -100 3383 -88
+rect 3433 88 3479 100
+rect 3433 -88 3439 88
+rect 3473 -88 3479 88
+rect 3433 -100 3479 -88
+rect 3529 88 3575 100
+rect 3529 -88 3535 88
+rect 3569 -88 3575 88
+rect 3529 -100 3575 -88
+rect 3625 88 3671 100
+rect 3625 -88 3631 88
+rect 3665 -88 3671 88
+rect 3625 -100 3671 -88
+rect 3721 88 3767 100
+rect 3721 -88 3727 88
+rect 3761 -88 3767 88
+rect 3721 -100 3767 -88
+rect 3817 88 3863 100
+rect 3817 -88 3823 88
+rect 3857 -88 3863 88
+rect 3817 -100 3863 -88
+rect 3913 88 3959 100
+rect 3913 -88 3919 88
+rect 3953 -88 3959 88
+rect 3913 -100 3959 -88
+rect 4009 88 4055 100
+rect 4009 -88 4015 88
+rect 4049 -88 4055 88
+rect 4009 -100 4055 -88
+rect 4105 88 4151 100
+rect 4105 -88 4111 88
+rect 4145 -88 4151 88
+rect 4105 -100 4151 -88
+rect 4201 88 4247 100
+rect 4201 -88 4207 88
+rect 4241 -88 4247 88
+rect 4201 -100 4247 -88
+rect 4297 88 4343 100
+rect 4297 -88 4303 88
+rect 4337 -88 4343 88
+rect 4297 -100 4343 -88
+rect 4393 88 4439 100
+rect 4393 -88 4399 88
+rect 4433 -88 4439 88
+rect 4393 -100 4439 -88
+rect 4489 88 4535 100
+rect 4489 -88 4495 88
+rect 4529 -88 4535 88
+rect 4489 -100 4535 -88
+rect 4585 88 4631 100
+rect 4585 -88 4591 88
+rect 4625 -88 4631 88
+rect 4585 -100 4631 -88
+rect 4681 88 4727 100
+rect 4681 -88 4687 88
+rect 4721 -88 4727 88
+rect 4681 -100 4727 -88
+rect 4777 88 4823 100
+rect 4777 -88 4783 88
+rect 4817 -88 4823 88
+rect 4777 -100 4823 -88
+rect 4873 88 4919 100
+rect 4873 -88 4879 88
+rect 4913 -88 4919 88
+rect 4873 -100 4919 -88
+rect 4969 88 5015 100
+rect 4969 -88 4975 88
+rect 5009 -88 5015 88
+rect 4969 -100 5015 -88
+rect 5065 88 5111 100
+rect 5065 -88 5071 88
+rect 5105 -88 5111 88
+rect 5065 -100 5111 -88
+rect 5161 88 5207 100
+rect 5161 -88 5167 88
+rect 5201 -88 5207 88
+rect 5161 -100 5207 -88
+rect 5257 88 5303 100
+rect 5257 -88 5263 88
+rect 5297 -88 5303 88
+rect 5257 -100 5303 -88
+rect 5353 88 5399 100
+rect 5353 -88 5359 88
+rect 5393 -88 5399 88
+rect 5353 -100 5399 -88
+rect 5449 88 5495 100
+rect 5449 -88 5455 88
+rect 5489 -88 5495 88
+rect 5449 -100 5495 -88
+rect 5545 88 5591 100
+rect 5545 -88 5551 88
+rect 5585 -88 5591 88
+rect 5545 -100 5591 -88
+rect 5641 88 5687 100
+rect 5641 -88 5647 88
+rect 5681 -88 5687 88
+rect 5641 -100 5687 -88
+rect 5737 88 5783 100
+rect 5737 -88 5743 88
+rect 5777 -88 5783 88
+rect 5737 -100 5783 -88
+rect 5833 88 5879 100
+rect 5833 -88 5839 88
+rect 5873 -88 5879 88
+rect 5833 -100 5879 -88
+rect 5929 88 5975 100
+rect 5929 -88 5935 88
+rect 5969 -88 5975 88
+rect 5929 -100 5975 -88
+rect 6025 88 6071 100
+rect 6025 -88 6031 88
+rect 6065 -88 6071 88
+rect 6025 -100 6071 -88
+rect 6121 88 6167 100
+rect 6121 -88 6127 88
+rect 6161 -88 6167 88
+rect 6121 -100 6167 -88
+rect 6217 88 6263 100
+rect 6217 -88 6223 88
+rect 6257 -88 6263 88
+rect 6217 -100 6263 -88
+rect 6313 88 6359 100
+rect 6313 -88 6319 88
+rect 6353 -88 6359 88
+rect 6313 -100 6359 -88
+rect 6409 88 6455 100
+rect 6409 -88 6415 88
+rect 6449 -88 6455 88
+rect 6409 -100 6455 -88
+rect 6505 88 6551 100
+rect 6505 -88 6511 88
+rect 6545 -88 6551 88
+rect 6505 -100 6551 -88
+rect 6601 88 6647 100
+rect 6601 -88 6607 88
+rect 6641 -88 6647 88
+rect 6601 -100 6647 -88
+rect 6697 88 6743 100
+rect 6697 -88 6703 88
+rect 6737 -88 6743 88
+rect 6697 -100 6743 -88
+rect 6793 88 6839 100
+rect 6793 -88 6799 88
+rect 6833 -88 6839 88
+rect 6793 -100 6839 -88
+rect 6889 88 6935 100
+rect 6889 -88 6895 88
+rect 6929 -88 6935 88
+rect 6889 -100 6935 -88
+rect 6985 88 7031 100
+rect 6985 -88 6991 88
+rect 7025 -88 7031 88
+rect 6985 -100 7031 -88
+rect 7081 88 7127 100
+rect 7081 -88 7087 88
+rect 7121 -88 7127 88
+rect 7081 -100 7127 -88
+rect 7177 88 7223 100
+rect 7177 -88 7183 88
+rect 7217 -88 7223 88
+rect 7177 -100 7223 -88
+rect 7273 88 7319 100
+rect 7273 -88 7279 88
+rect 7313 -88 7319 88
+rect 7273 -100 7319 -88
+rect 7369 88 7415 100
+rect 7369 -88 7375 88
+rect 7409 -88 7415 88
+rect 7369 -100 7415 -88
+rect 7465 88 7511 100
+rect 7465 -88 7471 88
+rect 7505 -88 7511 88
+rect 7465 -100 7511 -88
+rect 7561 88 7607 100
+rect 7561 -88 7567 88
+rect 7601 -88 7607 88
+rect 7561 -100 7607 -88
+rect 7657 88 7703 100
+rect 7657 -88 7663 88
+rect 7697 -88 7703 88
+rect 7657 -100 7703 -88
+rect 7753 88 7799 100
+rect 7753 -88 7759 88
+rect 7793 -88 7799 88
+rect 7753 -100 7799 -88
+rect 7849 88 7895 100
+rect 7849 -88 7855 88
+rect 7889 -88 7895 88
+rect 7849 -100 7895 -88
+rect 7945 88 7991 100
+rect 7945 -88 7951 88
+rect 7985 -88 7991 88
+rect 7945 -100 7991 -88
+rect 8041 88 8087 100
+rect 8041 -88 8047 88
+rect 8081 -88 8087 88
+rect 8041 -100 8087 -88
+rect 8137 88 8183 100
+rect 8137 -88 8143 88
+rect 8177 -88 8183 88
+rect 8137 -100 8183 -88
+rect 8233 88 8279 100
+rect 8233 -88 8239 88
+rect 8273 -88 8279 88
+rect 8233 -100 8279 -88
+rect -8237 -138 -8179 -132
+rect -8237 -172 -8225 -138
+rect -8191 -172 -8179 -138
+rect -8237 -178 -8179 -172
+rect -8045 -138 -7987 -132
+rect -8045 -172 -8033 -138
+rect -7999 -172 -7987 -138
+rect -8045 -178 -7987 -172
+rect -7853 -138 -7795 -132
+rect -7853 -172 -7841 -138
+rect -7807 -172 -7795 -138
+rect -7853 -178 -7795 -172
+rect -7661 -138 -7603 -132
+rect -7661 -172 -7649 -138
+rect -7615 -172 -7603 -138
+rect -7661 -178 -7603 -172
+rect -7469 -138 -7411 -132
+rect -7469 -172 -7457 -138
+rect -7423 -172 -7411 -138
+rect -7469 -178 -7411 -172
+rect -7277 -138 -7219 -132
+rect -7277 -172 -7265 -138
+rect -7231 -172 -7219 -138
+rect -7277 -178 -7219 -172
+rect -7085 -138 -7027 -132
+rect -7085 -172 -7073 -138
+rect -7039 -172 -7027 -138
+rect -7085 -178 -7027 -172
+rect -6893 -138 -6835 -132
+rect -6893 -172 -6881 -138
+rect -6847 -172 -6835 -138
+rect -6893 -178 -6835 -172
+rect -6701 -138 -6643 -132
+rect -6701 -172 -6689 -138
+rect -6655 -172 -6643 -138
+rect -6701 -178 -6643 -172
+rect -6509 -138 -6451 -132
+rect -6509 -172 -6497 -138
+rect -6463 -172 -6451 -138
+rect -6509 -178 -6451 -172
+rect -6317 -138 -6259 -132
+rect -6317 -172 -6305 -138
+rect -6271 -172 -6259 -138
+rect -6317 -178 -6259 -172
+rect -6125 -138 -6067 -132
+rect -6125 -172 -6113 -138
+rect -6079 -172 -6067 -138
+rect -6125 -178 -6067 -172
+rect -5933 -138 -5875 -132
+rect -5933 -172 -5921 -138
+rect -5887 -172 -5875 -138
+rect -5933 -178 -5875 -172
+rect -5741 -138 -5683 -132
+rect -5741 -172 -5729 -138
+rect -5695 -172 -5683 -138
+rect -5741 -178 -5683 -172
+rect -5549 -138 -5491 -132
+rect -5549 -172 -5537 -138
+rect -5503 -172 -5491 -138
+rect -5549 -178 -5491 -172
+rect -5357 -138 -5299 -132
+rect -5357 -172 -5345 -138
+rect -5311 -172 -5299 -138
+rect -5357 -178 -5299 -172
+rect -5165 -138 -5107 -132
+rect -5165 -172 -5153 -138
+rect -5119 -172 -5107 -138
+rect -5165 -178 -5107 -172
+rect -4973 -138 -4915 -132
+rect -4973 -172 -4961 -138
+rect -4927 -172 -4915 -138
+rect -4973 -178 -4915 -172
+rect -4781 -138 -4723 -132
+rect -4781 -172 -4769 -138
+rect -4735 -172 -4723 -138
+rect -4781 -178 -4723 -172
+rect -4589 -138 -4531 -132
+rect -4589 -172 -4577 -138
+rect -4543 -172 -4531 -138
+rect -4589 -178 -4531 -172
+rect -4397 -138 -4339 -132
+rect -4397 -172 -4385 -138
+rect -4351 -172 -4339 -138
+rect -4397 -178 -4339 -172
+rect -4205 -138 -4147 -132
+rect -4205 -172 -4193 -138
+rect -4159 -172 -4147 -138
+rect -4205 -178 -4147 -172
+rect -4013 -138 -3955 -132
+rect -4013 -172 -4001 -138
+rect -3967 -172 -3955 -138
+rect -4013 -178 -3955 -172
+rect -3821 -138 -3763 -132
+rect -3821 -172 -3809 -138
+rect -3775 -172 -3763 -138
+rect -3821 -178 -3763 -172
+rect -3629 -138 -3571 -132
+rect -3629 -172 -3617 -138
+rect -3583 -172 -3571 -138
+rect -3629 -178 -3571 -172
+rect -3437 -138 -3379 -132
+rect -3437 -172 -3425 -138
+rect -3391 -172 -3379 -138
+rect -3437 -178 -3379 -172
+rect -3245 -138 -3187 -132
+rect -3245 -172 -3233 -138
+rect -3199 -172 -3187 -138
+rect -3245 -178 -3187 -172
+rect -3053 -138 -2995 -132
+rect -3053 -172 -3041 -138
+rect -3007 -172 -2995 -138
+rect -3053 -178 -2995 -172
+rect -2861 -138 -2803 -132
+rect -2861 -172 -2849 -138
+rect -2815 -172 -2803 -138
+rect -2861 -178 -2803 -172
+rect -2669 -138 -2611 -132
+rect -2669 -172 -2657 -138
+rect -2623 -172 -2611 -138
+rect -2669 -178 -2611 -172
+rect -2477 -138 -2419 -132
+rect -2477 -172 -2465 -138
+rect -2431 -172 -2419 -138
+rect -2477 -178 -2419 -172
+rect -2285 -138 -2227 -132
+rect -2285 -172 -2273 -138
+rect -2239 -172 -2227 -138
+rect -2285 -178 -2227 -172
+rect -2093 -138 -2035 -132
+rect -2093 -172 -2081 -138
+rect -2047 -172 -2035 -138
+rect -2093 -178 -2035 -172
+rect -1901 -138 -1843 -132
+rect -1901 -172 -1889 -138
+rect -1855 -172 -1843 -138
+rect -1901 -178 -1843 -172
+rect -1709 -138 -1651 -132
+rect -1709 -172 -1697 -138
+rect -1663 -172 -1651 -138
+rect -1709 -178 -1651 -172
+rect -1517 -138 -1459 -132
+rect -1517 -172 -1505 -138
+rect -1471 -172 -1459 -138
+rect -1517 -178 -1459 -172
+rect -1325 -138 -1267 -132
+rect -1325 -172 -1313 -138
+rect -1279 -172 -1267 -138
+rect -1325 -178 -1267 -172
+rect -1133 -138 -1075 -132
+rect -1133 -172 -1121 -138
+rect -1087 -172 -1075 -138
+rect -1133 -178 -1075 -172
+rect -941 -138 -883 -132
+rect -941 -172 -929 -138
+rect -895 -172 -883 -138
+rect -941 -178 -883 -172
+rect -749 -138 -691 -132
+rect -749 -172 -737 -138
+rect -703 -172 -691 -138
+rect -749 -178 -691 -172
+rect -557 -138 -499 -132
+rect -557 -172 -545 -138
+rect -511 -172 -499 -138
+rect -557 -178 -499 -172
+rect -365 -138 -307 -132
+rect -365 -172 -353 -138
+rect -319 -172 -307 -138
+rect -365 -178 -307 -172
+rect -173 -138 -115 -132
+rect -173 -172 -161 -138
+rect -127 -172 -115 -138
+rect -173 -178 -115 -172
+rect 19 -138 77 -132
+rect 19 -172 31 -138
+rect 65 -172 77 -138
+rect 19 -178 77 -172
+rect 211 -138 269 -132
+rect 211 -172 223 -138
+rect 257 -172 269 -138
+rect 211 -178 269 -172
+rect 403 -138 461 -132
+rect 403 -172 415 -138
+rect 449 -172 461 -138
+rect 403 -178 461 -172
+rect 595 -138 653 -132
+rect 595 -172 607 -138
+rect 641 -172 653 -138
+rect 595 -178 653 -172
+rect 787 -138 845 -132
+rect 787 -172 799 -138
+rect 833 -172 845 -138
+rect 787 -178 845 -172
+rect 979 -138 1037 -132
+rect 979 -172 991 -138
+rect 1025 -172 1037 -138
+rect 979 -178 1037 -172
+rect 1171 -138 1229 -132
+rect 1171 -172 1183 -138
+rect 1217 -172 1229 -138
+rect 1171 -178 1229 -172
+rect 1363 -138 1421 -132
+rect 1363 -172 1375 -138
+rect 1409 -172 1421 -138
+rect 1363 -178 1421 -172
+rect 1555 -138 1613 -132
+rect 1555 -172 1567 -138
+rect 1601 -172 1613 -138
+rect 1555 -178 1613 -172
+rect 1747 -138 1805 -132
+rect 1747 -172 1759 -138
+rect 1793 -172 1805 -138
+rect 1747 -178 1805 -172
+rect 1939 -138 1997 -132
+rect 1939 -172 1951 -138
+rect 1985 -172 1997 -138
+rect 1939 -178 1997 -172
+rect 2131 -138 2189 -132
+rect 2131 -172 2143 -138
+rect 2177 -172 2189 -138
+rect 2131 -178 2189 -172
+rect 2323 -138 2381 -132
+rect 2323 -172 2335 -138
+rect 2369 -172 2381 -138
+rect 2323 -178 2381 -172
+rect 2515 -138 2573 -132
+rect 2515 -172 2527 -138
+rect 2561 -172 2573 -138
+rect 2515 -178 2573 -172
+rect 2707 -138 2765 -132
+rect 2707 -172 2719 -138
+rect 2753 -172 2765 -138
+rect 2707 -178 2765 -172
+rect 2899 -138 2957 -132
+rect 2899 -172 2911 -138
+rect 2945 -172 2957 -138
+rect 2899 -178 2957 -172
+rect 3091 -138 3149 -132
+rect 3091 -172 3103 -138
+rect 3137 -172 3149 -138
+rect 3091 -178 3149 -172
+rect 3283 -138 3341 -132
+rect 3283 -172 3295 -138
+rect 3329 -172 3341 -138
+rect 3283 -178 3341 -172
+rect 3475 -138 3533 -132
+rect 3475 -172 3487 -138
+rect 3521 -172 3533 -138
+rect 3475 -178 3533 -172
+rect 3667 -138 3725 -132
+rect 3667 -172 3679 -138
+rect 3713 -172 3725 -138
+rect 3667 -178 3725 -172
+rect 3859 -138 3917 -132
+rect 3859 -172 3871 -138
+rect 3905 -172 3917 -138
+rect 3859 -178 3917 -172
+rect 4051 -138 4109 -132
+rect 4051 -172 4063 -138
+rect 4097 -172 4109 -138
+rect 4051 -178 4109 -172
+rect 4243 -138 4301 -132
+rect 4243 -172 4255 -138
+rect 4289 -172 4301 -138
+rect 4243 -178 4301 -172
+rect 4435 -138 4493 -132
+rect 4435 -172 4447 -138
+rect 4481 -172 4493 -138
+rect 4435 -178 4493 -172
+rect 4627 -138 4685 -132
+rect 4627 -172 4639 -138
+rect 4673 -172 4685 -138
+rect 4627 -178 4685 -172
+rect 4819 -138 4877 -132
+rect 4819 -172 4831 -138
+rect 4865 -172 4877 -138
+rect 4819 -178 4877 -172
+rect 5011 -138 5069 -132
+rect 5011 -172 5023 -138
+rect 5057 -172 5069 -138
+rect 5011 -178 5069 -172
+rect 5203 -138 5261 -132
+rect 5203 -172 5215 -138
+rect 5249 -172 5261 -138
+rect 5203 -178 5261 -172
+rect 5395 -138 5453 -132
+rect 5395 -172 5407 -138
+rect 5441 -172 5453 -138
+rect 5395 -178 5453 -172
+rect 5587 -138 5645 -132
+rect 5587 -172 5599 -138
+rect 5633 -172 5645 -138
+rect 5587 -178 5645 -172
+rect 5779 -138 5837 -132
+rect 5779 -172 5791 -138
+rect 5825 -172 5837 -138
+rect 5779 -178 5837 -172
+rect 5971 -138 6029 -132
+rect 5971 -172 5983 -138
+rect 6017 -172 6029 -138
+rect 5971 -178 6029 -172
+rect 6163 -138 6221 -132
+rect 6163 -172 6175 -138
+rect 6209 -172 6221 -138
+rect 6163 -178 6221 -172
+rect 6355 -138 6413 -132
+rect 6355 -172 6367 -138
+rect 6401 -172 6413 -138
+rect 6355 -178 6413 -172
+rect 6547 -138 6605 -132
+rect 6547 -172 6559 -138
+rect 6593 -172 6605 -138
+rect 6547 -178 6605 -172
+rect 6739 -138 6797 -132
+rect 6739 -172 6751 -138
+rect 6785 -172 6797 -138
+rect 6739 -178 6797 -172
+rect 6931 -138 6989 -132
+rect 6931 -172 6943 -138
+rect 6977 -172 6989 -138
+rect 6931 -178 6989 -172
+rect 7123 -138 7181 -132
+rect 7123 -172 7135 -138
+rect 7169 -172 7181 -138
+rect 7123 -178 7181 -172
+rect 7315 -138 7373 -132
+rect 7315 -172 7327 -138
+rect 7361 -172 7373 -138
+rect 7315 -178 7373 -172
+rect 7507 -138 7565 -132
+rect 7507 -172 7519 -138
+rect 7553 -172 7565 -138
+rect 7507 -178 7565 -172
+rect 7699 -138 7757 -132
+rect 7699 -172 7711 -138
+rect 7745 -172 7757 -138
+rect 7699 -178 7757 -172
+rect 7891 -138 7949 -132
+rect 7891 -172 7903 -138
+rect 7937 -172 7949 -138
+rect 7891 -178 7949 -172
+rect 8083 -138 8141 -132
+rect 8083 -172 8095 -138
+rect 8129 -172 8141 -138
+rect 8083 -178 8141 -172
+<< properties >>
+string FIXED_BBOX -8370 -257 8370 257
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 0.15 m 1 nf 172 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_HFYJAZ.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_HFYJAZ.mag
new file mode 100644
index 0000000..275465a
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_HFYJAZ.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662983156
+<< error_p >>
+rect -29 309 29 315
+rect -29 275 -17 309
+rect -29 269 29 275
+rect -29 71 29 77
+rect -29 37 -17 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect -29 -77 29 -71
+rect -29 -275 29 -269
+rect -29 -309 -17 -275
+rect -29 -315 29 -309
+<< pwell >>
+rect -211 -447 211 447
+<< nmoslvt >>
+rect -15 109 15 237
+rect -15 -237 15 -109
+<< ndiff >>
+rect -73 225 -15 237
+rect -73 121 -61 225
+rect -27 121 -15 225
+rect -73 109 -15 121
+rect 15 225 73 237
+rect 15 121 27 225
+rect 61 121 73 225
+rect 15 109 73 121
+rect -73 -121 -15 -109
+rect -73 -225 -61 -121
+rect -27 -225 -15 -121
+rect -73 -237 -15 -225
+rect 15 -121 73 -109
+rect 15 -225 27 -121
+rect 61 -225 73 -121
+rect 15 -237 73 -225
+<< ndiffc >>
+rect -61 121 -27 225
+rect 27 121 61 225
+rect -61 -225 -27 -121
+rect 27 -225 61 -121
+<< psubdiff >>
+rect -175 377 -79 411
+rect 79 377 175 411
+rect -175 315 -141 377
+rect 141 315 175 377
+rect -175 -377 -141 -315
+rect 141 -377 175 -315
+rect -175 -411 -79 -377
+rect 79 -411 175 -377
+<< psubdiffcont >>
+rect -79 377 79 411
+rect -175 -315 -141 315
+rect 141 -315 175 315
+rect -79 -411 79 -377
+<< poly >>
+rect -33 309 33 325
+rect -33 275 -17 309
+rect 17 275 33 309
+rect -33 259 33 275
+rect -15 237 15 259
+rect -15 87 15 109
+rect -33 71 33 87
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 21 33 37
+rect -33 -37 33 -21
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -33 -87 33 -71
+rect -15 -109 15 -87
+rect -15 -259 15 -237
+rect -33 -275 33 -259
+rect -33 -309 -17 -275
+rect 17 -309 33 -275
+rect -33 -325 33 -309
+<< polycont >>
+rect -17 275 17 309
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -17 -309 17 -275
+<< locali >>
+rect -175 377 -79 411
+rect 79 377 175 411
+rect -175 315 -141 377
+rect 141 315 175 377
+rect -33 275 -17 309
+rect 17 275 33 309
+rect -61 225 -27 241
+rect -61 105 -27 121
+rect 27 225 61 241
+rect 27 105 61 121
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -61 -121 -27 -105
+rect -61 -241 -27 -225
+rect 27 -121 61 -105
+rect 27 -241 61 -225
+rect -33 -309 -17 -275
+rect 17 -309 33 -275
+rect -175 -377 -141 -315
+rect 141 -377 175 -315
+rect -175 -411 -79 -377
+rect 79 -411 175 -377
+<< viali >>
+rect -17 275 17 309
+rect -61 121 -27 225
+rect 27 121 61 225
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -61 -225 -27 -121
+rect 27 -225 61 -121
+rect -17 -309 17 -275
+<< metal1 >>
+rect -29 309 29 315
+rect -29 275 -17 309
+rect 17 275 29 309
+rect -29 269 29 275
+rect -67 225 -21 237
+rect -67 121 -61 225
+rect -27 121 -21 225
+rect -67 109 -21 121
+rect 21 225 67 237
+rect 21 121 27 225
+rect 61 121 67 225
+rect 21 109 67 121
+rect -29 71 29 77
+rect -29 37 -17 71
+rect 17 37 29 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect 17 -71 29 -37
+rect -29 -77 29 -71
+rect -67 -121 -21 -109
+rect -67 -225 -61 -121
+rect -27 -225 -21 -121
+rect -67 -237 -21 -225
+rect 21 -121 67 -109
+rect 21 -225 27 -121
+rect 61 -225 67 -121
+rect 21 -237 67 -225
+rect -29 -275 29 -269
+rect -29 -309 -17 -275
+rect 17 -309 29 -275
+rect -29 -315 29 -309
+<< properties >>
+string FIXED_BBOX -158 -394 158 394
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 0.64 l 0.150 m 2 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_L3LEKD.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_L3LEKD.mag
new file mode 100644
index 0000000..d785065
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_L3LEKD.mag
@@ -0,0 +1,90 @@
+magic
+tech sky130A
+timestamp 1662961975
+<< pwell >>
+rect -198 -155 198 155
+<< nmoslvt >>
+rect -100 -50 100 50
+<< ndiff >>
+rect -129 44 -100 50
+rect -129 -44 -123 44
+rect -106 -44 -100 44
+rect -129 -50 -100 -44
+rect 100 44 129 50
+rect 100 -44 106 44
+rect 123 -44 129 44
+rect 100 -50 129 -44
+<< ndiffc >>
+rect -123 -44 -106 44
+rect 106 -44 123 44
+<< psubdiff >>
+rect -180 120 -132 137
+rect 132 120 180 137
+rect -180 89 -163 120
+rect 163 89 180 120
+rect -180 -120 -163 -89
+rect 163 -120 180 -89
+rect -180 -137 -132 -120
+rect 132 -137 180 -120
+<< psubdiffcont >>
+rect -132 120 132 137
+rect -180 -89 -163 89
+rect 163 -89 180 89
+rect -132 -137 132 -120
+<< poly >>
+rect -100 86 100 94
+rect -100 69 -92 86
+rect 92 69 100 86
+rect -100 50 100 69
+rect -100 -69 100 -50
+rect -100 -86 -92 -69
+rect 92 -86 100 -69
+rect -100 -94 100 -86
+<< polycont >>
+rect -92 69 92 86
+rect -92 -86 92 -69
+<< locali >>
+rect -180 120 -132 137
+rect 132 120 180 137
+rect -180 89 -163 120
+rect 163 89 180 120
+rect -100 69 -92 86
+rect 92 69 100 86
+rect -123 44 -106 52
+rect -123 -52 -106 -44
+rect 106 44 123 52
+rect 106 -52 123 -44
+rect -100 -86 -92 -69
+rect 92 -86 100 -69
+rect -180 -120 -163 -89
+rect 163 -120 180 -89
+rect -180 -137 -132 -120
+rect 132 -137 180 -120
+<< viali >>
+rect -92 69 92 86
+rect -123 -44 -106 44
+rect 106 -44 123 44
+rect -92 -86 92 -69
+<< metal1 >>
+rect -98 86 98 89
+rect -98 69 -92 86
+rect 92 69 98 86
+rect -98 66 98 69
+rect -126 44 -103 50
+rect -126 -44 -123 44
+rect -106 -44 -103 44
+rect -126 -50 -103 -44
+rect 103 44 126 50
+rect 103 -44 106 44
+rect 123 -44 126 44
+rect 103 -50 126 -44
+rect -98 -69 98 -66
+rect -98 -86 -92 -69
+rect 92 -86 98 -69
+rect -98 -89 98 -86
+<< properties >>
+string FIXED_BBOX -171 -128 171 128
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1 l 2 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_lvt_XA5MKQ.mag b/mag/sky130_fd_pr__nfet_01v8_lvt_XA5MKQ.mag
new file mode 100644
index 0000000..31854c5
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_01v8_lvt_XA5MKQ.mag
@@ -0,0 +1,1639 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662988209
+<< error_p >>
+rect -29 5815 29 5821
+rect -29 5781 -17 5815
+rect -29 5775 29 5781
+rect -29 5505 29 5511
+rect -29 5471 -17 5505
+rect -29 5465 29 5471
+rect -29 5397 29 5403
+rect -29 5363 -17 5397
+rect -29 5357 29 5363
+rect -29 5087 29 5093
+rect -29 5053 -17 5087
+rect -29 5047 29 5053
+rect -29 4979 29 4985
+rect -29 4945 -17 4979
+rect -29 4939 29 4945
+rect -29 4669 29 4675
+rect -29 4635 -17 4669
+rect -29 4629 29 4635
+rect -29 4561 29 4567
+rect -29 4527 -17 4561
+rect -29 4521 29 4527
+rect -29 4251 29 4257
+rect -29 4217 -17 4251
+rect -29 4211 29 4217
+rect -29 4143 29 4149
+rect -29 4109 -17 4143
+rect -29 4103 29 4109
+rect -29 3833 29 3839
+rect -29 3799 -17 3833
+rect -29 3793 29 3799
+rect -29 3725 29 3731
+rect -29 3691 -17 3725
+rect -29 3685 29 3691
+rect -29 3415 29 3421
+rect -29 3381 -17 3415
+rect -29 3375 29 3381
+rect -29 3307 29 3313
+rect -29 3273 -17 3307
+rect -29 3267 29 3273
+rect -29 2997 29 3003
+rect -29 2963 -17 2997
+rect -29 2957 29 2963
+rect -29 2889 29 2895
+rect -29 2855 -17 2889
+rect -29 2849 29 2855
+rect -29 2579 29 2585
+rect -29 2545 -17 2579
+rect -29 2539 29 2545
+rect -29 2471 29 2477
+rect -29 2437 -17 2471
+rect -29 2431 29 2437
+rect -29 2161 29 2167
+rect -29 2127 -17 2161
+rect -29 2121 29 2127
+rect -29 2053 29 2059
+rect -29 2019 -17 2053
+rect -29 2013 29 2019
+rect -29 1743 29 1749
+rect -29 1709 -17 1743
+rect -29 1703 29 1709
+rect -29 1635 29 1641
+rect -29 1601 -17 1635
+rect -29 1595 29 1601
+rect -29 1325 29 1331
+rect -29 1291 -17 1325
+rect -29 1285 29 1291
+rect -29 1217 29 1223
+rect -29 1183 -17 1217
+rect -29 1177 29 1183
+rect -29 907 29 913
+rect -29 873 -17 907
+rect -29 867 29 873
+rect -29 799 29 805
+rect -29 765 -17 799
+rect -29 759 29 765
+rect -29 489 29 495
+rect -29 455 -17 489
+rect -29 449 29 455
+rect -29 381 29 387
+rect -29 347 -17 381
+rect -29 341 29 347
+rect -29 71 29 77
+rect -29 37 -17 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect -29 -77 29 -71
+rect -29 -347 29 -341
+rect -29 -381 -17 -347
+rect -29 -387 29 -381
+rect -29 -455 29 -449
+rect -29 -489 -17 -455
+rect -29 -495 29 -489
+rect -29 -765 29 -759
+rect -29 -799 -17 -765
+rect -29 -805 29 -799
+rect -29 -873 29 -867
+rect -29 -907 -17 -873
+rect -29 -913 29 -907
+rect -29 -1183 29 -1177
+rect -29 -1217 -17 -1183
+rect -29 -1223 29 -1217
+rect -29 -1291 29 -1285
+rect -29 -1325 -17 -1291
+rect -29 -1331 29 -1325
+rect -29 -1601 29 -1595
+rect -29 -1635 -17 -1601
+rect -29 -1641 29 -1635
+rect -29 -1709 29 -1703
+rect -29 -1743 -17 -1709
+rect -29 -1749 29 -1743
+rect -29 -2019 29 -2013
+rect -29 -2053 -17 -2019
+rect -29 -2059 29 -2053
+rect -29 -2127 29 -2121
+rect -29 -2161 -17 -2127
+rect -29 -2167 29 -2161
+rect -29 -2437 29 -2431
+rect -29 -2471 -17 -2437
+rect -29 -2477 29 -2471
+rect -29 -2545 29 -2539
+rect -29 -2579 -17 -2545
+rect -29 -2585 29 -2579
+rect -29 -2855 29 -2849
+rect -29 -2889 -17 -2855
+rect -29 -2895 29 -2889
+rect -29 -2963 29 -2957
+rect -29 -2997 -17 -2963
+rect -29 -3003 29 -2997
+rect -29 -3273 29 -3267
+rect -29 -3307 -17 -3273
+rect -29 -3313 29 -3307
+rect -29 -3381 29 -3375
+rect -29 -3415 -17 -3381
+rect -29 -3421 29 -3415
+rect -29 -3691 29 -3685
+rect -29 -3725 -17 -3691
+rect -29 -3731 29 -3725
+rect -29 -3799 29 -3793
+rect -29 -3833 -17 -3799
+rect -29 -3839 29 -3833
+rect -29 -4109 29 -4103
+rect -29 -4143 -17 -4109
+rect -29 -4149 29 -4143
+rect -29 -4217 29 -4211
+rect -29 -4251 -17 -4217
+rect -29 -4257 29 -4251
+rect -29 -4527 29 -4521
+rect -29 -4561 -17 -4527
+rect -29 -4567 29 -4561
+rect -29 -4635 29 -4629
+rect -29 -4669 -17 -4635
+rect -29 -4675 29 -4669
+rect -29 -4945 29 -4939
+rect -29 -4979 -17 -4945
+rect -29 -4985 29 -4979
+rect -29 -5053 29 -5047
+rect -29 -5087 -17 -5053
+rect -29 -5093 29 -5087
+rect -29 -5363 29 -5357
+rect -29 -5397 -17 -5363
+rect -29 -5403 29 -5397
+rect -29 -5471 29 -5465
+rect -29 -5505 -17 -5471
+rect -29 -5511 29 -5505
+rect -29 -5781 29 -5775
+rect -29 -5815 -17 -5781
+rect -29 -5821 29 -5815
+<< pwell >>
+rect -211 -5953 211 5953
+<< nmoslvt >>
+rect -15 5543 15 5743
+rect -15 5125 15 5325
+rect -15 4707 15 4907
+rect -15 4289 15 4489
+rect -15 3871 15 4071
+rect -15 3453 15 3653
+rect -15 3035 15 3235
+rect -15 2617 15 2817
+rect -15 2199 15 2399
+rect -15 1781 15 1981
+rect -15 1363 15 1563
+rect -15 945 15 1145
+rect -15 527 15 727
+rect -15 109 15 309
+rect -15 -309 15 -109
+rect -15 -727 15 -527
+rect -15 -1145 15 -945
+rect -15 -1563 15 -1363
+rect -15 -1981 15 -1781
+rect -15 -2399 15 -2199
+rect -15 -2817 15 -2617
+rect -15 -3235 15 -3035
+rect -15 -3653 15 -3453
+rect -15 -4071 15 -3871
+rect -15 -4489 15 -4289
+rect -15 -4907 15 -4707
+rect -15 -5325 15 -5125
+rect -15 -5743 15 -5543
+<< ndiff >>
+rect -73 5731 -15 5743
+rect -73 5555 -61 5731
+rect -27 5555 -15 5731
+rect -73 5543 -15 5555
+rect 15 5731 73 5743
+rect 15 5555 27 5731
+rect 61 5555 73 5731
+rect 15 5543 73 5555
+rect -73 5313 -15 5325
+rect -73 5137 -61 5313
+rect -27 5137 -15 5313
+rect -73 5125 -15 5137
+rect 15 5313 73 5325
+rect 15 5137 27 5313
+rect 61 5137 73 5313
+rect 15 5125 73 5137
+rect -73 4895 -15 4907
+rect -73 4719 -61 4895
+rect -27 4719 -15 4895
+rect -73 4707 -15 4719
+rect 15 4895 73 4907
+rect 15 4719 27 4895
+rect 61 4719 73 4895
+rect 15 4707 73 4719
+rect -73 4477 -15 4489
+rect -73 4301 -61 4477
+rect -27 4301 -15 4477
+rect -73 4289 -15 4301
+rect 15 4477 73 4489
+rect 15 4301 27 4477
+rect 61 4301 73 4477
+rect 15 4289 73 4301
+rect -73 4059 -15 4071
+rect -73 3883 -61 4059
+rect -27 3883 -15 4059
+rect -73 3871 -15 3883
+rect 15 4059 73 4071
+rect 15 3883 27 4059
+rect 61 3883 73 4059
+rect 15 3871 73 3883
+rect -73 3641 -15 3653
+rect -73 3465 -61 3641
+rect -27 3465 -15 3641
+rect -73 3453 -15 3465
+rect 15 3641 73 3653
+rect 15 3465 27 3641
+rect 61 3465 73 3641
+rect 15 3453 73 3465
+rect -73 3223 -15 3235
+rect -73 3047 -61 3223
+rect -27 3047 -15 3223
+rect -73 3035 -15 3047
+rect 15 3223 73 3235
+rect 15 3047 27 3223
+rect 61 3047 73 3223
+rect 15 3035 73 3047
+rect -73 2805 -15 2817
+rect -73 2629 -61 2805
+rect -27 2629 -15 2805
+rect -73 2617 -15 2629
+rect 15 2805 73 2817
+rect 15 2629 27 2805
+rect 61 2629 73 2805
+rect 15 2617 73 2629
+rect -73 2387 -15 2399
+rect -73 2211 -61 2387
+rect -27 2211 -15 2387
+rect -73 2199 -15 2211
+rect 15 2387 73 2399
+rect 15 2211 27 2387
+rect 61 2211 73 2387
+rect 15 2199 73 2211
+rect -73 1969 -15 1981
+rect -73 1793 -61 1969
+rect -27 1793 -15 1969
+rect -73 1781 -15 1793
+rect 15 1969 73 1981
+rect 15 1793 27 1969
+rect 61 1793 73 1969
+rect 15 1781 73 1793
+rect -73 1551 -15 1563
+rect -73 1375 -61 1551
+rect -27 1375 -15 1551
+rect -73 1363 -15 1375
+rect 15 1551 73 1563
+rect 15 1375 27 1551
+rect 61 1375 73 1551
+rect 15 1363 73 1375
+rect -73 1133 -15 1145
+rect -73 957 -61 1133
+rect -27 957 -15 1133
+rect -73 945 -15 957
+rect 15 1133 73 1145
+rect 15 957 27 1133
+rect 61 957 73 1133
+rect 15 945 73 957
+rect -73 715 -15 727
+rect -73 539 -61 715
+rect -27 539 -15 715
+rect -73 527 -15 539
+rect 15 715 73 727
+rect 15 539 27 715
+rect 61 539 73 715
+rect 15 527 73 539
+rect -73 297 -15 309
+rect -73 121 -61 297
+rect -27 121 -15 297
+rect -73 109 -15 121
+rect 15 297 73 309
+rect 15 121 27 297
+rect 61 121 73 297
+rect 15 109 73 121
+rect -73 -121 -15 -109
+rect -73 -297 -61 -121
+rect -27 -297 -15 -121
+rect -73 -309 -15 -297
+rect 15 -121 73 -109
+rect 15 -297 27 -121
+rect 61 -297 73 -121
+rect 15 -309 73 -297
+rect -73 -539 -15 -527
+rect -73 -715 -61 -539
+rect -27 -715 -15 -539
+rect -73 -727 -15 -715
+rect 15 -539 73 -527
+rect 15 -715 27 -539
+rect 61 -715 73 -539
+rect 15 -727 73 -715
+rect -73 -957 -15 -945
+rect -73 -1133 -61 -957
+rect -27 -1133 -15 -957
+rect -73 -1145 -15 -1133
+rect 15 -957 73 -945
+rect 15 -1133 27 -957
+rect 61 -1133 73 -957
+rect 15 -1145 73 -1133
+rect -73 -1375 -15 -1363
+rect -73 -1551 -61 -1375
+rect -27 -1551 -15 -1375
+rect -73 -1563 -15 -1551
+rect 15 -1375 73 -1363
+rect 15 -1551 27 -1375
+rect 61 -1551 73 -1375
+rect 15 -1563 73 -1551
+rect -73 -1793 -15 -1781
+rect -73 -1969 -61 -1793
+rect -27 -1969 -15 -1793
+rect -73 -1981 -15 -1969
+rect 15 -1793 73 -1781
+rect 15 -1969 27 -1793
+rect 61 -1969 73 -1793
+rect 15 -1981 73 -1969
+rect -73 -2211 -15 -2199
+rect -73 -2387 -61 -2211
+rect -27 -2387 -15 -2211
+rect -73 -2399 -15 -2387
+rect 15 -2211 73 -2199
+rect 15 -2387 27 -2211
+rect 61 -2387 73 -2211
+rect 15 -2399 73 -2387
+rect -73 -2629 -15 -2617
+rect -73 -2805 -61 -2629
+rect -27 -2805 -15 -2629
+rect -73 -2817 -15 -2805
+rect 15 -2629 73 -2617
+rect 15 -2805 27 -2629
+rect 61 -2805 73 -2629
+rect 15 -2817 73 -2805
+rect -73 -3047 -15 -3035
+rect -73 -3223 -61 -3047
+rect -27 -3223 -15 -3047
+rect -73 -3235 -15 -3223
+rect 15 -3047 73 -3035
+rect 15 -3223 27 -3047
+rect 61 -3223 73 -3047
+rect 15 -3235 73 -3223
+rect -73 -3465 -15 -3453
+rect -73 -3641 -61 -3465
+rect -27 -3641 -15 -3465
+rect -73 -3653 -15 -3641
+rect 15 -3465 73 -3453
+rect 15 -3641 27 -3465
+rect 61 -3641 73 -3465
+rect 15 -3653 73 -3641
+rect -73 -3883 -15 -3871
+rect -73 -4059 -61 -3883
+rect -27 -4059 -15 -3883
+rect -73 -4071 -15 -4059
+rect 15 -3883 73 -3871
+rect 15 -4059 27 -3883
+rect 61 -4059 73 -3883
+rect 15 -4071 73 -4059
+rect -73 -4301 -15 -4289
+rect -73 -4477 -61 -4301
+rect -27 -4477 -15 -4301
+rect -73 -4489 -15 -4477
+rect 15 -4301 73 -4289
+rect 15 -4477 27 -4301
+rect 61 -4477 73 -4301
+rect 15 -4489 73 -4477
+rect -73 -4719 -15 -4707
+rect -73 -4895 -61 -4719
+rect -27 -4895 -15 -4719
+rect -73 -4907 -15 -4895
+rect 15 -4719 73 -4707
+rect 15 -4895 27 -4719
+rect 61 -4895 73 -4719
+rect 15 -4907 73 -4895
+rect -73 -5137 -15 -5125
+rect -73 -5313 -61 -5137
+rect -27 -5313 -15 -5137
+rect -73 -5325 -15 -5313
+rect 15 -5137 73 -5125
+rect 15 -5313 27 -5137
+rect 61 -5313 73 -5137
+rect 15 -5325 73 -5313
+rect -73 -5555 -15 -5543
+rect -73 -5731 -61 -5555
+rect -27 -5731 -15 -5555
+rect -73 -5743 -15 -5731
+rect 15 -5555 73 -5543
+rect 15 -5731 27 -5555
+rect 61 -5731 73 -5555
+rect 15 -5743 73 -5731
+<< ndiffc >>
+rect -61 5555 -27 5731
+rect 27 5555 61 5731
+rect -61 5137 -27 5313
+rect 27 5137 61 5313
+rect -61 4719 -27 4895
+rect 27 4719 61 4895
+rect -61 4301 -27 4477
+rect 27 4301 61 4477
+rect -61 3883 -27 4059
+rect 27 3883 61 4059
+rect -61 3465 -27 3641
+rect 27 3465 61 3641
+rect -61 3047 -27 3223
+rect 27 3047 61 3223
+rect -61 2629 -27 2805
+rect 27 2629 61 2805
+rect -61 2211 -27 2387
+rect 27 2211 61 2387
+rect -61 1793 -27 1969
+rect 27 1793 61 1969
+rect -61 1375 -27 1551
+rect 27 1375 61 1551
+rect -61 957 -27 1133
+rect 27 957 61 1133
+rect -61 539 -27 715
+rect 27 539 61 715
+rect -61 121 -27 297
+rect 27 121 61 297
+rect -61 -297 -27 -121
+rect 27 -297 61 -121
+rect -61 -715 -27 -539
+rect 27 -715 61 -539
+rect -61 -1133 -27 -957
+rect 27 -1133 61 -957
+rect -61 -1551 -27 -1375
+rect 27 -1551 61 -1375
+rect -61 -1969 -27 -1793
+rect 27 -1969 61 -1793
+rect -61 -2387 -27 -2211
+rect 27 -2387 61 -2211
+rect -61 -2805 -27 -2629
+rect 27 -2805 61 -2629
+rect -61 -3223 -27 -3047
+rect 27 -3223 61 -3047
+rect -61 -3641 -27 -3465
+rect 27 -3641 61 -3465
+rect -61 -4059 -27 -3883
+rect 27 -4059 61 -3883
+rect -61 -4477 -27 -4301
+rect 27 -4477 61 -4301
+rect -61 -4895 -27 -4719
+rect 27 -4895 61 -4719
+rect -61 -5313 -27 -5137
+rect 27 -5313 61 -5137
+rect -61 -5731 -27 -5555
+rect 27 -5731 61 -5555
+<< psubdiff >>
+rect -175 5883 -79 5917
+rect 79 5883 175 5917
+rect -175 5821 -141 5883
+rect 141 5821 175 5883
+rect -175 -5883 -141 -5821
+rect 141 -5883 175 -5821
+rect -175 -5917 -79 -5883
+rect 79 -5917 175 -5883
+<< psubdiffcont >>
+rect -79 5883 79 5917
+rect -175 -5821 -141 5821
+rect 141 -5821 175 5821
+rect -79 -5917 79 -5883
+<< poly >>
+rect -33 5815 33 5831
+rect -33 5781 -17 5815
+rect 17 5781 33 5815
+rect -33 5765 33 5781
+rect -15 5743 15 5765
+rect -15 5521 15 5543
+rect -33 5505 33 5521
+rect -33 5471 -17 5505
+rect 17 5471 33 5505
+rect -33 5455 33 5471
+rect -33 5397 33 5413
+rect -33 5363 -17 5397
+rect 17 5363 33 5397
+rect -33 5347 33 5363
+rect -15 5325 15 5347
+rect -15 5103 15 5125
+rect -33 5087 33 5103
+rect -33 5053 -17 5087
+rect 17 5053 33 5087
+rect -33 5037 33 5053
+rect -33 4979 33 4995
+rect -33 4945 -17 4979
+rect 17 4945 33 4979
+rect -33 4929 33 4945
+rect -15 4907 15 4929
+rect -15 4685 15 4707
+rect -33 4669 33 4685
+rect -33 4635 -17 4669
+rect 17 4635 33 4669
+rect -33 4619 33 4635
+rect -33 4561 33 4577
+rect -33 4527 -17 4561
+rect 17 4527 33 4561
+rect -33 4511 33 4527
+rect -15 4489 15 4511
+rect -15 4267 15 4289
+rect -33 4251 33 4267
+rect -33 4217 -17 4251
+rect 17 4217 33 4251
+rect -33 4201 33 4217
+rect -33 4143 33 4159
+rect -33 4109 -17 4143
+rect 17 4109 33 4143
+rect -33 4093 33 4109
+rect -15 4071 15 4093
+rect -15 3849 15 3871
+rect -33 3833 33 3849
+rect -33 3799 -17 3833
+rect 17 3799 33 3833
+rect -33 3783 33 3799
+rect -33 3725 33 3741
+rect -33 3691 -17 3725
+rect 17 3691 33 3725
+rect -33 3675 33 3691
+rect -15 3653 15 3675
+rect -15 3431 15 3453
+rect -33 3415 33 3431
+rect -33 3381 -17 3415
+rect 17 3381 33 3415
+rect -33 3365 33 3381
+rect -33 3307 33 3323
+rect -33 3273 -17 3307
+rect 17 3273 33 3307
+rect -33 3257 33 3273
+rect -15 3235 15 3257
+rect -15 3013 15 3035
+rect -33 2997 33 3013
+rect -33 2963 -17 2997
+rect 17 2963 33 2997
+rect -33 2947 33 2963
+rect -33 2889 33 2905
+rect -33 2855 -17 2889
+rect 17 2855 33 2889
+rect -33 2839 33 2855
+rect -15 2817 15 2839
+rect -15 2595 15 2617
+rect -33 2579 33 2595
+rect -33 2545 -17 2579
+rect 17 2545 33 2579
+rect -33 2529 33 2545
+rect -33 2471 33 2487
+rect -33 2437 -17 2471
+rect 17 2437 33 2471
+rect -33 2421 33 2437
+rect -15 2399 15 2421
+rect -15 2177 15 2199
+rect -33 2161 33 2177
+rect -33 2127 -17 2161
+rect 17 2127 33 2161
+rect -33 2111 33 2127
+rect -33 2053 33 2069
+rect -33 2019 -17 2053
+rect 17 2019 33 2053
+rect -33 2003 33 2019
+rect -15 1981 15 2003
+rect -15 1759 15 1781
+rect -33 1743 33 1759
+rect -33 1709 -17 1743
+rect 17 1709 33 1743
+rect -33 1693 33 1709
+rect -33 1635 33 1651
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -33 1585 33 1601
+rect -15 1563 15 1585
+rect -15 1341 15 1363
+rect -33 1325 33 1341
+rect -33 1291 -17 1325
+rect 17 1291 33 1325
+rect -33 1275 33 1291
+rect -33 1217 33 1233
+rect -33 1183 -17 1217
+rect 17 1183 33 1217
+rect -33 1167 33 1183
+rect -15 1145 15 1167
+rect -15 923 15 945
+rect -33 907 33 923
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 857 33 873
+rect -33 799 33 815
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -33 749 33 765
+rect -15 727 15 749
+rect -15 505 15 527
+rect -33 489 33 505
+rect -33 455 -17 489
+rect 17 455 33 489
+rect -33 439 33 455
+rect -33 381 33 397
+rect -33 347 -17 381
+rect 17 347 33 381
+rect -33 331 33 347
+rect -15 309 15 331
+rect -15 87 15 109
+rect -33 71 33 87
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 21 33 37
+rect -33 -37 33 -21
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -33 -87 33 -71
+rect -15 -109 15 -87
+rect -15 -331 15 -309
+rect -33 -347 33 -331
+rect -33 -381 -17 -347
+rect 17 -381 33 -347
+rect -33 -397 33 -381
+rect -33 -455 33 -439
+rect -33 -489 -17 -455
+rect 17 -489 33 -455
+rect -33 -505 33 -489
+rect -15 -527 15 -505
+rect -15 -749 15 -727
+rect -33 -765 33 -749
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -815 33 -799
+rect -33 -873 33 -857
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -33 -923 33 -907
+rect -15 -945 15 -923
+rect -15 -1167 15 -1145
+rect -33 -1183 33 -1167
+rect -33 -1217 -17 -1183
+rect 17 -1217 33 -1183
+rect -33 -1233 33 -1217
+rect -33 -1291 33 -1275
+rect -33 -1325 -17 -1291
+rect 17 -1325 33 -1291
+rect -33 -1341 33 -1325
+rect -15 -1363 15 -1341
+rect -15 -1585 15 -1563
+rect -33 -1601 33 -1585
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1651 33 -1635
+rect -33 -1709 33 -1693
+rect -33 -1743 -17 -1709
+rect 17 -1743 33 -1709
+rect -33 -1759 33 -1743
+rect -15 -1781 15 -1759
+rect -15 -2003 15 -1981
+rect -33 -2019 33 -2003
+rect -33 -2053 -17 -2019
+rect 17 -2053 33 -2019
+rect -33 -2069 33 -2053
+rect -33 -2127 33 -2111
+rect -33 -2161 -17 -2127
+rect 17 -2161 33 -2127
+rect -33 -2177 33 -2161
+rect -15 -2199 15 -2177
+rect -15 -2421 15 -2399
+rect -33 -2437 33 -2421
+rect -33 -2471 -17 -2437
+rect 17 -2471 33 -2437
+rect -33 -2487 33 -2471
+rect -33 -2545 33 -2529
+rect -33 -2579 -17 -2545
+rect 17 -2579 33 -2545
+rect -33 -2595 33 -2579
+rect -15 -2617 15 -2595
+rect -15 -2839 15 -2817
+rect -33 -2855 33 -2839
+rect -33 -2889 -17 -2855
+rect 17 -2889 33 -2855
+rect -33 -2905 33 -2889
+rect -33 -2963 33 -2947
+rect -33 -2997 -17 -2963
+rect 17 -2997 33 -2963
+rect -33 -3013 33 -2997
+rect -15 -3035 15 -3013
+rect -15 -3257 15 -3235
+rect -33 -3273 33 -3257
+rect -33 -3307 -17 -3273
+rect 17 -3307 33 -3273
+rect -33 -3323 33 -3307
+rect -33 -3381 33 -3365
+rect -33 -3415 -17 -3381
+rect 17 -3415 33 -3381
+rect -33 -3431 33 -3415
+rect -15 -3453 15 -3431
+rect -15 -3675 15 -3653
+rect -33 -3691 33 -3675
+rect -33 -3725 -17 -3691
+rect 17 -3725 33 -3691
+rect -33 -3741 33 -3725
+rect -33 -3799 33 -3783
+rect -33 -3833 -17 -3799
+rect 17 -3833 33 -3799
+rect -33 -3849 33 -3833
+rect -15 -3871 15 -3849
+rect -15 -4093 15 -4071
+rect -33 -4109 33 -4093
+rect -33 -4143 -17 -4109
+rect 17 -4143 33 -4109
+rect -33 -4159 33 -4143
+rect -33 -4217 33 -4201
+rect -33 -4251 -17 -4217
+rect 17 -4251 33 -4217
+rect -33 -4267 33 -4251
+rect -15 -4289 15 -4267
+rect -15 -4511 15 -4489
+rect -33 -4527 33 -4511
+rect -33 -4561 -17 -4527
+rect 17 -4561 33 -4527
+rect -33 -4577 33 -4561
+rect -33 -4635 33 -4619
+rect -33 -4669 -17 -4635
+rect 17 -4669 33 -4635
+rect -33 -4685 33 -4669
+rect -15 -4707 15 -4685
+rect -15 -4929 15 -4907
+rect -33 -4945 33 -4929
+rect -33 -4979 -17 -4945
+rect 17 -4979 33 -4945
+rect -33 -4995 33 -4979
+rect -33 -5053 33 -5037
+rect -33 -5087 -17 -5053
+rect 17 -5087 33 -5053
+rect -33 -5103 33 -5087
+rect -15 -5125 15 -5103
+rect -15 -5347 15 -5325
+rect -33 -5363 33 -5347
+rect -33 -5397 -17 -5363
+rect 17 -5397 33 -5363
+rect -33 -5413 33 -5397
+rect -33 -5471 33 -5455
+rect -33 -5505 -17 -5471
+rect 17 -5505 33 -5471
+rect -33 -5521 33 -5505
+rect -15 -5543 15 -5521
+rect -15 -5765 15 -5743
+rect -33 -5781 33 -5765
+rect -33 -5815 -17 -5781
+rect 17 -5815 33 -5781
+rect -33 -5831 33 -5815
+<< polycont >>
+rect -17 5781 17 5815
+rect -17 5471 17 5505
+rect -17 5363 17 5397
+rect -17 5053 17 5087
+rect -17 4945 17 4979
+rect -17 4635 17 4669
+rect -17 4527 17 4561
+rect -17 4217 17 4251
+rect -17 4109 17 4143
+rect -17 3799 17 3833
+rect -17 3691 17 3725
+rect -17 3381 17 3415
+rect -17 3273 17 3307
+rect -17 2963 17 2997
+rect -17 2855 17 2889
+rect -17 2545 17 2579
+rect -17 2437 17 2471
+rect -17 2127 17 2161
+rect -17 2019 17 2053
+rect -17 1709 17 1743
+rect -17 1601 17 1635
+rect -17 1291 17 1325
+rect -17 1183 17 1217
+rect -17 873 17 907
+rect -17 765 17 799
+rect -17 455 17 489
+rect -17 347 17 381
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -17 -381 17 -347
+rect -17 -489 17 -455
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -17 -1217 17 -1183
+rect -17 -1325 17 -1291
+rect -17 -1635 17 -1601
+rect -17 -1743 17 -1709
+rect -17 -2053 17 -2019
+rect -17 -2161 17 -2127
+rect -17 -2471 17 -2437
+rect -17 -2579 17 -2545
+rect -17 -2889 17 -2855
+rect -17 -2997 17 -2963
+rect -17 -3307 17 -3273
+rect -17 -3415 17 -3381
+rect -17 -3725 17 -3691
+rect -17 -3833 17 -3799
+rect -17 -4143 17 -4109
+rect -17 -4251 17 -4217
+rect -17 -4561 17 -4527
+rect -17 -4669 17 -4635
+rect -17 -4979 17 -4945
+rect -17 -5087 17 -5053
+rect -17 -5397 17 -5363
+rect -17 -5505 17 -5471
+rect -17 -5815 17 -5781
+<< locali >>
+rect -175 5883 -79 5917
+rect 79 5883 175 5917
+rect -175 5821 -141 5883
+rect 141 5821 175 5883
+rect -33 5781 -17 5815
+rect 17 5781 33 5815
+rect -61 5731 -27 5747
+rect -61 5539 -27 5555
+rect 27 5731 61 5747
+rect 27 5539 61 5555
+rect -33 5471 -17 5505
+rect 17 5471 33 5505
+rect -33 5363 -17 5397
+rect 17 5363 33 5397
+rect -61 5313 -27 5329
+rect -61 5121 -27 5137
+rect 27 5313 61 5329
+rect 27 5121 61 5137
+rect -33 5053 -17 5087
+rect 17 5053 33 5087
+rect -33 4945 -17 4979
+rect 17 4945 33 4979
+rect -61 4895 -27 4911
+rect -61 4703 -27 4719
+rect 27 4895 61 4911
+rect 27 4703 61 4719
+rect -33 4635 -17 4669
+rect 17 4635 33 4669
+rect -33 4527 -17 4561
+rect 17 4527 33 4561
+rect -61 4477 -27 4493
+rect -61 4285 -27 4301
+rect 27 4477 61 4493
+rect 27 4285 61 4301
+rect -33 4217 -17 4251
+rect 17 4217 33 4251
+rect -33 4109 -17 4143
+rect 17 4109 33 4143
+rect -61 4059 -27 4075
+rect -61 3867 -27 3883
+rect 27 4059 61 4075
+rect 27 3867 61 3883
+rect -33 3799 -17 3833
+rect 17 3799 33 3833
+rect -33 3691 -17 3725
+rect 17 3691 33 3725
+rect -61 3641 -27 3657
+rect -61 3449 -27 3465
+rect 27 3641 61 3657
+rect 27 3449 61 3465
+rect -33 3381 -17 3415
+rect 17 3381 33 3415
+rect -33 3273 -17 3307
+rect 17 3273 33 3307
+rect -61 3223 -27 3239
+rect -61 3031 -27 3047
+rect 27 3223 61 3239
+rect 27 3031 61 3047
+rect -33 2963 -17 2997
+rect 17 2963 33 2997
+rect -33 2855 -17 2889
+rect 17 2855 33 2889
+rect -61 2805 -27 2821
+rect -61 2613 -27 2629
+rect 27 2805 61 2821
+rect 27 2613 61 2629
+rect -33 2545 -17 2579
+rect 17 2545 33 2579
+rect -33 2437 -17 2471
+rect 17 2437 33 2471
+rect -61 2387 -27 2403
+rect -61 2195 -27 2211
+rect 27 2387 61 2403
+rect 27 2195 61 2211
+rect -33 2127 -17 2161
+rect 17 2127 33 2161
+rect -33 2019 -17 2053
+rect 17 2019 33 2053
+rect -61 1969 -27 1985
+rect -61 1777 -27 1793
+rect 27 1969 61 1985
+rect 27 1777 61 1793
+rect -33 1709 -17 1743
+rect 17 1709 33 1743
+rect -33 1601 -17 1635
+rect 17 1601 33 1635
+rect -61 1551 -27 1567
+rect -61 1359 -27 1375
+rect 27 1551 61 1567
+rect 27 1359 61 1375
+rect -33 1291 -17 1325
+rect 17 1291 33 1325
+rect -33 1183 -17 1217
+rect 17 1183 33 1217
+rect -61 1133 -27 1149
+rect -61 941 -27 957
+rect 27 1133 61 1149
+rect 27 941 61 957
+rect -33 873 -17 907
+rect 17 873 33 907
+rect -33 765 -17 799
+rect 17 765 33 799
+rect -61 715 -27 731
+rect -61 523 -27 539
+rect 27 715 61 731
+rect 27 523 61 539
+rect -33 455 -17 489
+rect 17 455 33 489
+rect -33 347 -17 381
+rect 17 347 33 381
+rect -61 297 -27 313
+rect -61 105 -27 121
+rect 27 297 61 313
+rect 27 105 61 121
+rect -33 37 -17 71
+rect 17 37 33 71
+rect -33 -71 -17 -37
+rect 17 -71 33 -37
+rect -61 -121 -27 -105
+rect -61 -313 -27 -297
+rect 27 -121 61 -105
+rect 27 -313 61 -297
+rect -33 -381 -17 -347
+rect 17 -381 33 -347
+rect -33 -489 -17 -455
+rect 17 -489 33 -455
+rect -61 -539 -27 -523
+rect -61 -731 -27 -715
+rect 27 -539 61 -523
+rect 27 -731 61 -715
+rect -33 -799 -17 -765
+rect 17 -799 33 -765
+rect -33 -907 -17 -873
+rect 17 -907 33 -873
+rect -61 -957 -27 -941
+rect -61 -1149 -27 -1133
+rect 27 -957 61 -941
+rect 27 -1149 61 -1133
+rect -33 -1217 -17 -1183
+rect 17 -1217 33 -1183
+rect -33 -1325 -17 -1291
+rect 17 -1325 33 -1291
+rect -61 -1375 -27 -1359
+rect -61 -1567 -27 -1551
+rect 27 -1375 61 -1359
+rect 27 -1567 61 -1551
+rect -33 -1635 -17 -1601
+rect 17 -1635 33 -1601
+rect -33 -1743 -17 -1709
+rect 17 -1743 33 -1709
+rect -61 -1793 -27 -1777
+rect -61 -1985 -27 -1969
+rect 27 -1793 61 -1777
+rect 27 -1985 61 -1969
+rect -33 -2053 -17 -2019
+rect 17 -2053 33 -2019
+rect -33 -2161 -17 -2127
+rect 17 -2161 33 -2127
+rect -61 -2211 -27 -2195
+rect -61 -2403 -27 -2387
+rect 27 -2211 61 -2195
+rect 27 -2403 61 -2387
+rect -33 -2471 -17 -2437
+rect 17 -2471 33 -2437
+rect -33 -2579 -17 -2545
+rect 17 -2579 33 -2545
+rect -61 -2629 -27 -2613
+rect -61 -2821 -27 -2805
+rect 27 -2629 61 -2613
+rect 27 -2821 61 -2805
+rect -33 -2889 -17 -2855
+rect 17 -2889 33 -2855
+rect -33 -2997 -17 -2963
+rect 17 -2997 33 -2963
+rect -61 -3047 -27 -3031
+rect -61 -3239 -27 -3223
+rect 27 -3047 61 -3031
+rect 27 -3239 61 -3223
+rect -33 -3307 -17 -3273
+rect 17 -3307 33 -3273
+rect -33 -3415 -17 -3381
+rect 17 -3415 33 -3381
+rect -61 -3465 -27 -3449
+rect -61 -3657 -27 -3641
+rect 27 -3465 61 -3449
+rect 27 -3657 61 -3641
+rect -33 -3725 -17 -3691
+rect 17 -3725 33 -3691
+rect -33 -3833 -17 -3799
+rect 17 -3833 33 -3799
+rect -61 -3883 -27 -3867
+rect -61 -4075 -27 -4059
+rect 27 -3883 61 -3867
+rect 27 -4075 61 -4059
+rect -33 -4143 -17 -4109
+rect 17 -4143 33 -4109
+rect -33 -4251 -17 -4217
+rect 17 -4251 33 -4217
+rect -61 -4301 -27 -4285
+rect -61 -4493 -27 -4477
+rect 27 -4301 61 -4285
+rect 27 -4493 61 -4477
+rect -33 -4561 -17 -4527
+rect 17 -4561 33 -4527
+rect -33 -4669 -17 -4635
+rect 17 -4669 33 -4635
+rect -61 -4719 -27 -4703
+rect -61 -4911 -27 -4895
+rect 27 -4719 61 -4703
+rect 27 -4911 61 -4895
+rect -33 -4979 -17 -4945
+rect 17 -4979 33 -4945
+rect -33 -5087 -17 -5053
+rect 17 -5087 33 -5053
+rect -61 -5137 -27 -5121
+rect -61 -5329 -27 -5313
+rect 27 -5137 61 -5121
+rect 27 -5329 61 -5313
+rect -33 -5397 -17 -5363
+rect 17 -5397 33 -5363
+rect -33 -5505 -17 -5471
+rect 17 -5505 33 -5471
+rect -61 -5555 -27 -5539
+rect -61 -5747 -27 -5731
+rect 27 -5555 61 -5539
+rect 27 -5747 61 -5731
+rect -33 -5815 -17 -5781
+rect 17 -5815 33 -5781
+rect -175 -5883 -141 -5821
+rect 141 -5883 175 -5821
+rect -175 -5917 -79 -5883
+rect 79 -5917 175 -5883
+<< viali >>
+rect -17 5781 17 5815
+rect -61 5555 -27 5731
+rect 27 5555 61 5731
+rect -17 5471 17 5505
+rect -17 5363 17 5397
+rect -61 5137 -27 5313
+rect 27 5137 61 5313
+rect -17 5053 17 5087
+rect -17 4945 17 4979
+rect -61 4719 -27 4895
+rect 27 4719 61 4895
+rect -17 4635 17 4669
+rect -17 4527 17 4561
+rect -61 4301 -27 4477
+rect 27 4301 61 4477
+rect -17 4217 17 4251
+rect -17 4109 17 4143
+rect -61 3883 -27 4059
+rect 27 3883 61 4059
+rect -17 3799 17 3833
+rect -17 3691 17 3725
+rect -61 3465 -27 3641
+rect 27 3465 61 3641
+rect -17 3381 17 3415
+rect -17 3273 17 3307
+rect -61 3047 -27 3223
+rect 27 3047 61 3223
+rect -17 2963 17 2997
+rect -17 2855 17 2889
+rect -61 2629 -27 2805
+rect 27 2629 61 2805
+rect -17 2545 17 2579
+rect -17 2437 17 2471
+rect -61 2211 -27 2387
+rect 27 2211 61 2387
+rect -17 2127 17 2161
+rect -17 2019 17 2053
+rect -61 1793 -27 1969
+rect 27 1793 61 1969
+rect -17 1709 17 1743
+rect -17 1601 17 1635
+rect -61 1375 -27 1551
+rect 27 1375 61 1551
+rect -17 1291 17 1325
+rect -17 1183 17 1217
+rect -61 957 -27 1133
+rect 27 957 61 1133
+rect -17 873 17 907
+rect -17 765 17 799
+rect -61 539 -27 715
+rect 27 539 61 715
+rect -17 455 17 489
+rect -17 347 17 381
+rect -61 121 -27 297
+rect 27 121 61 297
+rect -17 37 17 71
+rect -17 -71 17 -37
+rect -61 -297 -27 -121
+rect 27 -297 61 -121
+rect -17 -381 17 -347
+rect -17 -489 17 -455
+rect -61 -715 -27 -539
+rect 27 -715 61 -539
+rect -17 -799 17 -765
+rect -17 -907 17 -873
+rect -61 -1133 -27 -957
+rect 27 -1133 61 -957
+rect -17 -1217 17 -1183
+rect -17 -1325 17 -1291
+rect -61 -1551 -27 -1375
+rect 27 -1551 61 -1375
+rect -17 -1635 17 -1601
+rect -17 -1743 17 -1709
+rect -61 -1969 -27 -1793
+rect 27 -1969 61 -1793
+rect -17 -2053 17 -2019
+rect -17 -2161 17 -2127
+rect -61 -2387 -27 -2211
+rect 27 -2387 61 -2211
+rect -17 -2471 17 -2437
+rect -17 -2579 17 -2545
+rect -61 -2805 -27 -2629
+rect 27 -2805 61 -2629
+rect -17 -2889 17 -2855
+rect -17 -2997 17 -2963
+rect -61 -3223 -27 -3047
+rect 27 -3223 61 -3047
+rect -17 -3307 17 -3273
+rect -17 -3415 17 -3381
+rect -61 -3641 -27 -3465
+rect 27 -3641 61 -3465
+rect -17 -3725 17 -3691
+rect -17 -3833 17 -3799
+rect -61 -4059 -27 -3883
+rect 27 -4059 61 -3883
+rect -17 -4143 17 -4109
+rect -17 -4251 17 -4217
+rect -61 -4477 -27 -4301
+rect 27 -4477 61 -4301
+rect -17 -4561 17 -4527
+rect -17 -4669 17 -4635
+rect -61 -4895 -27 -4719
+rect 27 -4895 61 -4719
+rect -17 -4979 17 -4945
+rect -17 -5087 17 -5053
+rect -61 -5313 -27 -5137
+rect 27 -5313 61 -5137
+rect -17 -5397 17 -5363
+rect -17 -5505 17 -5471
+rect -61 -5731 -27 -5555
+rect 27 -5731 61 -5555
+rect -17 -5815 17 -5781
+<< metal1 >>
+rect -29 5815 29 5821
+rect -29 5781 -17 5815
+rect 17 5781 29 5815
+rect -29 5775 29 5781
+rect -67 5731 -21 5743
+rect -67 5555 -61 5731
+rect -27 5555 -21 5731
+rect -67 5543 -21 5555
+rect 21 5731 67 5743
+rect 21 5555 27 5731
+rect 61 5555 67 5731
+rect 21 5543 67 5555
+rect -29 5505 29 5511
+rect -29 5471 -17 5505
+rect 17 5471 29 5505
+rect -29 5465 29 5471
+rect -29 5397 29 5403
+rect -29 5363 -17 5397
+rect 17 5363 29 5397
+rect -29 5357 29 5363
+rect -67 5313 -21 5325
+rect -67 5137 -61 5313
+rect -27 5137 -21 5313
+rect -67 5125 -21 5137
+rect 21 5313 67 5325
+rect 21 5137 27 5313
+rect 61 5137 67 5313
+rect 21 5125 67 5137
+rect -29 5087 29 5093
+rect -29 5053 -17 5087
+rect 17 5053 29 5087
+rect -29 5047 29 5053
+rect -29 4979 29 4985
+rect -29 4945 -17 4979
+rect 17 4945 29 4979
+rect -29 4939 29 4945
+rect -67 4895 -21 4907
+rect -67 4719 -61 4895
+rect -27 4719 -21 4895
+rect -67 4707 -21 4719
+rect 21 4895 67 4907
+rect 21 4719 27 4895
+rect 61 4719 67 4895
+rect 21 4707 67 4719
+rect -29 4669 29 4675
+rect -29 4635 -17 4669
+rect 17 4635 29 4669
+rect -29 4629 29 4635
+rect -29 4561 29 4567
+rect -29 4527 -17 4561
+rect 17 4527 29 4561
+rect -29 4521 29 4527
+rect -67 4477 -21 4489
+rect -67 4301 -61 4477
+rect -27 4301 -21 4477
+rect -67 4289 -21 4301
+rect 21 4477 67 4489
+rect 21 4301 27 4477
+rect 61 4301 67 4477
+rect 21 4289 67 4301
+rect -29 4251 29 4257
+rect -29 4217 -17 4251
+rect 17 4217 29 4251
+rect -29 4211 29 4217
+rect -29 4143 29 4149
+rect -29 4109 -17 4143
+rect 17 4109 29 4143
+rect -29 4103 29 4109
+rect -67 4059 -21 4071
+rect -67 3883 -61 4059
+rect -27 3883 -21 4059
+rect -67 3871 -21 3883
+rect 21 4059 67 4071
+rect 21 3883 27 4059
+rect 61 3883 67 4059
+rect 21 3871 67 3883
+rect -29 3833 29 3839
+rect -29 3799 -17 3833
+rect 17 3799 29 3833
+rect -29 3793 29 3799
+rect -29 3725 29 3731
+rect -29 3691 -17 3725
+rect 17 3691 29 3725
+rect -29 3685 29 3691
+rect -67 3641 -21 3653
+rect -67 3465 -61 3641
+rect -27 3465 -21 3641
+rect -67 3453 -21 3465
+rect 21 3641 67 3653
+rect 21 3465 27 3641
+rect 61 3465 67 3641
+rect 21 3453 67 3465
+rect -29 3415 29 3421
+rect -29 3381 -17 3415
+rect 17 3381 29 3415
+rect -29 3375 29 3381
+rect -29 3307 29 3313
+rect -29 3273 -17 3307
+rect 17 3273 29 3307
+rect -29 3267 29 3273
+rect -67 3223 -21 3235
+rect -67 3047 -61 3223
+rect -27 3047 -21 3223
+rect -67 3035 -21 3047
+rect 21 3223 67 3235
+rect 21 3047 27 3223
+rect 61 3047 67 3223
+rect 21 3035 67 3047
+rect -29 2997 29 3003
+rect -29 2963 -17 2997
+rect 17 2963 29 2997
+rect -29 2957 29 2963
+rect -29 2889 29 2895
+rect -29 2855 -17 2889
+rect 17 2855 29 2889
+rect -29 2849 29 2855
+rect -67 2805 -21 2817
+rect -67 2629 -61 2805
+rect -27 2629 -21 2805
+rect -67 2617 -21 2629
+rect 21 2805 67 2817
+rect 21 2629 27 2805
+rect 61 2629 67 2805
+rect 21 2617 67 2629
+rect -29 2579 29 2585
+rect -29 2545 -17 2579
+rect 17 2545 29 2579
+rect -29 2539 29 2545
+rect -29 2471 29 2477
+rect -29 2437 -17 2471
+rect 17 2437 29 2471
+rect -29 2431 29 2437
+rect -67 2387 -21 2399
+rect -67 2211 -61 2387
+rect -27 2211 -21 2387
+rect -67 2199 -21 2211
+rect 21 2387 67 2399
+rect 21 2211 27 2387
+rect 61 2211 67 2387
+rect 21 2199 67 2211
+rect -29 2161 29 2167
+rect -29 2127 -17 2161
+rect 17 2127 29 2161
+rect -29 2121 29 2127
+rect -29 2053 29 2059
+rect -29 2019 -17 2053
+rect 17 2019 29 2053
+rect -29 2013 29 2019
+rect -67 1969 -21 1981
+rect -67 1793 -61 1969
+rect -27 1793 -21 1969
+rect -67 1781 -21 1793
+rect 21 1969 67 1981
+rect 21 1793 27 1969
+rect 61 1793 67 1969
+rect 21 1781 67 1793
+rect -29 1743 29 1749
+rect -29 1709 -17 1743
+rect 17 1709 29 1743
+rect -29 1703 29 1709
+rect -29 1635 29 1641
+rect -29 1601 -17 1635
+rect 17 1601 29 1635
+rect -29 1595 29 1601
+rect -67 1551 -21 1563
+rect -67 1375 -61 1551
+rect -27 1375 -21 1551
+rect -67 1363 -21 1375
+rect 21 1551 67 1563
+rect 21 1375 27 1551
+rect 61 1375 67 1551
+rect 21 1363 67 1375
+rect -29 1325 29 1331
+rect -29 1291 -17 1325
+rect 17 1291 29 1325
+rect -29 1285 29 1291
+rect -29 1217 29 1223
+rect -29 1183 -17 1217
+rect 17 1183 29 1217
+rect -29 1177 29 1183
+rect -67 1133 -21 1145
+rect -67 957 -61 1133
+rect -27 957 -21 1133
+rect -67 945 -21 957
+rect 21 1133 67 1145
+rect 21 957 27 1133
+rect 61 957 67 1133
+rect 21 945 67 957
+rect -29 907 29 913
+rect -29 873 -17 907
+rect 17 873 29 907
+rect -29 867 29 873
+rect -29 799 29 805
+rect -29 765 -17 799
+rect 17 765 29 799
+rect -29 759 29 765
+rect -67 715 -21 727
+rect -67 539 -61 715
+rect -27 539 -21 715
+rect -67 527 -21 539
+rect 21 715 67 727
+rect 21 539 27 715
+rect 61 539 67 715
+rect 21 527 67 539
+rect -29 489 29 495
+rect -29 455 -17 489
+rect 17 455 29 489
+rect -29 449 29 455
+rect -29 381 29 387
+rect -29 347 -17 381
+rect 17 347 29 381
+rect -29 341 29 347
+rect -67 297 -21 309
+rect -67 121 -61 297
+rect -27 121 -21 297
+rect -67 109 -21 121
+rect 21 297 67 309
+rect 21 121 27 297
+rect 61 121 67 297
+rect 21 109 67 121
+rect -29 71 29 77
+rect -29 37 -17 71
+rect 17 37 29 71
+rect -29 31 29 37
+rect -29 -37 29 -31
+rect -29 -71 -17 -37
+rect 17 -71 29 -37
+rect -29 -77 29 -71
+rect -67 -121 -21 -109
+rect -67 -297 -61 -121
+rect -27 -297 -21 -121
+rect -67 -309 -21 -297
+rect 21 -121 67 -109
+rect 21 -297 27 -121
+rect 61 -297 67 -121
+rect 21 -309 67 -297
+rect -29 -347 29 -341
+rect -29 -381 -17 -347
+rect 17 -381 29 -347
+rect -29 -387 29 -381
+rect -29 -455 29 -449
+rect -29 -489 -17 -455
+rect 17 -489 29 -455
+rect -29 -495 29 -489
+rect -67 -539 -21 -527
+rect -67 -715 -61 -539
+rect -27 -715 -21 -539
+rect -67 -727 -21 -715
+rect 21 -539 67 -527
+rect 21 -715 27 -539
+rect 61 -715 67 -539
+rect 21 -727 67 -715
+rect -29 -765 29 -759
+rect -29 -799 -17 -765
+rect 17 -799 29 -765
+rect -29 -805 29 -799
+rect -29 -873 29 -867
+rect -29 -907 -17 -873
+rect 17 -907 29 -873
+rect -29 -913 29 -907
+rect -67 -957 -21 -945
+rect -67 -1133 -61 -957
+rect -27 -1133 -21 -957
+rect -67 -1145 -21 -1133
+rect 21 -957 67 -945
+rect 21 -1133 27 -957
+rect 61 -1133 67 -957
+rect 21 -1145 67 -1133
+rect -29 -1183 29 -1177
+rect -29 -1217 -17 -1183
+rect 17 -1217 29 -1183
+rect -29 -1223 29 -1217
+rect -29 -1291 29 -1285
+rect -29 -1325 -17 -1291
+rect 17 -1325 29 -1291
+rect -29 -1331 29 -1325
+rect -67 -1375 -21 -1363
+rect -67 -1551 -61 -1375
+rect -27 -1551 -21 -1375
+rect -67 -1563 -21 -1551
+rect 21 -1375 67 -1363
+rect 21 -1551 27 -1375
+rect 61 -1551 67 -1375
+rect 21 -1563 67 -1551
+rect -29 -1601 29 -1595
+rect -29 -1635 -17 -1601
+rect 17 -1635 29 -1601
+rect -29 -1641 29 -1635
+rect -29 -1709 29 -1703
+rect -29 -1743 -17 -1709
+rect 17 -1743 29 -1709
+rect -29 -1749 29 -1743
+rect -67 -1793 -21 -1781
+rect -67 -1969 -61 -1793
+rect -27 -1969 -21 -1793
+rect -67 -1981 -21 -1969
+rect 21 -1793 67 -1781
+rect 21 -1969 27 -1793
+rect 61 -1969 67 -1793
+rect 21 -1981 67 -1969
+rect -29 -2019 29 -2013
+rect -29 -2053 -17 -2019
+rect 17 -2053 29 -2019
+rect -29 -2059 29 -2053
+rect -29 -2127 29 -2121
+rect -29 -2161 -17 -2127
+rect 17 -2161 29 -2127
+rect -29 -2167 29 -2161
+rect -67 -2211 -21 -2199
+rect -67 -2387 -61 -2211
+rect -27 -2387 -21 -2211
+rect -67 -2399 -21 -2387
+rect 21 -2211 67 -2199
+rect 21 -2387 27 -2211
+rect 61 -2387 67 -2211
+rect 21 -2399 67 -2387
+rect -29 -2437 29 -2431
+rect -29 -2471 -17 -2437
+rect 17 -2471 29 -2437
+rect -29 -2477 29 -2471
+rect -29 -2545 29 -2539
+rect -29 -2579 -17 -2545
+rect 17 -2579 29 -2545
+rect -29 -2585 29 -2579
+rect -67 -2629 -21 -2617
+rect -67 -2805 -61 -2629
+rect -27 -2805 -21 -2629
+rect -67 -2817 -21 -2805
+rect 21 -2629 67 -2617
+rect 21 -2805 27 -2629
+rect 61 -2805 67 -2629
+rect 21 -2817 67 -2805
+rect -29 -2855 29 -2849
+rect -29 -2889 -17 -2855
+rect 17 -2889 29 -2855
+rect -29 -2895 29 -2889
+rect -29 -2963 29 -2957
+rect -29 -2997 -17 -2963
+rect 17 -2997 29 -2963
+rect -29 -3003 29 -2997
+rect -67 -3047 -21 -3035
+rect -67 -3223 -61 -3047
+rect -27 -3223 -21 -3047
+rect -67 -3235 -21 -3223
+rect 21 -3047 67 -3035
+rect 21 -3223 27 -3047
+rect 61 -3223 67 -3047
+rect 21 -3235 67 -3223
+rect -29 -3273 29 -3267
+rect -29 -3307 -17 -3273
+rect 17 -3307 29 -3273
+rect -29 -3313 29 -3307
+rect -29 -3381 29 -3375
+rect -29 -3415 -17 -3381
+rect 17 -3415 29 -3381
+rect -29 -3421 29 -3415
+rect -67 -3465 -21 -3453
+rect -67 -3641 -61 -3465
+rect -27 -3641 -21 -3465
+rect -67 -3653 -21 -3641
+rect 21 -3465 67 -3453
+rect 21 -3641 27 -3465
+rect 61 -3641 67 -3465
+rect 21 -3653 67 -3641
+rect -29 -3691 29 -3685
+rect -29 -3725 -17 -3691
+rect 17 -3725 29 -3691
+rect -29 -3731 29 -3725
+rect -29 -3799 29 -3793
+rect -29 -3833 -17 -3799
+rect 17 -3833 29 -3799
+rect -29 -3839 29 -3833
+rect -67 -3883 -21 -3871
+rect -67 -4059 -61 -3883
+rect -27 -4059 -21 -3883
+rect -67 -4071 -21 -4059
+rect 21 -3883 67 -3871
+rect 21 -4059 27 -3883
+rect 61 -4059 67 -3883
+rect 21 -4071 67 -4059
+rect -29 -4109 29 -4103
+rect -29 -4143 -17 -4109
+rect 17 -4143 29 -4109
+rect -29 -4149 29 -4143
+rect -29 -4217 29 -4211
+rect -29 -4251 -17 -4217
+rect 17 -4251 29 -4217
+rect -29 -4257 29 -4251
+rect -67 -4301 -21 -4289
+rect -67 -4477 -61 -4301
+rect -27 -4477 -21 -4301
+rect -67 -4489 -21 -4477
+rect 21 -4301 67 -4289
+rect 21 -4477 27 -4301
+rect 61 -4477 67 -4301
+rect 21 -4489 67 -4477
+rect -29 -4527 29 -4521
+rect -29 -4561 -17 -4527
+rect 17 -4561 29 -4527
+rect -29 -4567 29 -4561
+rect -29 -4635 29 -4629
+rect -29 -4669 -17 -4635
+rect 17 -4669 29 -4635
+rect -29 -4675 29 -4669
+rect -67 -4719 -21 -4707
+rect -67 -4895 -61 -4719
+rect -27 -4895 -21 -4719
+rect -67 -4907 -21 -4895
+rect 21 -4719 67 -4707
+rect 21 -4895 27 -4719
+rect 61 -4895 67 -4719
+rect 21 -4907 67 -4895
+rect -29 -4945 29 -4939
+rect -29 -4979 -17 -4945
+rect 17 -4979 29 -4945
+rect -29 -4985 29 -4979
+rect -29 -5053 29 -5047
+rect -29 -5087 -17 -5053
+rect 17 -5087 29 -5053
+rect -29 -5093 29 -5087
+rect -67 -5137 -21 -5125
+rect -67 -5313 -61 -5137
+rect -27 -5313 -21 -5137
+rect -67 -5325 -21 -5313
+rect 21 -5137 67 -5125
+rect 21 -5313 27 -5137
+rect 61 -5313 67 -5137
+rect 21 -5325 67 -5313
+rect -29 -5363 29 -5357
+rect -29 -5397 -17 -5363
+rect 17 -5397 29 -5363
+rect -29 -5403 29 -5397
+rect -29 -5471 29 -5465
+rect -29 -5505 -17 -5471
+rect 17 -5505 29 -5471
+rect -29 -5511 29 -5505
+rect -67 -5555 -21 -5543
+rect -67 -5731 -61 -5555
+rect -27 -5731 -21 -5555
+rect -67 -5743 -21 -5731
+rect 21 -5555 67 -5543
+rect 21 -5731 27 -5555
+rect 61 -5731 67 -5555
+rect 21 -5743 67 -5731
+rect -29 -5781 29 -5775
+rect -29 -5815 -17 -5781
+rect 17 -5815 29 -5781
+rect -29 -5821 29 -5815
+<< properties >>
+string FIXED_BBOX -158 -5900 158 5900
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string library sky130
+string parameters w 1 l 0.150 m 28 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_ER7KZU.mag b/mag/sky130_fd_pr__pfet_01v8_lvt_ER7KZU.mag
new file mode 100644
index 0000000..f608de8
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_ER7KZU.mag
@@ -0,0 +1,532 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< nwell >>
+rect -246 -2281 246 2281
+<< pmoslvt >>
+rect -50 1862 50 2062
+rect -50 1426 50 1626
+rect -50 990 50 1190
+rect -50 554 50 754
+rect -50 118 50 318
+rect -50 -318 50 -118
+rect -50 -754 50 -554
+rect -50 -1190 50 -990
+rect -50 -1626 50 -1426
+rect -50 -2062 50 -1862
+<< pdiff >>
+rect -108 2050 -50 2062
+rect -108 1874 -96 2050
+rect -62 1874 -50 2050
+rect -108 1862 -50 1874
+rect 50 2050 108 2062
+rect 50 1874 62 2050
+rect 96 1874 108 2050
+rect 50 1862 108 1874
+rect -108 1614 -50 1626
+rect -108 1438 -96 1614
+rect -62 1438 -50 1614
+rect -108 1426 -50 1438
+rect 50 1614 108 1626
+rect 50 1438 62 1614
+rect 96 1438 108 1614
+rect 50 1426 108 1438
+rect -108 1178 -50 1190
+rect -108 1002 -96 1178
+rect -62 1002 -50 1178
+rect -108 990 -50 1002
+rect 50 1178 108 1190
+rect 50 1002 62 1178
+rect 96 1002 108 1178
+rect 50 990 108 1002
+rect -108 742 -50 754
+rect -108 566 -96 742
+rect -62 566 -50 742
+rect -108 554 -50 566
+rect 50 742 108 754
+rect 50 566 62 742
+rect 96 566 108 742
+rect 50 554 108 566
+rect -108 306 -50 318
+rect -108 130 -96 306
+rect -62 130 -50 306
+rect -108 118 -50 130
+rect 50 306 108 318
+rect 50 130 62 306
+rect 96 130 108 306
+rect 50 118 108 130
+rect -108 -130 -50 -118
+rect -108 -306 -96 -130
+rect -62 -306 -50 -130
+rect -108 -318 -50 -306
+rect 50 -130 108 -118
+rect 50 -306 62 -130
+rect 96 -306 108 -130
+rect 50 -318 108 -306
+rect -108 -566 -50 -554
+rect -108 -742 -96 -566
+rect -62 -742 -50 -566
+rect -108 -754 -50 -742
+rect 50 -566 108 -554
+rect 50 -742 62 -566
+rect 96 -742 108 -566
+rect 50 -754 108 -742
+rect -108 -1002 -50 -990
+rect -108 -1178 -96 -1002
+rect -62 -1178 -50 -1002
+rect -108 -1190 -50 -1178
+rect 50 -1002 108 -990
+rect 50 -1178 62 -1002
+rect 96 -1178 108 -1002
+rect 50 -1190 108 -1178
+rect -108 -1438 -50 -1426
+rect -108 -1614 -96 -1438
+rect -62 -1614 -50 -1438
+rect -108 -1626 -50 -1614
+rect 50 -1438 108 -1426
+rect 50 -1614 62 -1438
+rect 96 -1614 108 -1438
+rect 50 -1626 108 -1614
+rect -108 -1874 -50 -1862
+rect -108 -2050 -96 -1874
+rect -62 -2050 -50 -1874
+rect -108 -2062 -50 -2050
+rect 50 -1874 108 -1862
+rect 50 -2050 62 -1874
+rect 96 -2050 108 -1874
+rect 50 -2062 108 -2050
+<< pdiffc >>
+rect -96 1874 -62 2050
+rect 62 1874 96 2050
+rect -96 1438 -62 1614
+rect 62 1438 96 1614
+rect -96 1002 -62 1178
+rect 62 1002 96 1178
+rect -96 566 -62 742
+rect 62 566 96 742
+rect -96 130 -62 306
+rect 62 130 96 306
+rect -96 -306 -62 -130
+rect 62 -306 96 -130
+rect -96 -742 -62 -566
+rect 62 -742 96 -566
+rect -96 -1178 -62 -1002
+rect 62 -1178 96 -1002
+rect -96 -1614 -62 -1438
+rect 62 -1614 96 -1438
+rect -96 -2050 -62 -1874
+rect 62 -2050 96 -1874
+<< nsubdiff >>
+rect -210 2211 -114 2245
+rect 114 2211 210 2245
+rect -210 2149 -176 2211
+rect 176 2149 210 2211
+rect -210 -2211 -176 -2149
+rect 176 -2211 210 -2149
+rect -210 -2245 -114 -2211
+rect 114 -2245 210 -2211
+<< nsubdiffcont >>
+rect -114 2211 114 2245
+rect -210 -2149 -176 2149
+rect 176 -2149 210 2149
+rect -114 -2245 114 -2211
+<< poly >>
+rect -50 2143 50 2159
+rect -50 2109 -34 2143
+rect 34 2109 50 2143
+rect -50 2062 50 2109
+rect -50 1815 50 1862
+rect -50 1781 -34 1815
+rect 34 1781 50 1815
+rect -50 1765 50 1781
+rect -50 1707 50 1723
+rect -50 1673 -34 1707
+rect 34 1673 50 1707
+rect -50 1626 50 1673
+rect -50 1379 50 1426
+rect -50 1345 -34 1379
+rect 34 1345 50 1379
+rect -50 1329 50 1345
+rect -50 1271 50 1287
+rect -50 1237 -34 1271
+rect 34 1237 50 1271
+rect -50 1190 50 1237
+rect -50 943 50 990
+rect -50 909 -34 943
+rect 34 909 50 943
+rect -50 893 50 909
+rect -50 835 50 851
+rect -50 801 -34 835
+rect 34 801 50 835
+rect -50 754 50 801
+rect -50 507 50 554
+rect -50 473 -34 507
+rect 34 473 50 507
+rect -50 457 50 473
+rect -50 399 50 415
+rect -50 365 -34 399
+rect 34 365 50 399
+rect -50 318 50 365
+rect -50 71 50 118
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 21 50 37
+rect -50 -37 50 -21
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -50 -118 50 -71
+rect -50 -365 50 -318
+rect -50 -399 -34 -365
+rect 34 -399 50 -365
+rect -50 -415 50 -399
+rect -50 -473 50 -457
+rect -50 -507 -34 -473
+rect 34 -507 50 -473
+rect -50 -554 50 -507
+rect -50 -801 50 -754
+rect -50 -835 -34 -801
+rect 34 -835 50 -801
+rect -50 -851 50 -835
+rect -50 -909 50 -893
+rect -50 -943 -34 -909
+rect 34 -943 50 -909
+rect -50 -990 50 -943
+rect -50 -1237 50 -1190
+rect -50 -1271 -34 -1237
+rect 34 -1271 50 -1237
+rect -50 -1287 50 -1271
+rect -50 -1345 50 -1329
+rect -50 -1379 -34 -1345
+rect 34 -1379 50 -1345
+rect -50 -1426 50 -1379
+rect -50 -1673 50 -1626
+rect -50 -1707 -34 -1673
+rect 34 -1707 50 -1673
+rect -50 -1723 50 -1707
+rect -50 -1781 50 -1765
+rect -50 -1815 -34 -1781
+rect 34 -1815 50 -1781
+rect -50 -1862 50 -1815
+rect -50 -2109 50 -2062
+rect -50 -2143 -34 -2109
+rect 34 -2143 50 -2109
+rect -50 -2159 50 -2143
+<< polycont >>
+rect -34 2109 34 2143
+rect -34 1781 34 1815
+rect -34 1673 34 1707
+rect -34 1345 34 1379
+rect -34 1237 34 1271
+rect -34 909 34 943
+rect -34 801 34 835
+rect -34 473 34 507
+rect -34 365 34 399
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -34 -399 34 -365
+rect -34 -507 34 -473
+rect -34 -835 34 -801
+rect -34 -943 34 -909
+rect -34 -1271 34 -1237
+rect -34 -1379 34 -1345
+rect -34 -1707 34 -1673
+rect -34 -1815 34 -1781
+rect -34 -2143 34 -2109
+<< locali >>
+rect -210 2211 -114 2245
+rect 114 2211 210 2245
+rect -210 2149 -176 2211
+rect 176 2149 210 2211
+rect -50 2109 -34 2143
+rect 34 2109 50 2143
+rect -96 2050 -62 2066
+rect -96 1858 -62 1874
+rect 62 2050 96 2066
+rect 62 1858 96 1874
+rect -50 1781 -34 1815
+rect 34 1781 50 1815
+rect -50 1673 -34 1707
+rect 34 1673 50 1707
+rect -96 1614 -62 1630
+rect -96 1422 -62 1438
+rect 62 1614 96 1630
+rect 62 1422 96 1438
+rect -50 1345 -34 1379
+rect 34 1345 50 1379
+rect -50 1237 -34 1271
+rect 34 1237 50 1271
+rect -96 1178 -62 1194
+rect -96 986 -62 1002
+rect 62 1178 96 1194
+rect 62 986 96 1002
+rect -50 909 -34 943
+rect 34 909 50 943
+rect -50 801 -34 835
+rect 34 801 50 835
+rect -96 742 -62 758
+rect -96 550 -62 566
+rect 62 742 96 758
+rect 62 550 96 566
+rect -50 473 -34 507
+rect 34 473 50 507
+rect -50 365 -34 399
+rect 34 365 50 399
+rect -96 306 -62 322
+rect -96 114 -62 130
+rect 62 306 96 322
+rect 62 114 96 130
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -96 -130 -62 -114
+rect -96 -322 -62 -306
+rect 62 -130 96 -114
+rect 62 -322 96 -306
+rect -50 -399 -34 -365
+rect 34 -399 50 -365
+rect -50 -507 -34 -473
+rect 34 -507 50 -473
+rect -96 -566 -62 -550
+rect -96 -758 -62 -742
+rect 62 -566 96 -550
+rect 62 -758 96 -742
+rect -50 -835 -34 -801
+rect 34 -835 50 -801
+rect -50 -943 -34 -909
+rect 34 -943 50 -909
+rect -96 -1002 -62 -986
+rect -96 -1194 -62 -1178
+rect 62 -1002 96 -986
+rect 62 -1194 96 -1178
+rect -50 -1271 -34 -1237
+rect 34 -1271 50 -1237
+rect -50 -1379 -34 -1345
+rect 34 -1379 50 -1345
+rect -96 -1438 -62 -1422
+rect -96 -1630 -62 -1614
+rect 62 -1438 96 -1422
+rect 62 -1630 96 -1614
+rect -50 -1707 -34 -1673
+rect 34 -1707 50 -1673
+rect -50 -1815 -34 -1781
+rect 34 -1815 50 -1781
+rect -96 -1874 -62 -1858
+rect -96 -2066 -62 -2050
+rect 62 -1874 96 -1858
+rect 62 -2066 96 -2050
+rect -50 -2143 -34 -2109
+rect 34 -2143 50 -2109
+rect -210 -2211 -176 -2149
+rect 176 -2211 210 -2149
+rect -210 -2245 -114 -2211
+rect 114 -2245 210 -2211
+<< viali >>
+rect -34 2109 34 2143
+rect -96 1874 -62 2050
+rect 62 1874 96 2050
+rect -34 1781 34 1815
+rect -34 1673 34 1707
+rect -96 1438 -62 1614
+rect 62 1438 96 1614
+rect -34 1345 34 1379
+rect -34 1237 34 1271
+rect -96 1002 -62 1178
+rect 62 1002 96 1178
+rect -34 909 34 943
+rect -34 801 34 835
+rect -96 566 -62 742
+rect 62 566 96 742
+rect -34 473 34 507
+rect -34 365 34 399
+rect -96 130 -62 306
+rect 62 130 96 306
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -96 -306 -62 -130
+rect 62 -306 96 -130
+rect -34 -399 34 -365
+rect -34 -507 34 -473
+rect -96 -742 -62 -566
+rect 62 -742 96 -566
+rect -34 -835 34 -801
+rect -34 -943 34 -909
+rect -96 -1178 -62 -1002
+rect 62 -1178 96 -1002
+rect -34 -1271 34 -1237
+rect -34 -1379 34 -1345
+rect -96 -1614 -62 -1438
+rect 62 -1614 96 -1438
+rect -34 -1707 34 -1673
+rect -34 -1815 34 -1781
+rect -96 -2050 -62 -1874
+rect 62 -2050 96 -1874
+rect -34 -2143 34 -2109
+<< metal1 >>
+rect -46 2143 46 2149
+rect -46 2109 -34 2143
+rect 34 2109 46 2143
+rect -46 2103 46 2109
+rect -102 2050 -56 2062
+rect -102 1874 -96 2050
+rect -62 1874 -56 2050
+rect -102 1862 -56 1874
+rect 56 2050 102 2062
+rect 56 1874 62 2050
+rect 96 1874 102 2050
+rect 56 1862 102 1874
+rect -46 1815 46 1821
+rect -46 1781 -34 1815
+rect 34 1781 46 1815
+rect -46 1775 46 1781
+rect -46 1707 46 1713
+rect -46 1673 -34 1707
+rect 34 1673 46 1707
+rect -46 1667 46 1673
+rect -102 1614 -56 1626
+rect -102 1438 -96 1614
+rect -62 1438 -56 1614
+rect -102 1426 -56 1438
+rect 56 1614 102 1626
+rect 56 1438 62 1614
+rect 96 1438 102 1614
+rect 56 1426 102 1438
+rect -46 1379 46 1385
+rect -46 1345 -34 1379
+rect 34 1345 46 1379
+rect -46 1339 46 1345
+rect -46 1271 46 1277
+rect -46 1237 -34 1271
+rect 34 1237 46 1271
+rect -46 1231 46 1237
+rect -102 1178 -56 1190
+rect -102 1002 -96 1178
+rect -62 1002 -56 1178
+rect -102 990 -56 1002
+rect 56 1178 102 1190
+rect 56 1002 62 1178
+rect 96 1002 102 1178
+rect 56 990 102 1002
+rect -46 943 46 949
+rect -46 909 -34 943
+rect 34 909 46 943
+rect -46 903 46 909
+rect -46 835 46 841
+rect -46 801 -34 835
+rect 34 801 46 835
+rect -46 795 46 801
+rect -102 742 -56 754
+rect -102 566 -96 742
+rect -62 566 -56 742
+rect -102 554 -56 566
+rect 56 742 102 754
+rect 56 566 62 742
+rect 96 566 102 742
+rect 56 554 102 566
+rect -46 507 46 513
+rect -46 473 -34 507
+rect 34 473 46 507
+rect -46 467 46 473
+rect -46 399 46 405
+rect -46 365 -34 399
+rect 34 365 46 399
+rect -46 359 46 365
+rect -102 306 -56 318
+rect -102 130 -96 306
+rect -62 130 -56 306
+rect -102 118 -56 130
+rect 56 306 102 318
+rect 56 130 62 306
+rect 96 130 102 306
+rect 56 118 102 130
+rect -46 71 46 77
+rect -46 37 -34 71
+rect 34 37 46 71
+rect -46 31 46 37
+rect -46 -37 46 -31
+rect -46 -71 -34 -37
+rect 34 -71 46 -37
+rect -46 -77 46 -71
+rect -102 -130 -56 -118
+rect -102 -306 -96 -130
+rect -62 -306 -56 -130
+rect -102 -318 -56 -306
+rect 56 -130 102 -118
+rect 56 -306 62 -130
+rect 96 -306 102 -130
+rect 56 -318 102 -306
+rect -46 -365 46 -359
+rect -46 -399 -34 -365
+rect 34 -399 46 -365
+rect -46 -405 46 -399
+rect -46 -473 46 -467
+rect -46 -507 -34 -473
+rect 34 -507 46 -473
+rect -46 -513 46 -507
+rect -102 -566 -56 -554
+rect -102 -742 -96 -566
+rect -62 -742 -56 -566
+rect -102 -754 -56 -742
+rect 56 -566 102 -554
+rect 56 -742 62 -566
+rect 96 -742 102 -566
+rect 56 -754 102 -742
+rect -46 -801 46 -795
+rect -46 -835 -34 -801
+rect 34 -835 46 -801
+rect -46 -841 46 -835
+rect -46 -909 46 -903
+rect -46 -943 -34 -909
+rect 34 -943 46 -909
+rect -46 -949 46 -943
+rect -102 -1002 -56 -990
+rect -102 -1178 -96 -1002
+rect -62 -1178 -56 -1002
+rect -102 -1190 -56 -1178
+rect 56 -1002 102 -990
+rect 56 -1178 62 -1002
+rect 96 -1178 102 -1002
+rect 56 -1190 102 -1178
+rect -46 -1237 46 -1231
+rect -46 -1271 -34 -1237
+rect 34 -1271 46 -1237
+rect -46 -1277 46 -1271
+rect -46 -1345 46 -1339
+rect -46 -1379 -34 -1345
+rect 34 -1379 46 -1345
+rect -46 -1385 46 -1379
+rect -102 -1438 -56 -1426
+rect -102 -1614 -96 -1438
+rect -62 -1614 -56 -1438
+rect -102 -1626 -56 -1614
+rect 56 -1438 102 -1426
+rect 56 -1614 62 -1438
+rect 96 -1614 102 -1438
+rect 56 -1626 102 -1614
+rect -46 -1673 46 -1667
+rect -46 -1707 -34 -1673
+rect 34 -1707 46 -1673
+rect -46 -1713 46 -1707
+rect -46 -1781 46 -1775
+rect -46 -1815 -34 -1781
+rect 34 -1815 46 -1781
+rect -46 -1821 46 -1815
+rect -102 -1874 -56 -1862
+rect -102 -2050 -96 -1874
+rect -62 -2050 -56 -1874
+rect -102 -2062 -56 -2050
+rect 56 -1874 102 -1862
+rect 56 -2050 62 -1874
+rect 96 -2050 102 -1874
+rect 56 -2062 102 -2050
+rect -46 -2109 46 -2103
+rect -46 -2143 -34 -2109
+rect 34 -2143 46 -2109
+rect -46 -2149 46 -2143
+<< properties >>
+string FIXED_BBOX -193 -2228 193 2228
+string gencell sky130_fd_pr__pfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 0.5 m 10 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.35 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_X3YSY6.mag b/mag/sky130_fd_pr__pfet_01v8_lvt_X3YSY6.mag
new file mode 100644
index 0000000..882f0fb
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_X3YSY6.mag
@@ -0,0 +1,91 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< nwell >>
+rect -246 -319 246 319
+<< pmoslvt >>
+rect -50 -100 50 100
+<< pdiff >>
+rect -108 88 -50 100
+rect -108 -88 -96 88
+rect -62 -88 -50 88
+rect -108 -100 -50 -88
+rect 50 88 108 100
+rect 50 -88 62 88
+rect 96 -88 108 88
+rect 50 -100 108 -88
+<< pdiffc >>
+rect -96 -88 -62 88
+rect 62 -88 96 88
+<< nsubdiff >>
+rect -210 249 -114 283
+rect 114 249 210 283
+rect -210 187 -176 249
+rect 176 187 210 249
+rect -210 -249 -176 -187
+rect 176 -249 210 -187
+rect -210 -283 -114 -249
+rect 114 -283 210 -249
+<< nsubdiffcont >>
+rect -114 249 114 283
+rect -210 -187 -176 187
+rect 176 -187 210 187
+rect -114 -283 114 -249
+<< poly >>
+rect -50 181 50 197
+rect -50 147 -34 181
+rect 34 147 50 181
+rect -50 100 50 147
+rect -50 -147 50 -100
+rect -50 -181 -34 -147
+rect 34 -181 50 -147
+rect -50 -197 50 -181
+<< polycont >>
+rect -34 147 34 181
+rect -34 -181 34 -147
+<< locali >>
+rect -210 249 -114 283
+rect 114 249 210 283
+rect -210 187 -176 249
+rect 176 187 210 249
+rect -50 147 -34 181
+rect 34 147 50 181
+rect -96 88 -62 104
+rect -96 -104 -62 -88
+rect 62 88 96 104
+rect 62 -104 96 -88
+rect -50 -181 -34 -147
+rect 34 -181 50 -147
+rect -210 -249 -176 -187
+rect 176 -249 210 -187
+rect -210 -283 -114 -249
+rect 114 -283 210 -249
+<< viali >>
+rect -34 147 34 181
+rect -96 -88 -62 88
+rect 62 -88 96 88
+rect -34 -181 34 -147
+<< metal1 >>
+rect -46 181 46 187
+rect -46 147 -34 181
+rect 34 147 46 181
+rect -46 141 46 147
+rect -102 88 -56 100
+rect -102 -88 -96 88
+rect -62 -88 -56 88
+rect -102 -100 -56 -88
+rect 56 88 102 100
+rect 56 -88 62 88
+rect 96 -88 102 88
+rect 56 -100 102 -88
+rect -46 -147 46 -141
+rect -46 -181 -34 -147
+rect 34 -181 46 -147
+rect -46 -187 46 -181
+<< properties >>
+string FIXED_BBOX -193 -266 193 266
+string gencell sky130_fd_pr__pfet_01v8_lvt
+string library sky130
+string parameters w 1.0 l 0.5 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.35 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_high_po_0p35_C28PVF.mag b/mag/sky130_fd_pr__res_high_po_0p35_C28PVF.mag
new file mode 100644
index 0000000..b77fac2
--- /dev/null
+++ b/mag/sky130_fd_pr__res_high_po_0p35_C28PVF.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662983156
+<< pwell >>
+rect -201 -998 201 998
+<< psubdiff >>
+rect -165 928 -69 962
+rect 69 928 165 962
+rect -165 866 -131 928
+rect 131 866 165 928
+rect -165 -928 -131 -866
+rect 131 -928 165 -866
+rect -165 -962 -69 -928
+rect 69 -962 165 -928
+<< psubdiffcont >>
+rect -69 928 69 962
+rect -165 -866 -131 866
+rect 131 -866 165 866
+rect -69 -962 69 -928
+<< xpolycontact >>
+rect -35 400 35 832
+rect -35 -832 35 -400
+<< ppolyres >>
+rect -35 -400 35 400
+<< locali >>
+rect -165 928 -69 962
+rect 69 928 165 962
+rect -165 866 -131 928
+rect 131 866 165 928
+rect -165 -928 -131 -866
+rect 131 -928 165 -866
+rect -165 -962 -69 -928
+rect 69 -962 165 -928
+<< viali >>
+rect -19 417 19 814
+rect -19 -814 19 -417
+<< metal1 >>
+rect -25 814 25 826
+rect -25 417 -19 814
+rect 19 417 25 814
+rect -25 405 25 417
+rect -25 -417 25 -405
+rect -25 -814 -19 -417
+rect 19 -814 25 -417
+rect -25 -826 25 -814
+<< res0p35 >>
+rect -37 -402 37 402
+<< properties >>
+string FIXED_BBOX -148 -945 148 945
+string gencell sky130_fd_pr__res_high_po_0p35
+string library sky130
+string parameters w 0.350 l 4 m 1 nx 1 wmin 0.350 lmin 0.50 rho 319.8 val 4.768k dummy 0 dw 0.0 term 194.82 sterm 0.0 caplen 0 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_high_po_0p35  sky130_fd_pr__res_high_po_0p69 sky130_fd_pr__res_high_po_1p41  sky130_fd_pr__res_high_po_2p85 sky130_fd_pr__res_high_po_5p73} full_metal 1 wmax 0.350 vias 1 n_guard 0 hv_guard 0 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_high_po_0p35_ZMQPMJ.mag b/mag/sky130_fd_pr__res_high_po_0p35_ZMQPMJ.mag
new file mode 100644
index 0000000..74fda1d
--- /dev/null
+++ b/mag/sky130_fd_pr__res_high_po_0p35_ZMQPMJ.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< pwell >>
+rect -201 -998 201 998
+<< psubdiff >>
+rect -165 928 -69 962
+rect 69 928 165 962
+rect -165 866 -131 928
+rect 131 866 165 928
+rect -165 -928 -131 -866
+rect 131 -928 165 -866
+rect -165 -962 -69 -928
+rect 69 -962 165 -928
+<< psubdiffcont >>
+rect -69 928 69 962
+rect -165 -866 -131 866
+rect 131 -866 165 866
+rect -69 -962 69 -928
+<< xpolycontact >>
+rect -35 400 35 832
+rect -35 -832 35 -400
+<< ppolyres >>
+rect -35 -400 35 400
+<< locali >>
+rect -165 928 -69 962
+rect 69 928 165 962
+rect -165 866 -131 928
+rect 131 866 165 928
+rect -165 -928 -131 -866
+rect 131 -928 165 -866
+rect -165 -962 -69 -928
+rect 69 -962 165 -928
+<< viali >>
+rect -19 417 19 814
+rect -19 -814 19 -417
+<< metal1 >>
+rect -25 814 25 826
+rect -25 417 -19 814
+rect 19 417 25 814
+rect -25 405 25 417
+rect -25 -417 25 -405
+rect -25 -814 -19 -417
+rect 19 -814 25 -417
+rect -25 -826 25 -814
+<< res0p35 >>
+rect -37 -402 37 402
+<< properties >>
+string FIXED_BBOX -148 -945 148 945
+string gencell sky130_fd_pr__res_high_po_0p35
+string library sky130
+string parameters w 0.350 l 4.0 m 1 nx 1 wmin 0.350 lmin 0.50 rho 319.8 val 4.768k dummy 0 dw 0.0 term 194.82 sterm 0.0 caplen 0 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_high_po_0p35  sky130_fd_pr__res_high_po_0p69 sky130_fd_pr__res_high_po_1p41  sky130_fd_pr__res_high_po_2p85 sky130_fd_pr__res_high_po_5p73} full_metal 1 wmax 0.350 vias 1 n_guard 0 hv_guard 0 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_5p73_4C7XCD.mag b/mag/sky130_fd_pr__res_xhigh_po_5p73_4C7XCD.mag
new file mode 100644
index 0000000..03cef7b
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_5p73_4C7XCD.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662952458
+<< pwell >>
+rect -739 -657 739 657
+<< psubdiff >>
+rect -703 587 -607 621
+rect 607 587 703 621
+rect -703 525 -669 587
+rect 669 525 703 587
+rect -703 -587 -669 -525
+rect 669 -587 703 -525
+rect -703 -621 -607 -587
+rect 607 -621 703 -587
+<< psubdiffcont >>
+rect -607 587 607 621
+rect -703 -525 -669 525
+rect 669 -525 703 525
+rect -607 -621 607 -587
+<< xpolycontact >>
+rect -573 59 573 491
+rect -573 -491 573 -59
+<< xpolyres >>
+rect -573 -59 573 59
+<< locali >>
+rect -703 587 -607 621
+rect 607 587 703 621
+rect -703 525 -669 587
+rect 669 525 703 587
+rect -703 -587 -669 -525
+rect 669 -587 703 -525
+rect -703 -621 -607 -587
+rect 607 -621 703 -587
+<< viali >>
+rect -557 76 557 473
+rect -557 -473 557 -76
+<< metal1 >>
+rect -569 473 569 479
+rect -569 76 -557 473
+rect 557 76 569 473
+rect -569 70 569 76
+rect -569 -76 569 -70
+rect -569 -473 -557 -76
+rect 557 -473 569 -76
+rect -569 -479 569 -473
+<< res5p73 >>
+rect -575 -61 575 61
+<< properties >>
+string FIXED_BBOX -686 -604 686 604
+string gencell sky130_fd_pr__res_xhigh_po_5p73
+string library sky130
+string parameters w 5.730 l 0.592 m 1 nx 1 wmin 5.730 lmin 0.50 rho 2000 val 272.321 dummy 0 dw 0.0 term 188.2 sterm 0.0 caplen 0 wmax 5.730 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 n_guard 0 hv_guard 0 vias 1 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP.mag b/mag/sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP.mag
new file mode 100644
index 0000000..0d81c77
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_5p73_7J9ZAP.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662412052
+<< pwell >>
+rect -739 -894 739 894
+<< psubdiff >>
+rect -703 824 -607 858
+rect 607 824 703 858
+rect -703 762 -669 824
+rect 669 762 703 824
+rect -703 -824 -669 -762
+rect 669 -824 703 -762
+rect -703 -858 -607 -824
+rect 607 -858 703 -824
+<< psubdiffcont >>
+rect -607 824 607 858
+rect -703 -762 -669 762
+rect 669 -762 703 762
+rect -607 -858 607 -824
+<< xpolycontact >>
+rect -573 296 573 728
+rect -573 -728 573 -296
+<< xpolyres >>
+rect -573 -296 573 296
+<< locali >>
+rect -703 824 -607 858
+rect 607 824 703 858
+rect -703 762 -669 824
+rect 669 762 703 824
+rect -703 -824 -669 -762
+rect 669 -824 703 -762
+rect -703 -858 -607 -824
+rect 607 -858 703 -824
+<< viali >>
+rect -557 313 557 710
+rect -557 -710 557 -313
+<< metal1 >>
+rect -569 710 569 716
+rect -569 313 -557 710
+rect 557 313 569 710
+rect -569 307 569 313
+rect -569 -313 569 -307
+rect -569 -710 -557 -313
+rect 557 -710 569 -313
+rect -569 -716 569 -710
+<< res5p73 >>
+rect -575 -298 575 298
+<< properties >>
+string FIXED_BBOX -686 -841 686 841
+string gencell sky130_fd_pr__res_xhigh_po_5p73
+string library sky130
+string parameters w 5.730 l 2.96 m 1 nx 1 wmin 5.730 lmin 0.50 rho 2000 val 1.098k dummy 0 dw 0.0 term 188.2 sterm 0.0 caplen 0 wmax 5.730 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 n_guard 0 hv_guard 0 vias 1 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_5p73_HS9RHN.mag b/mag/sky130_fd_pr__res_xhigh_po_5p73_HS9RHN.mag
new file mode 100644
index 0000000..676d596
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_5p73_HS9RHN.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662412052
+<< pwell >>
+rect -739 -1348 739 1348
+<< psubdiff >>
+rect -703 1278 -607 1312
+rect 607 1278 703 1312
+rect -703 1216 -669 1278
+rect 669 1216 703 1278
+rect -703 -1278 -669 -1216
+rect 669 -1278 703 -1216
+rect -703 -1312 -607 -1278
+rect 607 -1312 703 -1278
+<< psubdiffcont >>
+rect -607 1278 607 1312
+rect -703 -1216 -669 1216
+rect 669 -1216 703 1216
+rect -607 -1312 607 -1278
+<< xpolycontact >>
+rect -573 750 573 1182
+rect -573 -1182 573 -750
+<< xpolyres >>
+rect -573 -750 573 750
+<< locali >>
+rect -703 1278 -607 1312
+rect 607 1278 703 1312
+rect -703 1216 -669 1278
+rect 669 1216 703 1278
+rect -703 -1278 -669 -1216
+rect 669 -1278 703 -1216
+rect -703 -1312 -607 -1278
+rect 607 -1312 703 -1278
+<< viali >>
+rect -557 767 557 1164
+rect -557 -1164 557 -767
+<< metal1 >>
+rect -569 1164 569 1170
+rect -569 767 -557 1164
+rect 557 767 569 1164
+rect -569 761 569 767
+rect -569 -767 569 -761
+rect -569 -1164 -557 -767
+rect 557 -1164 569 -767
+rect -569 -1170 569 -1164
+<< res5p73 >>
+rect -575 -752 575 752
+<< properties >>
+string FIXED_BBOX -686 -1295 686 1295
+string gencell sky130_fd_pr__res_xhigh_po_5p73
+string library sky130
+string parameters w 5.730 l 7.5 m 1 nx 1 wmin 5.730 lmin 0.50 rho 2000 val 2.683k dummy 0 dw 0.0 term 188.2 sterm 0.0 caplen 0 wmax 5.730 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 n_guard 0 hv_guard 0 vias 1 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_5p73_Q3K92U.mag b/mag/sky130_fd_pr__res_xhigh_po_5p73_Q3K92U.mag
new file mode 100644
index 0000000..683384b
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_5p73_Q3K92U.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662478139
+<< pwell >>
+rect -739 -1190 739 1190
+<< psubdiff >>
+rect -703 1120 -607 1154
+rect 607 1120 703 1154
+rect -703 1058 -669 1120
+rect 669 1058 703 1120
+rect -703 -1120 -669 -1058
+rect 669 -1120 703 -1058
+rect -703 -1154 -607 -1120
+rect 607 -1154 703 -1120
+<< psubdiffcont >>
+rect -607 1120 607 1154
+rect -703 -1058 -669 1058
+rect 669 -1058 703 1058
+rect -607 -1154 607 -1120
+<< xpolycontact >>
+rect -573 592 573 1024
+rect -573 -1024 573 -592
+<< xpolyres >>
+rect -573 -592 573 592
+<< locali >>
+rect -703 1120 -607 1154
+rect 607 1120 703 1154
+rect -703 1058 -669 1120
+rect 669 1058 703 1120
+rect -703 -1120 -669 -1058
+rect 669 -1120 703 -1058
+rect -703 -1154 -607 -1120
+rect 607 -1154 703 -1120
+<< viali >>
+rect -557 609 557 1006
+rect -557 -1006 557 -609
+<< metal1 >>
+rect -569 1006 569 1012
+rect -569 609 -557 1006
+rect 557 609 569 1006
+rect -569 603 569 609
+rect -569 -609 569 -603
+rect -569 -1006 -557 -609
+rect 557 -1006 569 -609
+rect -569 -1012 569 -1006
+<< res5p73 >>
+rect -575 -594 575 594
+<< properties >>
+string FIXED_BBOX -686 -1137 686 1137
+string gencell sky130_fd_pr__res_xhigh_po_5p73
+string library sky130
+string parameters w 5.730 l 5.92 m 1 nx 1 wmin 5.730 lmin 0.50 rho 2000 val 2.132k dummy 0 dw 0.0 term 188.2 sterm 0.0 caplen 0 wmax 5.730 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 n_guard 0 hv_guard 0 vias 1 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_5p73_QP6N54.mag b/mag/sky130_fd_pr__res_xhigh_po_5p73_QP6N54.mag
new file mode 100644
index 0000000..0ea4630
--- /dev/null
+++ b/mag/sky130_fd_pr__res_xhigh_po_5p73_QP6N54.mag
@@ -0,0 +1,54 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1662952458
+<< pwell >>
+rect -739 -748 739 748
+<< psubdiff >>
+rect -703 678 -607 712
+rect 607 678 703 712
+rect -703 616 -669 678
+rect 669 616 703 678
+rect -703 -678 -669 -616
+rect 669 -678 703 -616
+rect -703 -712 -607 -678
+rect 607 -712 703 -678
+<< psubdiffcont >>
+rect -607 678 607 712
+rect -703 -616 -669 616
+rect 669 -616 703 616
+rect -607 -712 607 -678
+<< xpolycontact >>
+rect -573 150 573 582
+rect -573 -582 573 -150
+<< xpolyres >>
+rect -573 -150 573 150
+<< locali >>
+rect -703 678 -607 712
+rect 607 678 703 712
+rect -703 616 -669 678
+rect 669 616 703 678
+rect -703 -678 -669 -616
+rect 669 -678 703 -616
+rect -703 -712 -607 -678
+rect 607 -712 703 -678
+<< viali >>
+rect -557 167 557 564
+rect -557 -564 557 -167
+<< metal1 >>
+rect -569 564 569 570
+rect -569 167 -557 564
+rect 557 167 569 564
+rect -569 161 569 167
+rect -569 -167 569 -161
+rect -569 -564 -557 -167
+rect 557 -564 569 -167
+rect -569 -570 569 -564
+<< res5p73 >>
+rect -575 -152 575 152
+<< properties >>
+string FIXED_BBOX -686 -695 686 695
+string gencell sky130_fd_pr__res_xhigh_po_5p73
+string library sky130
+string parameters w 5.730 l 1.5 m 1 nx 1 wmin 5.730 lmin 0.50 rho 2000 val 589.249 dummy 0 dw 0.0 term 188.2 sterm 0.0 caplen 0 wmax 5.730 guard 1 glc 1 grc 1 gtc 1 gbc 1 compatible {sky130_fd_pr__res_xhigh_po_0p35  sky130_fd_pr__res_xhigh_po_0p69 sky130_fd_pr__res_xhigh_po_1p41  sky130_fd_pr__res_xhigh_po_2p85 sky130_fd_pr__res_xhigh_po_5p73} full_metal 1 n_guard 0 hv_guard 0 vias 1 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM.mag b/mag/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM.mag
index d50ed19..9e97d1d 100644
--- a/mag/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM.mag
+++ b/mag/sky130_fd_pr__res_xhigh_po_5p73_UZMRKM.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1662826682
+timestamp 1665771957
 << pwell >>
 rect -3223 -11198 3223 11198
 << psubdiff >>
diff --git a/mag/stage0.mag b/mag/stage0.mag
new file mode 100644
index 0000000..838b848
--- /dev/null
+++ b/mag/stage0.mag
@@ -0,0 +1,145 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666563971
+<< locali >>
+rect 913 8246 947 8282
+rect 894 8212 947 8246
+<< metal1 >>
+rect 321 8355 331 8411
+rect 387 8406 397 8411
+rect 387 8366 459 8406
+rect 387 8355 397 8366
+rect 950 8284 999 8650
+rect 1220 8010 1230 8074
+rect 1294 8010 1304 8074
+rect 230 3470 270 6820
+rect 4880 5730 20600 5770
+rect 230 3430 1500 3470
+rect 1460 3040 1500 3430
+rect 4750 3410 4780 4510
+rect 3450 3380 4780 3410
+rect 3450 2820 3480 3380
+rect 3450 2790 3570 2820
+rect 20560 2300 20600 5730
+rect 110 1710 4150 1740
+rect 110 1650 4060 1680
+rect 4030 1100 4060 1650
+rect 4120 1510 4150 1710
+rect 5550 1510 5580 1520
+rect 4120 1480 5580 1510
+rect 11750 1100 11780 1250
+rect 4030 1070 11780 1100
+rect 3510 628 3570 658
+rect 1460 60 1500 422
+rect 280 20 1500 60
+rect 280 -2310 320 20
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 3510 -3630 3540 628
+rect 21490 -1740 21520 1180
+rect 4930 -1770 21520 -1740
+rect 3510 -3660 4870 -3630
+rect 4840 -4250 4870 -3660
+<< via1 >>
+rect 331 8355 387 8411
+rect 1230 8010 1294 8074
+rect 1260 -810 1370 -700
+<< metal2 >>
+rect 331 8411 387 8421
+rect 331 8345 387 8355
+rect 1230 8074 1294 8084
+rect 1230 8000 1294 8010
+rect 710 4314 774 4324
+rect 710 4240 774 4250
+rect 2256 3416 2376 4718
+rect 720 -556 784 -546
+rect 720 -630 784 -620
+rect 730 -1030 770 -630
+rect 1260 -700 1370 -690
+rect 2300 -810 2420 10
+rect 1260 -820 1370 -810
+<< via2 >>
+rect 331 8355 387 8411
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal3 >>
+rect 286 8411 425 8709
+rect 286 8355 331 8411
+rect 387 8355 425 8411
+rect 286 8037 425 8355
+rect 1220 8074 1330 8110
+rect 1220 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1220 8000 1330 8010
+rect 690 4314 800 4330
+rect 286 2994 426 4259
+rect 690 4250 710 4314
+rect 774 4250 800 4314
+rect 690 4240 800 4250
+rect 330 -812 470 453
+rect 710 -556 800 -530
+rect 710 -620 720 -556
+rect 784 -620 800 -556
+rect 710 -660 800 -620
+rect 1250 -700 1380 -695
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 1250 -815 1380 -810
+<< via3 >>
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal4 >>
+rect 1260 8075 1330 8110
+rect 1229 8074 1330 8075
+rect 1229 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1229 8009 1330 8010
+rect 709 4314 775 4315
+rect 709 4250 710 4314
+rect 774 4260 775 4314
+rect 774 4250 790 4260
+rect 709 4249 790 4250
+rect 710 -556 790 4249
+rect 710 -620 720 -556
+rect 784 -620 790 -556
+rect 710 -630 790 -620
+rect 1260 -699 1330 8009
+rect 1259 -700 1371 -699
+rect 1259 -810 1260 -700
+rect 1370 -810 1371 -700
+rect 1259 -811 1371 -810
+use cmfb1  cmfb1_0
+timestamp 1666563879
+transform 0 1 8026 -1 0 697
+box -8020 -7760 -2721 18240
+use cmfb2  cmfb2_0
+timestamp 1666563939
+transform 0 1 8070 -1 0 -8125
+box -8180 -7760 -2881 18240
+use cons1  cons1_0
+timestamp 1662961975
+transform 1 0 -46324 0 1 8198
+box 46324 -8198 69992 -4736
+use sky130_fd_pr__nfet_01v8_lvt_L3LEKD  sky130_fd_pr__nfet_01v8_lvt_L3LEKD_0
+timestamp 1662961975
+transform 1 0 701 0 1 8384
+box -396 -310 396 310
+<< labels >>
+rlabel space 416 0 23486 390 0 vdd
+rlabel space 330 -1255 470 688 0 vss
+rlabel metal1 230 3430 270 6820 0 vout2p
+rlabel metal1 20560 2890 20600 5770 0 vout2n
+rlabel metal1 280 -2310 320 60 0 vd21
+rlabel metal1 21490 -1770 21520 570 0 vd22
+rlabel space 686 7777 726 8207 0 vbias2
+rlabel metal1 3450 2790 3480 3410 0 vo22
+rlabel metal1 3510 -3660 3540 658 0 vo21
+rlabel metal1 110 1710 4150 1740 0 vout1p
+rlabel metal3 1220 8074 1330 8110 0 vref
+rlabel metal1 110 1650 4060 1680 0 vout1n
+<< end >>
diff --git a/mag/stage0_bu.mag b/mag/stage0_bu.mag
new file mode 100644
index 0000000..b021ba3
--- /dev/null
+++ b/mag/stage0_bu.mag
@@ -0,0 +1,145 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666387681
+<< locali >>
+rect 913 8246 947 8282
+rect 894 8212 947 8246
+<< metal1 >>
+rect 321 8355 331 8411
+rect 387 8406 397 8411
+rect 387 8366 459 8406
+rect 387 8355 397 8366
+rect 950 8284 999 8650
+rect 1220 8010 1230 8074
+rect 1294 8010 1304 8074
+rect 230 3470 270 6820
+rect 4880 5730 20600 5770
+rect 230 3430 1500 3470
+rect 1460 3040 1500 3430
+rect 4750 3410 4780 4510
+rect 3450 3380 4780 3410
+rect 3450 2820 3480 3380
+rect 3450 2790 3570 2820
+rect 20560 2300 20600 5730
+rect 110 1710 4150 1740
+rect 110 1650 4060 1680
+rect 4030 1100 4060 1650
+rect 4120 1510 4150 1710
+rect 5550 1510 5580 1520
+rect 4120 1480 5580 1510
+rect 11750 1100 11780 1250
+rect 4030 1070 11780 1100
+rect 3510 628 3570 658
+rect 1460 60 1500 422
+rect 280 20 1500 60
+rect 280 -2310 320 20
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 3510 -3630 3540 628
+rect 21490 -1740 21520 1180
+rect 4930 -1770 21520 -1740
+rect 3510 -3660 4870 -3630
+rect 4840 -4250 4870 -3660
+<< via1 >>
+rect 331 8355 387 8411
+rect 1230 8010 1294 8074
+rect 1260 -810 1370 -700
+<< metal2 >>
+rect 331 8411 387 8421
+rect 331 8345 387 8355
+rect 1230 8074 1294 8084
+rect 1230 8000 1294 8010
+rect 710 4314 774 4324
+rect 710 4240 774 4250
+rect 2256 3416 2376 4718
+rect 720 -556 784 -546
+rect 720 -630 784 -620
+rect 730 -1030 770 -630
+rect 1260 -700 1370 -690
+rect 2300 -810 2420 10
+rect 1260 -820 1370 -810
+<< via2 >>
+rect 331 8355 387 8411
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal3 >>
+rect 286 8411 425 8709
+rect 286 8355 331 8411
+rect 387 8355 425 8411
+rect 286 8037 425 8355
+rect 1220 8074 1330 8110
+rect 1220 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1220 8000 1330 8010
+rect 690 4314 800 4330
+rect 286 2994 426 4259
+rect 690 4250 710 4314
+rect 774 4250 800 4314
+rect 690 4240 800 4250
+rect 330 -812 470 453
+rect 710 -556 800 -530
+rect 710 -620 720 -556
+rect 784 -620 800 -556
+rect 710 -660 800 -620
+rect 1250 -700 1380 -695
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 1250 -815 1380 -810
+<< via3 >>
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal4 >>
+rect 1260 8075 1330 8110
+rect 1229 8074 1330 8075
+rect 1229 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1229 8009 1330 8010
+rect 709 4314 775 4315
+rect 709 4250 710 4314
+rect 774 4260 775 4314
+rect 774 4250 790 4260
+rect 709 4249 790 4250
+rect 710 -556 790 4249
+rect 710 -620 720 -556
+rect 784 -620 790 -556
+rect 710 -630 790 -620
+rect 1260 -699 1330 8009
+rect 1259 -700 1371 -699
+rect 1259 -810 1260 -700
+rect 1370 -810 1371 -700
+rect 1259 -811 1371 -810
+use cmfb1  cmfb1_0
+timestamp 1666387381
+transform 0 1 8026 -1 0 697
+box -8100 -7760 -2801 18240
+use cmfb1  cmfb1_1
+timestamp 1666387381
+transform 0 1 8070 -1 0 -8125
+box -8100 -7760 -2801 18240
+use cons1  cons1_0
+timestamp 1662961975
+transform 1 0 -46324 0 1 8198
+box 46324 -8198 69992 -4736
+use sky130_fd_pr__nfet_01v8_lvt_L3LEKD  sky130_fd_pr__nfet_01v8_lvt_L3LEKD_0
+timestamp 1662961975
+transform 1 0 701 0 1 8384
+box -396 -310 396 310
+<< labels >>
+rlabel space 416 0 23486 390 0 vdd
+rlabel space 330 -1255 470 688 0 vss
+rlabel metal1 230 3430 270 6820 0 vout2p
+rlabel metal1 20560 2890 20600 5770 0 vout2n
+rlabel metal1 280 -2310 320 60 0 vd21
+rlabel metal1 21490 -1770 21520 570 0 vd22
+rlabel space 686 7777 726 8207 0 vbias2
+rlabel metal1 3450 2790 3480 3410 0 vo22
+rlabel metal1 3510 -3660 3540 658 0 vo21
+rlabel metal1 110 1710 4150 1740 0 vout1p
+rlabel metal3 1220 8074 1330 8110 0 vref
+rlabel metal1 110 1650 4060 1680 0 vout1n
+<< end >>
diff --git a/mag/stage1.mag b/mag/stage1.mag
new file mode 100644
index 0000000..530fac6
--- /dev/null
+++ b/mag/stage1.mag
@@ -0,0 +1,126 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666563971
+<< metal1 >>
+rect 1220 8010 1230 8074
+rect 1294 8010 1304 8074
+rect 230 3470 270 6820
+rect 4880 5730 20600 5770
+rect 230 3430 1500 3470
+rect 1460 3040 1500 3430
+rect 4750 3410 4780 4510
+rect 3450 3380 4780 3410
+rect 3450 2820 3480 3380
+rect 3450 2790 3570 2820
+rect 20560 2300 20600 5730
+rect 110 1770 4650 1800
+rect 110 1710 4150 1740
+rect 4120 1510 4150 1710
+rect 19936 1700 23570 1738
+rect 19936 1592 19978 1700
+rect 17752 1558 19978 1592
+rect 5550 1510 5580 1520
+rect 4120 1480 5580 1510
+rect 3510 628 3570 658
+rect 1460 60 1500 422
+rect 280 20 1500 60
+rect 280 -2310 320 20
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 3510 -3630 3540 628
+rect 21490 -1740 21520 1180
+rect 4930 -1770 21520 -1740
+rect 3510 -3660 4870 -3630
+rect 4840 -4250 4870 -3660
+<< via1 >>
+rect 1230 8010 1294 8074
+rect 1260 -810 1370 -700
+<< metal2 >>
+rect 1230 8074 1294 8084
+rect 1230 8000 1294 8010
+rect 710 4314 774 4324
+rect 710 4240 774 4250
+rect 2256 3416 2376 4718
+rect 720 -556 784 -546
+rect 720 -630 784 -620
+rect 730 -1030 770 -630
+rect 1260 -700 1370 -690
+rect 2300 -810 2420 10
+rect 1260 -820 1370 -810
+<< via2 >>
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal3 >>
+rect 1220 8074 1330 8110
+rect 1220 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1220 8000 1330 8010
+rect 690 4314 800 4330
+rect 286 2994 426 4259
+rect 690 4250 710 4314
+rect 774 4250 800 4314
+rect 690 4240 800 4250
+rect 330 -812 470 453
+rect 710 -556 800 -530
+rect 710 -620 720 -556
+rect 784 -620 800 -556
+rect 710 -660 800 -620
+rect 1250 -700 1380 -695
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 1250 -815 1380 -810
+<< via3 >>
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal4 >>
+rect 1260 8075 1330 8110
+rect 1229 8074 1330 8075
+rect 1229 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1229 8009 1330 8010
+rect 709 4314 775 4315
+rect 709 4250 710 4314
+rect 774 4260 775 4314
+rect 774 4250 790 4260
+rect 709 4249 790 4250
+rect 710 -556 790 4249
+rect 710 -620 720 -556
+rect 784 -620 790 -556
+rect 710 -630 790 -620
+rect 1260 -699 1330 8009
+rect 1259 -700 1371 -699
+rect 1259 -810 1260 -700
+rect 1370 -810 1371 -700
+rect 1259 -811 1371 -810
+use cmfb1  cmfb1_0
+timestamp 1666563879
+transform 0 1 8026 -1 0 697
+box -8020 -7760 -2721 18240
+use cmfb2  cmfb2_0
+timestamp 1666563939
+transform 0 1 8070 -1 0 -8125
+box -8180 -7760 -2881 18240
+use cons_cw  cons_cw_0
+timestamp 1662976792
+transform 1 0 -46324 0 1 8198
+box 46324 -8198 69992 -4736
+<< labels >>
+rlabel space 416 0 23486 390 0 vdd
+rlabel space 330 -1255 470 688 0 vss
+rlabel metal1 230 3430 270 6820 0 vout2p
+rlabel metal1 20560 2890 20600 5770 0 vout2n
+rlabel metal1 280 -2310 320 60 0 vd21
+rlabel metal1 21490 -1770 21520 570 0 vd22
+rlabel space 686 7777 726 8207 0 vbias2
+rlabel metal1 3450 2790 3480 3410 0 vo22
+rlabel metal1 3510 -3660 3540 658 0 vo21
+rlabel metal1 110 1710 4150 1740 0 vout1p
+rlabel metal3 1220 8074 1330 8110 0 vref
+rlabel space 110 1770 4696 1800 0 vc
+rlabel metal1 19936 1700 23570 1738 0 vout1n
+<< end >>
diff --git a/mag/stage1_bu.mag b/mag/stage1_bu.mag
new file mode 100644
index 0000000..981af63
--- /dev/null
+++ b/mag/stage1_bu.mag
@@ -0,0 +1,126 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666387681
+<< metal1 >>
+rect 1220 8010 1230 8074
+rect 1294 8010 1304 8074
+rect 230 3470 270 6820
+rect 4880 5730 20600 5770
+rect 230 3430 1500 3470
+rect 1460 3040 1500 3430
+rect 4750 3410 4780 4510
+rect 3450 3380 4780 3410
+rect 3450 2820 3480 3380
+rect 3450 2790 3570 2820
+rect 20560 2300 20600 5730
+rect 110 1770 4650 1800
+rect 110 1710 4150 1740
+rect 4120 1510 4150 1710
+rect 19936 1700 23570 1738
+rect 19936 1592 19978 1700
+rect 17752 1558 19978 1592
+rect 5550 1510 5580 1520
+rect 4120 1480 5580 1510
+rect 3510 628 3570 658
+rect 1460 60 1500 422
+rect 280 20 1500 60
+rect 280 -2310 320 20
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 3510 -3630 3540 628
+rect 21490 -1740 21520 1180
+rect 4930 -1770 21520 -1740
+rect 3510 -3660 4870 -3630
+rect 4840 -4250 4870 -3660
+<< via1 >>
+rect 1230 8010 1294 8074
+rect 1260 -810 1370 -700
+<< metal2 >>
+rect 1230 8074 1294 8084
+rect 1230 8000 1294 8010
+rect 710 4314 774 4324
+rect 710 4240 774 4250
+rect 2256 3416 2376 4718
+rect 720 -556 784 -546
+rect 720 -630 784 -620
+rect 730 -1030 770 -630
+rect 1260 -700 1370 -690
+rect 2300 -810 2420 10
+rect 1260 -820 1370 -810
+<< via2 >>
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal3 >>
+rect 1220 8074 1330 8110
+rect 1220 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1220 8000 1330 8010
+rect 690 4314 800 4330
+rect 286 2994 426 4259
+rect 690 4250 710 4314
+rect 774 4250 800 4314
+rect 690 4240 800 4250
+rect 330 -812 470 453
+rect 710 -556 800 -530
+rect 710 -620 720 -556
+rect 784 -620 800 -556
+rect 710 -660 800 -620
+rect 1250 -700 1380 -695
+rect 1250 -810 1260 -700
+rect 1370 -810 1380 -700
+rect 1250 -815 1380 -810
+<< via3 >>
+rect 1230 8010 1294 8074
+rect 710 4250 774 4314
+rect 720 -620 784 -556
+rect 1260 -810 1370 -700
+<< metal4 >>
+rect 1260 8075 1330 8110
+rect 1229 8074 1330 8075
+rect 1229 8010 1230 8074
+rect 1294 8010 1330 8074
+rect 1229 8009 1330 8010
+rect 709 4314 775 4315
+rect 709 4250 710 4314
+rect 774 4260 775 4314
+rect 774 4250 790 4260
+rect 709 4249 790 4250
+rect 710 -556 790 4249
+rect 710 -620 720 -556
+rect 784 -620 790 -556
+rect 710 -630 790 -620
+rect 1260 -699 1330 8009
+rect 1259 -700 1371 -699
+rect 1259 -810 1260 -700
+rect 1370 -810 1371 -700
+rect 1259 -811 1371 -810
+use cmfb1  cmfb1_0
+timestamp 1666387381
+transform 0 1 8026 -1 0 697
+box -8100 -7760 -2801 18240
+use cmfb1  cmfb1_1
+timestamp 1666387381
+transform 0 1 8070 -1 0 -8125
+box -8100 -7760 -2801 18240
+use cons_cw  cons_cw_0
+timestamp 1662976792
+transform 1 0 -46324 0 1 8198
+box 46324 -8198 69992 -4736
+<< labels >>
+rlabel space 416 0 23486 390 0 vdd
+rlabel space 330 -1255 470 688 0 vss
+rlabel metal1 230 3430 270 6820 0 vout2p
+rlabel metal1 20560 2890 20600 5770 0 vout2n
+rlabel metal1 280 -2310 320 60 0 vd21
+rlabel metal1 21490 -1770 21520 570 0 vd22
+rlabel space 686 7777 726 8207 0 vbias2
+rlabel metal1 3450 2790 3480 3410 0 vo22
+rlabel metal1 3510 -3660 3540 658 0 vo21
+rlabel metal1 110 1710 4150 1740 0 vout1p
+rlabel metal3 1220 8074 1330 8110 0 vref
+rlabel space 110 1770 4696 1800 0 vc
+rlabel metal1 19936 1700 23570 1738 0 vout1n
+<< end >>
diff --git a/mag/top.mag b/mag/top.mag
new file mode 100644
index 0000000..9e6a1df
--- /dev/null
+++ b/mag/top.mag
@@ -0,0 +1,774 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1666563971
+<< locali >>
+rect 3988 -59524 4946 -59486
+rect 5164 -59618 5202 -59504
+rect 5578 -59612 5616 -59498
+rect 6008 -59606 6046 -59492
+rect 6428 -59608 6466 -59494
+rect 6844 -59608 6882 -59494
+rect 7262 -59608 7300 -59494
+rect 7680 -59608 7718 -59494
+rect 8096 -59608 8134 -59494
+rect 8514 -59608 8552 -59494
+rect 8932 -59608 8970 -59494
+rect 9350 -59608 9388 -59494
+rect 9766 -59608 9804 -59494
+rect 10184 -59608 10222 -59494
+rect 10602 -59608 10640 -59494
+rect 11018 -59608 11056 -59494
+rect 11436 -59608 11474 -59494
+rect 11854 -59608 11892 -59494
+rect 12270 -59608 12308 -59494
+rect 12688 -59608 12726 -59494
+rect 13106 -59608 13144 -59494
+rect 13524 -59608 13562 -59494
+rect 13940 -59608 13978 -59494
+rect 14358 -59608 14396 -59494
+rect 14776 -59608 14814 -59494
+rect 15192 -59608 15230 -59494
+rect 15610 -59608 15648 -59494
+rect 16028 -59608 16066 -59494
+rect 16444 -59608 16482 -59494
+rect 9190 -59816 9424 -59814
+rect 9190 -59936 9444 -59816
+rect 9210 -59938 9444 -59936
+<< viali >>
+rect 3660 -59522 3724 -59456
+<< metal1 >>
+rect 20608 9410 23560 9440
+rect 48 8820 288 8850
+rect -268 -5380 -258 -5328
+rect -206 -5380 -196 -5328
+rect -686 -19582 -676 -19530
+rect -624 -19582 -614 -19530
+rect -1094 -33752 -1084 -33700
+rect -1032 -33752 -1022 -33700
+rect -1090 -49700 -1060 -33752
+rect -678 -35496 -648 -19582
+rect -258 -21288 -228 -5380
+rect 48 -7086 78 8820
+rect 266 4436 276 4488
+rect 328 4436 338 4488
+rect 23250 3636 23260 3654
+rect 21442 3606 23260 3636
+rect 23250 3602 23260 3606
+rect 23312 3602 23322 3654
+rect 695 -619 705 -567
+rect 757 -619 767 -567
+rect 23278 -4716 23288 -4714
+rect 20592 -4760 23288 -4716
+rect 23278 -4766 23288 -4760
+rect 23340 -4766 23350 -4714
+rect 242 -5394 252 -5342
+rect 304 -5394 314 -5342
+rect 120 -7058 130 -7006
+rect 182 -7058 192 -7006
+rect 48 -7116 138 -7086
+rect 23530 -7098 23560 9410
+rect 23610 -4764 23620 -4712
+rect 23672 -4764 23682 -4712
+rect 696 -14821 706 -14769
+rect 758 -14821 768 -14769
+rect 20604 -18966 23372 -18924
+rect 23362 -18976 23372 -18966
+rect 23424 -18976 23434 -18924
+rect 232 -19598 242 -19546
+rect 294 -19598 304 -19546
+rect 120 -21258 130 -21206
+rect 182 -21258 192 -21206
+rect -258 -21318 146 -21288
+rect 23620 -21290 23650 -4764
+rect 23680 -18974 23690 -18922
+rect 23742 -18974 23752 -18922
+rect -258 -21320 -228 -21318
+rect 23586 -21328 23650 -21290
+rect 696 -29031 706 -28979
+rect 758 -29031 768 -28979
+rect 20600 -33208 23190 -33160
+rect 23180 -33212 23190 -33208
+rect 23242 -33212 23252 -33160
+rect 238 -33802 248 -33750
+rect 300 -33802 310 -33750
+rect 120 -35466 130 -35414
+rect 182 -35466 192 -35414
+rect -678 -35528 140 -35496
+rect 23690 -35500 23720 -18974
+rect 23824 -33212 23834 -33160
+rect 23886 -33212 23896 -33160
+rect -678 -35532 -648 -35528
+rect 23582 -35538 23720 -35500
+rect 696 -43233 706 -43181
+rect 758 -43233 768 -43181
+rect 20580 -46908 24124 -46858
+rect -126 -47932 -116 -47918
+rect -142 -47974 -116 -47932
+rect -60 -47932 -50 -47918
+rect -60 -47974 278 -47932
+rect -142 -47988 278 -47974
+rect 120 -49668 130 -49616
+rect 182 -49668 192 -49616
+rect 23800 -49700 23810 -49688
+rect -1090 -49730 134 -49700
+rect 23588 -49740 23810 -49700
+rect 23862 -49740 23872 -49688
+rect 4572 -56558 4618 -56194
+rect 24092 -56292 24124 -46908
+rect 18244 -56358 24124 -56292
+rect 3082 -56718 3092 -56654
+rect 3156 -56718 3166 -56654
+rect 2306 -57092 2316 -57004
+rect 2302 -57120 2316 -57092
+rect 2420 -57120 2430 -57004
+rect 2302 -57650 2430 -57120
+rect 4574 -57472 4616 -56558
+rect 6018 -57366 6028 -57314
+rect 6080 -57366 6090 -57314
+rect 3826 -57520 4616 -57472
+rect 3826 -57530 4614 -57520
+rect 6030 -57556 6060 -57366
+rect 18244 -57552 18274 -56358
+rect 21694 -57110 21704 -57046
+rect 21768 -57110 21778 -57046
+rect 1592 -57748 2430 -57650
+rect 1592 -57750 2426 -57748
+rect 1592 -57906 1692 -57750
+rect 3836 -58540 3846 -58476
+rect 3910 -58540 3920 -58476
+rect 3654 -59456 3730 -59444
+rect 3650 -59522 3660 -59456
+rect 3724 -59498 3734 -59456
+rect 3842 -59490 3904 -59438
+rect 3842 -59492 16664 -59490
+rect 3724 -59522 3762 -59498
+rect 3654 -59534 3762 -59522
+rect 3720 -59864 3762 -59534
+rect 3832 -59556 3842 -59492
+rect 3906 -59554 16664 -59492
+rect 3906 -59556 3916 -59554
+rect 3842 -59938 3904 -59556
+rect 5010 -59640 5044 -59554
+rect 5322 -59642 5356 -59554
+rect 5428 -59640 5462 -59554
+rect 5742 -59642 5776 -59554
+rect 5850 -59642 5884 -59554
+rect 6158 -59642 6192 -59554
+rect 6266 -59642 6300 -59554
+rect 6578 -59642 6612 -59554
+rect 6684 -59642 6718 -59554
+rect 6994 -59642 7028 -59554
+rect 7102 -59642 7136 -59554
+rect 7412 -59642 7446 -59554
+rect 7520 -59642 7554 -59554
+rect 7832 -59642 7866 -59554
+rect 7938 -59642 7972 -59554
+rect 8250 -59642 8284 -59554
+rect 8358 -59638 8392 -59554
+rect 8664 -59642 8698 -59554
+rect 8776 -59642 8810 -59554
+rect 9084 -59642 9118 -59554
+rect 9192 -59642 9226 -59554
+rect 9502 -59642 9536 -59554
+rect 9610 -59642 9644 -59554
+rect 9922 -59642 9956 -59554
+rect 10030 -59642 10064 -59554
+rect 10340 -59642 10374 -59554
+rect 10446 -59642 10480 -59554
+rect 10758 -59642 10792 -59554
+rect 10866 -59640 10900 -59554
+rect 11176 -59642 11210 -59554
+rect 11284 -59642 11318 -59554
+rect 11594 -59642 11628 -59554
+rect 11700 -59642 11734 -59554
+rect 12010 -59642 12044 -59554
+rect 12120 -59642 12154 -59554
+rect 12430 -59642 12464 -59554
+rect 12538 -59642 12572 -59554
+rect 12846 -59644 12880 -59554
+rect 12952 -59640 12986 -59554
+rect 13264 -59642 13298 -59554
+rect 13372 -59642 13406 -59554
+rect 13682 -59642 13716 -59554
+rect 13790 -59642 13824 -59554
+rect 14098 -59642 14132 -59554
+rect 14210 -59640 14244 -59554
+rect 14518 -59642 14552 -59554
+rect 14630 -59640 14664 -59554
+rect 14938 -59642 14972 -59554
+rect 15046 -59642 15080 -59554
+rect 15354 -59640 15388 -59554
+rect 15464 -59642 15498 -59554
+rect 15774 -59642 15808 -59554
+rect 15882 -59642 15916 -59554
+rect 16192 -59642 16226 -59554
+rect 16298 -59646 16332 -59554
+rect 16608 -59650 16642 -59554
+rect 5064 -59754 16600 -59718
+rect 5138 -59996 5174 -59754
+rect 6864 -59996 6900 -59754
+rect 8964 -59996 9000 -59754
+rect 11482 -59996 11518 -59754
+rect 5094 -60030 13550 -59996
+rect 5022 -60114 5062 -60072
+rect 5332 -60080 5370 -60070
+rect 5332 -60114 5372 -60080
+rect 5442 -60086 5480 -60072
+rect 5022 -60276 5056 -60114
+rect 5146 -60176 5156 -60124
+rect 5208 -60176 5218 -60124
+rect 5338 -60276 5372 -60114
+rect 5436 -60114 5480 -60086
+rect 5436 -60276 5470 -60114
+rect 5748 -60116 5792 -60066
+rect 5856 -60080 5900 -60066
+rect 5854 -60116 5900 -60080
+rect 5572 -60172 5582 -60120
+rect 5634 -60172 5644 -60120
+rect 5754 -60276 5788 -60116
+rect 5854 -60276 5888 -60116
+rect 6168 -60118 6212 -60068
+rect 6276 -60112 6320 -60062
+rect 6002 -60172 6012 -60120
+rect 6064 -60172 6074 -60120
+rect 6170 -60276 6204 -60118
+rect 6280 -60276 6314 -60112
+rect 6588 -60114 6632 -60064
+rect 6696 -60082 6740 -60060
+rect 7010 -60062 7054 -60060
+rect 6690 -60110 6740 -60082
+rect 7004 -60110 7054 -60062
+rect 6412 -60174 6422 -60122
+rect 6474 -60174 6484 -60122
+rect 6596 -60276 6630 -60114
+rect 6690 -60276 6724 -60110
+rect 7004 -60112 7048 -60110
+rect 6836 -60178 6846 -60126
+rect 6898 -60178 6908 -60126
+rect 7004 -60276 7038 -60112
+rect 7114 -60114 7158 -60064
+rect 7422 -60114 7466 -60064
+rect 7530 -60092 7574 -60064
+rect 7842 -60084 7886 -60062
+rect 7524 -60114 7574 -60092
+rect 7838 -60112 7886 -60084
+rect 7948 -60110 7992 -60060
+rect 7114 -60276 7148 -60114
+rect 7256 -60174 7266 -60122
+rect 7318 -60174 7328 -60122
+rect 7430 -60276 7464 -60114
+rect 7524 -60276 7558 -60114
+rect 7678 -60180 7688 -60128
+rect 7740 -60180 7750 -60128
+rect 7838 -60276 7872 -60112
+rect 7948 -60276 7982 -60110
+rect 8258 -60112 8302 -60062
+rect 8366 -60096 8410 -60060
+rect 8364 -60110 8410 -60096
+rect 8678 -60098 8722 -60060
+rect 8676 -60110 8722 -60098
+rect 8784 -60110 8828 -60060
+rect 9096 -60108 9140 -60060
+rect 9506 -60068 9550 -60062
+rect 9094 -60110 9140 -60108
+rect 8086 -60168 8096 -60116
+rect 8148 -60168 8158 -60116
+rect 8260 -60276 8294 -60112
+rect 8364 -60276 8398 -60110
+rect 8512 -60170 8522 -60118
+rect 8574 -60170 8584 -60118
+rect 8676 -60276 8710 -60110
+rect 8788 -60276 8822 -60110
+rect 8926 -60176 8936 -60124
+rect 8988 -60176 8998 -60124
+rect 9094 -60276 9128 -60110
+rect 9500 -60112 9550 -60068
+rect 9814 -60110 9858 -60060
+rect 9924 -60070 9968 -60062
+rect 9500 -60252 9534 -60112
+rect 9666 -60176 9676 -60124
+rect 9728 -60176 9738 -60124
+rect 9814 -60252 9848 -60110
+rect 9918 -60112 9968 -60070
+rect 10232 -60110 10276 -60060
+rect 10342 -60110 10386 -60060
+rect 9918 -60252 9952 -60112
+rect 10066 -60168 10076 -60116
+rect 10128 -60168 10138 -60116
+rect 10236 -60252 10270 -60110
+rect 10342 -60252 10376 -60110
+rect 10652 -60112 10696 -60062
+rect 10760 -60112 10804 -60062
+rect 11068 -60074 11112 -60062
+rect 11068 -60112 11116 -60074
+rect 11176 -60080 11220 -60060
+rect 11176 -60110 11222 -60080
+rect 10476 -60174 10486 -60122
+rect 10538 -60174 10548 -60122
+rect 10652 -60252 10686 -60112
+rect 10768 -60252 10802 -60112
+rect 10900 -60174 10910 -60122
+rect 10962 -60174 10972 -60122
+rect 11082 -60252 11116 -60112
+rect 11188 -60252 11222 -60110
+rect 11486 -60112 11530 -60062
+rect 11596 -60110 11640 -60060
+rect 11904 -60070 11938 -60068
+rect 11322 -60174 11332 -60122
+rect 11384 -60174 11394 -60122
+rect 11494 -60252 11528 -60112
+rect 11598 -60250 11632 -60110
+rect 11904 -60116 11942 -60070
+rect 12012 -60110 12056 -60060
+rect 12316 -60062 12350 -60058
+rect 11732 -60176 11742 -60124
+rect 11794 -60176 11804 -60124
+rect 11598 -60252 11676 -60250
+rect 11904 -60252 11938 -60116
+rect 12020 -60252 12054 -60110
+rect 12316 -60112 12368 -60062
+rect 12430 -60110 12474 -60060
+rect 12740 -60082 12784 -60062
+rect 12150 -60172 12160 -60120
+rect 12212 -60172 12222 -60120
+rect 12316 -60252 12350 -60112
+rect 12436 -60252 12470 -60110
+rect 12740 -60112 12788 -60082
+rect 12850 -60110 12894 -60060
+rect 13160 -60062 13194 -60058
+rect 13276 -60062 13310 -60060
+rect 13586 -60062 13620 -60060
+rect 12572 -60170 12582 -60118
+rect 12634 -60170 12644 -60118
+rect 12754 -60252 12788 -60112
+rect 12854 -60252 12888 -60110
+rect 13158 -60112 13202 -60062
+rect 13266 -60112 13310 -60062
+rect 13576 -60112 13620 -60062
+rect 12992 -60178 13002 -60126
+rect 13054 -60178 13064 -60126
+rect 13160 -60252 13194 -60112
+rect 13276 -60252 13310 -60112
+rect 13410 -60174 13420 -60122
+rect 13472 -60174 13482 -60122
+rect 13586 -60250 13620 -60112
+rect 21692 -60250 21702 -60228
+rect 13586 -60252 21702 -60250
+rect 5018 -60324 9154 -60276
+rect 9500 -60292 21702 -60252
+rect 21766 -60250 21776 -60228
+rect 21766 -60292 21790 -60250
+rect 9500 -60300 21790 -60292
+rect 3064 -60366 3166 -60362
+rect 3064 -60430 3084 -60366
+rect 3148 -60390 3166 -60366
+rect 5020 -60390 5064 -60324
+rect 3148 -60430 5064 -60390
+rect 3064 -60434 5064 -60430
+rect 3064 -60438 3166 -60434
+<< via1 >>
+rect -258 -5380 -206 -5328
+rect -676 -19582 -624 -19530
+rect -1084 -33752 -1032 -33700
+rect 276 4436 328 4488
+rect 23260 3602 23312 3654
+rect 705 -619 757 -567
+rect 23288 -4766 23340 -4714
+rect 252 -5394 304 -5342
+rect 130 -7058 182 -7006
+rect 23620 -4764 23672 -4712
+rect 706 -14821 758 -14769
+rect 23372 -18976 23424 -18924
+rect 242 -19598 294 -19546
+rect 130 -21258 182 -21206
+rect 23690 -18974 23742 -18922
+rect 706 -29031 758 -28979
+rect 23190 -33212 23242 -33160
+rect 248 -33802 300 -33750
+rect 130 -35466 182 -35414
+rect 23834 -33212 23886 -33160
+rect 706 -43233 758 -43181
+rect -116 -47974 -60 -47918
+rect 130 -49668 182 -49616
+rect 23810 -49740 23862 -49688
+rect 3092 -56718 3156 -56654
+rect 2316 -57120 2420 -57004
+rect 6028 -57366 6080 -57314
+rect 21704 -57110 21768 -57046
+rect 3846 -58540 3910 -58476
+rect 3660 -59522 3724 -59456
+rect 3842 -59556 3906 -59492
+rect 5156 -60176 5208 -60124
+rect 5582 -60172 5634 -60120
+rect 6012 -60172 6064 -60120
+rect 6422 -60174 6474 -60122
+rect 6846 -60178 6898 -60126
+rect 7266 -60174 7318 -60122
+rect 7688 -60180 7740 -60128
+rect 8096 -60168 8148 -60116
+rect 8522 -60170 8574 -60118
+rect 8936 -60176 8988 -60124
+rect 9676 -60176 9728 -60124
+rect 10076 -60168 10128 -60116
+rect 10486 -60174 10538 -60122
+rect 10910 -60174 10962 -60122
+rect 11332 -60174 11384 -60122
+rect 11742 -60176 11794 -60124
+rect 12160 -60172 12212 -60120
+rect 12582 -60170 12634 -60118
+rect 13002 -60178 13054 -60126
+rect 13420 -60174 13472 -60122
+rect 21702 -60292 21766 -60228
+rect 3084 -60430 3148 -60366
+<< metal2 >>
+rect 276 4488 328 4498
+rect -2732 4466 276 4484
+rect -2734 4436 276 4466
+rect -2734 4434 328 4436
+rect -2734 -60168 -2686 4434
+rect 276 4426 328 4434
+rect 748 -557 788 803
+rect 705 -567 788 -557
+rect 757 -619 788 -567
+rect 705 -623 788 -619
+rect 705 -629 757 -623
+rect -258 -5328 -206 -5318
+rect 252 -5342 304 -5332
+rect -206 -5380 252 -5346
+rect -258 -5390 -206 -5380
+rect 252 -5404 304 -5394
+rect -1260 -7002 -1204 -6992
+rect 130 -7004 182 -6996
+rect -1204 -7006 182 -7004
+rect -1204 -7058 130 -7006
+rect -1260 -7068 -1204 -7058
+rect 130 -7068 182 -7058
+rect 750 -14759 790 -13394
+rect 706 -14769 790 -14759
+rect 758 -14820 790 -14769
+rect 706 -14831 758 -14821
+rect -676 -19530 -624 -19520
+rect 242 -19546 294 -19536
+rect -624 -19580 242 -19550
+rect -676 -19592 -624 -19582
+rect 242 -19608 294 -19598
+rect -1272 -21204 -1216 -21194
+rect -1276 -21260 -1272 -21208
+rect 130 -21206 182 -21196
+rect -1216 -21258 130 -21208
+rect -1216 -21260 182 -21258
+rect -1276 -21262 182 -21260
+rect -1272 -21270 -1216 -21262
+rect 130 -21268 182 -21262
+rect 750 -28969 790 -27603
+rect 706 -28979 790 -28969
+rect 758 -29029 790 -28979
+rect 706 -29041 758 -29031
+rect -1084 -33700 -1032 -33690
+rect 248 -33750 300 -33740
+rect -1032 -33752 248 -33750
+rect -1084 -33762 248 -33752
+rect -1074 -33794 248 -33762
+rect 248 -33812 300 -33802
+rect -1288 -35412 -1232 -35402
+rect 130 -35414 182 -35404
+rect -1232 -35466 130 -35416
+rect -1232 -35468 182 -35466
+rect -1288 -35470 182 -35468
+rect -1288 -35478 -1232 -35470
+rect 130 -35476 182 -35470
+rect 750 -43171 790 -41812
+rect 706 -43181 790 -43171
+rect 758 -43233 790 -43181
+rect 706 -43238 790 -43233
+rect 706 -43243 758 -43238
+rect -116 -47918 -60 -47908
+rect -116 -47984 -60 -47974
+rect -1266 -49614 -1210 -49604
+rect -1268 -49670 -1266 -49620
+rect 130 -49616 182 -49606
+rect -1210 -49668 130 -49620
+rect -1210 -49670 182 -49668
+rect -1268 -49674 182 -49670
+rect -1266 -49680 -1210 -49674
+rect 130 -49678 182 -49674
+rect -116 -49948 -60 -49938
+rect -116 -50014 -60 -50004
+rect -114 -57310 -62 -50014
+rect 2289 -55554 2441 13391
+rect 22589 -51048 23031 8837
+rect 23260 3654 23312 3664
+rect 23312 3606 24956 3642
+rect 23260 3592 23312 3602
+rect 23288 -4714 23340 -4704
+rect 23620 -4712 23672 -4702
+rect 23340 -4762 23620 -4714
+rect 23288 -4776 23340 -4766
+rect 23620 -4774 23672 -4764
+rect 23372 -18924 23424 -18914
+rect 23690 -18922 23742 -18912
+rect 23424 -18974 23690 -18926
+rect 23424 -18976 23742 -18974
+rect 23372 -18986 23424 -18976
+rect 23690 -18984 23742 -18976
+rect 23190 -33160 23242 -33150
+rect 23834 -33160 23886 -33150
+rect 23242 -33212 23834 -33160
+rect 23190 -33222 23242 -33212
+rect 23832 -33222 23886 -33212
+rect 23832 -49678 23862 -33222
+rect 23810 -49688 23862 -49678
+rect 23810 -49750 23862 -49740
+rect 2289 -55599 2442 -55554
+rect 2290 -57004 2442 -55599
+rect 3092 -56654 3156 -56644
+rect 3092 -56728 3156 -56718
+rect 2290 -57120 2316 -57004
+rect 2420 -57120 2442 -57004
+rect 21704 -57046 21768 -57036
+rect 21704 -57120 21768 -57110
+rect 2290 -57146 2442 -57120
+rect 6028 -57310 6080 -57304
+rect -114 -57314 6084 -57310
+rect -114 -57366 6028 -57314
+rect 6080 -57366 6084 -57314
+rect 6028 -57376 6080 -57366
+rect 3846 -58476 3910 -58466
+rect 3846 -58550 3910 -58540
+rect 3660 -59456 3724 -59446
+rect 3660 -59532 3724 -59522
+rect 3842 -59492 3906 -59482
+rect 3842 -59566 3906 -59556
+rect 5156 -60124 5208 -60114
+rect -2734 -60176 5156 -60168
+rect 5582 -60120 5634 -60110
+rect 5208 -60172 5582 -60168
+rect 6012 -60120 6064 -60110
+rect 5634 -60172 6012 -60168
+rect 6422 -60122 6474 -60112
+rect 6064 -60172 6422 -60168
+rect 5208 -60174 6422 -60172
+rect 6846 -60126 6898 -60116
+rect 6474 -60174 6846 -60168
+rect 5208 -60176 6846 -60174
+rect -2734 -60178 6846 -60176
+rect 7266 -60122 7318 -60112
+rect 8096 -60116 8148 -60106
+rect 6898 -60174 7266 -60168
+rect 7688 -60128 7740 -60118
+rect 7318 -60174 7688 -60168
+rect 6898 -60178 7688 -60174
+rect -2734 -60180 7688 -60178
+rect 8522 -60118 8574 -60108
+rect 7740 -60170 8522 -60168
+rect 8936 -60124 8988 -60114
+rect 8574 -60170 8936 -60168
+rect 7740 -60176 8936 -60170
+rect 9676 -60124 9728 -60114
+rect 8988 -60176 8998 -60168
+rect 7740 -60180 8998 -60176
+rect -2734 -60214 8998 -60180
+rect 9666 -60176 9676 -60168
+rect 10076 -60116 10128 -60106
+rect 10486 -60122 10538 -60112
+rect 9728 -60174 10486 -60168
+rect 10910 -60122 10962 -60112
+rect 10538 -60174 10910 -60168
+rect 11332 -60122 11384 -60112
+rect 10962 -60174 11332 -60168
+rect 11742 -60124 11794 -60114
+rect 11384 -60174 11742 -60168
+rect 9728 -60176 11742 -60174
+rect 12160 -60120 12212 -60110
+rect 11794 -60172 12160 -60168
+rect 12582 -60118 12634 -60108
+rect 12212 -60170 12582 -60168
+rect 13002 -60126 13054 -60116
+rect 12634 -60170 13002 -60168
+rect 12212 -60172 13002 -60170
+rect 11794 -60176 13002 -60172
+rect 9666 -60178 13002 -60176
+rect 13420 -60122 13472 -60112
+rect 13054 -60174 13420 -60168
+rect 24896 -60132 24954 3606
+rect 16098 -60164 24954 -60132
+rect 16098 -60168 24950 -60164
+rect 13472 -60174 24950 -60168
+rect 13054 -60178 24950 -60174
+rect 9666 -60180 24950 -60178
+rect 9666 -60214 16176 -60180
+rect 21702 -60228 21766 -60218
+rect 21702 -60302 21766 -60292
+rect 3084 -60366 3148 -60356
+rect 3084 -60440 3148 -60430
+<< via2 >>
+rect -1260 -7058 -1204 -7002
+rect -1272 -21260 -1216 -21204
+rect -1288 -35468 -1232 -35412
+rect -116 -47974 -60 -47918
+rect -1266 -49670 -1210 -49614
+rect -116 -50004 -60 -49948
+rect 3092 -56718 3156 -56654
+rect 21704 -57110 21768 -57046
+rect 3846 -58540 3910 -58476
+rect 3660 -59522 3724 -59456
+rect 3842 -59556 3906 -59492
+rect 21702 -60292 21766 -60228
+rect 3084 -60430 3148 -60366
+<< metal3 >>
+rect -1270 -7002 -1194 -6997
+rect -1270 -7008 -1260 -7002
+rect -1276 -7058 -1260 -7008
+rect -1204 -7058 -1194 -7002
+rect -1276 -21199 -1194 -7058
+rect -1282 -21204 -1194 -21199
+rect -1282 -21260 -1272 -21204
+rect -1216 -21260 -1194 -21204
+rect -1282 -21265 -1194 -21260
+rect -1276 -35407 -1194 -21265
+rect -1298 -35412 -1194 -35407
+rect -1298 -35468 -1288 -35412
+rect -1232 -35468 -1194 -35412
+rect -1298 -35473 -1194 -35468
+rect -1276 -49614 -1194 -35473
+rect -126 -47916 -50 -47913
+rect -1276 -49670 -1266 -49614
+rect -1210 -49670 -1194 -49614
+rect -150 -47918 -50 -47916
+rect -150 -47974 -116 -47918
+rect -60 -47974 -50 -47918
+rect -150 -47979 -50 -47974
+rect -1276 -49675 -1200 -49670
+rect -150 -49943 -52 -47979
+rect -150 -49948 -50 -49943
+rect -150 -50004 -116 -49948
+rect -60 -50004 -50 -49948
+rect -150 -50009 -50 -50004
+rect -150 -50010 -52 -50009
+rect 335 -56390 490 14085
+rect 1238 13450 1348 13486
+rect 334 -56504 490 -56390
+rect 334 -58970 530 -56504
+rect 3074 -56654 3224 -56602
+rect 3074 -56718 3092 -56654
+rect 3156 -56718 3224 -56654
+rect 3074 -56724 3224 -56718
+rect 21682 -57046 21810 -56996
+rect 21682 -57110 21704 -57046
+rect 21768 -57110 21810 -57046
+rect 21682 -57126 21810 -57110
+rect 3820 -58476 3920 -58448
+rect 3820 -58540 3846 -58476
+rect 3910 -58540 3920 -58476
+rect 3820 -58548 3920 -58540
+rect 3250 -59272 3538 -58964
+rect 3250 -59418 3732 -59272
+rect 3250 -59456 3734 -59418
+rect 3250 -59480 3660 -59456
+rect 3250 -60056 3538 -59480
+rect 3650 -59522 3660 -59480
+rect 3724 -59522 3734 -59456
+rect 3650 -59527 3734 -59522
+rect 3822 -59492 3922 -59422
+rect 3822 -59556 3842 -59492
+rect 3906 -59556 3922 -59492
+rect 3822 -59560 3922 -59556
+rect 3832 -59561 3916 -59560
+rect 21680 -60228 21782 -60210
+rect 21680 -60292 21702 -60228
+rect 21766 -60292 21782 -60228
+rect 21680 -60308 21782 -60292
+rect 3052 -60366 3178 -60336
+rect 3052 -60430 3084 -60366
+rect 3148 -60430 3178 -60366
+rect 3052 -60442 3178 -60430
+<< via3 >>
+rect 3092 -56718 3156 -56654
+rect 21704 -57110 21768 -57046
+rect 3846 -58540 3910 -58476
+rect 3842 -59556 3906 -59492
+rect 21702 -60292 21766 -60228
+rect 3084 -60430 3148 -60366
+<< metal4 >>
+rect 1279 -52251 1350 13486
+rect 3086 -56654 3158 -56648
+rect 3086 -56718 3092 -56654
+rect 3156 -56718 3158 -56654
+rect 3086 -60130 3158 -56718
+rect 21700 -57045 21768 -57042
+rect 21700 -57046 21769 -57045
+rect 21700 -57110 21704 -57046
+rect 21768 -57110 21769 -57046
+rect 21700 -57111 21769 -57110
+rect 3845 -58476 3911 -58475
+rect 3842 -58540 3846 -58476
+rect 3910 -58540 3911 -58476
+rect 3842 -58541 3911 -58540
+rect 3842 -59491 3902 -58541
+rect 3841 -59492 3907 -59491
+rect 3841 -59556 3842 -59492
+rect 3906 -59556 3907 -59492
+rect 3841 -59557 3907 -59556
+rect 3084 -60365 3158 -60130
+rect 21700 -60228 21768 -57111
+rect 21700 -60292 21702 -60228
+rect 21766 -60292 21768 -60228
+rect 21700 -60296 21768 -60292
+rect 3083 -60366 3158 -60365
+rect 3083 -60430 3084 -60366
+rect 3148 -60430 3158 -60366
+rect 3083 -60431 3149 -60430
+use fb  fb_0
+timestamp 1662983156
+transform 1 0 -45844 0 1 -51192
+box 46324 -8198 69992 -5432
+use sky130_fd_pr__nfet_01v8_lvt_8PSHEW  sky130_fd_pr__nfet_01v8_lvt_8PSHEW_0
+timestamp 1662988209
+transform 1 0 3819 0 1 -59732
+box -211 -338 211 338
+use sky130_fd_pr__nfet_01v8_lvt_72NHPP  sky130_fd_pr__nfet_01v8_lvt_72NHPP_0
+timestamp 1662988209
+transform 0 1 7077 -1 0 -60093
+box -211 -2191 211 2191
+use sky130_fd_pr__nfet_01v8_lvt_72NHPP  sky130_fd_pr__nfet_01v8_lvt_72NHPP_1
+timestamp 1662988209
+transform 0 1 11559 -1 0 -60093
+box -211 -2191 211 2191
+use sky130_fd_pr__nfet_01v8_lvt_XA5MKQ  sky130_fd_pr__nfet_01v8_lvt_XA5MKQ_0
+timestamp 1662988209
+transform 0 1 10827 -1 0 -59659
+box -211 -5953 211 5953
+use stage0  stage0_0
+timestamp 1666563971
+transform 1 0 18 0 1 5376
+box 0 -5244 26310 8717
+use stage1  stage1_0
+timestamp 1666563971
+transform 1 0 20 0 1 -8826
+box 0 -5244 26310 8717
+use stage1  stage1_1
+timestamp 1666563971
+transform 1 0 20 0 1 -23028
+box 0 -5244 26310 8717
+use stage1  stage1_2
+timestamp 1666563971
+transform 1 0 20 0 1 -37238
+box 0 -5244 26310 8717
+use stage1  stage1_3
+timestamp 1666563971
+transform 1 0 20 0 1 -51440
+box 0 -5244 26310 8717
+<< labels >>
+rlabel space 2274 8444 2441 13391 0 vdd
+rlabel space 304 8136 490 14085 0 vss
+rlabel space 968 13660 1017 14026 0 Iref
+rlabel metal3 1238 13450 1348 13486 0 vref
+rlabel metal2 -1204 -7058 130 -7004 0 vc
+rlabel metal2 -114 -57366 -62 -50004 0 vout5p
+rlabel space 18244 -58136 18274 -56292 0 vout5n
+rlabel space 128 7086 4168 7116 0 vinp
+rlabel space 128 7026 4078 7056 0 vinn
+rlabel metal1 13586 -60300 21702 -60250 0 vin0n
+rlabel metal1 5020 -60434 5064 -60276 0 vin0p
+<< end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 551e3e3..3d02bf1 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1663033073
+timestamp 1669738703
 << metal1 >>
 rect 14920 682870 15420 682910
 rect 14920 682040 14960 682870
@@ -10,6 +10,7 @@
 rect 14920 668180 23410 668280
 rect 14920 668100 23840 668180
 rect 14920 668060 23410 668100
+rect 14920 433640 15420 668060
 rect 55960 662600 56100 662620
 rect 55960 662500 55980 662600
 rect 56080 662500 56100 662600
@@ -30,6 +31,47 @@
 rect 56980 660100 57000 660200
 rect 57100 660100 57120 660200
 rect 56980 660080 57120 660100
+rect 14920 433480 144980 433640
+rect 144900 432740 144980 433480
+rect 55960 400380 58040 400400
+rect 55960 400300 55980 400380
+rect 56080 400300 57920 400380
+rect 58020 400300 58040 400380
+rect 55960 400280 58040 400300
+rect 139220 400380 139340 400400
+rect 139220 400300 139240 400380
+rect 139220 400280 139340 400300
+rect 56200 400120 58040 400140
+rect 56200 400040 56220 400120
+rect 56320 400040 57920 400120
+rect 58020 400040 58040 400120
+rect 56200 400020 58040 400040
+rect 138320 400120 138460 400140
+rect 138320 400040 138340 400120
+rect 138440 400040 138460 400120
+rect 138320 400020 138460 400040
+rect 56480 399860 58040 399880
+rect 56480 399780 56500 399860
+rect 56600 399780 57920 399860
+rect 58020 399780 58040 399860
+rect 56480 399760 58040 399780
+rect 137720 399860 137860 399880
+rect 137720 399780 137740 399860
+rect 137840 399780 137860 399860
+rect 137720 399760 137860 399780
+rect 56720 399600 58040 399620
+rect 56720 399520 56740 399600
+rect 56840 399520 57920 399600
+rect 58020 399520 58040 399600
+rect 56720 399500 58040 399520
+rect 137180 399600 137320 399620
+rect 137180 399520 137200 399600
+rect 137300 399520 137320 399600
+rect 137180 399500 137320 399520
+rect 136820 399340 136960 399360
+rect 136820 399260 136840 399340
+rect 136940 399260 136960 399340
+rect 136820 399240 136960 399260
 << via1 >>
 rect 14960 682040 15390 682870
 rect 55980 662500 56080 662600
@@ -37,6 +79,19 @@
 rect 56500 660980 56600 661080
 rect 56740 660460 56840 660560
 rect 57000 660100 57100 660200
+rect 55980 400300 56080 400380
+rect 57920 400300 58020 400380
+rect 139240 400300 139340 400380
+rect 56220 400040 56320 400120
+rect 57920 400040 58020 400120
+rect 138340 400040 138440 400120
+rect 56500 399780 56600 399860
+rect 57920 399780 58020 399860
+rect 137740 399780 137840 399860
+rect 56740 399520 56840 399600
+rect 57920 399520 58020 399600
+rect 137200 399520 137300 399600
+rect 136840 399260 136940 399340
 << metal2 >>
 rect 14920 682870 15420 682910
 rect 14920 682040 14960 682870
@@ -46,46 +101,87 @@
 rect 23190 671440 23210 671610
 rect 23370 671440 25400 671610
 rect 23190 671420 25400 671440
-rect 55960 662600 56100 662620
+rect 55960 662600 56100 662700
 rect 55960 662500 55980 662600
 rect 56080 662500 56100 662600
-rect 55960 335220 56100 662500
+rect 55960 400380 56100 662500
+rect 55960 400300 55980 400380
+rect 56080 400300 56100 400380
+rect 55960 292200 56100 400300
 rect 56200 661680 56340 661700
 rect 56200 661580 56220 661680
 rect 56320 661580 56340 661680
-rect 56200 378460 56340 661580
+rect 56200 400120 56340 661580
+rect 56200 400040 56220 400120
+rect 56320 400040 56340 400120
+rect 56200 335220 56340 400040
 rect 56480 661080 56620 661100
 rect 56480 660980 56500 661080
 rect 56600 660980 56620 661080
-rect 56480 421660 56620 660980
+rect 56480 399860 56620 660980
+rect 56480 399780 56500 399860
+rect 56600 399780 56620 399860
+rect 56480 378460 56620 399780
 rect 56720 660560 56860 660580
 rect 56720 660460 56740 660560
 rect 56840 660460 56860 660560
-rect 56720 466080 56860 660460
+rect 56720 421660 56860 660460
+rect 56720 421520 56740 421660
+rect 56840 421520 56860 421660
+rect 56720 399600 56860 421520
+rect 56720 399520 56740 399600
+rect 56840 399520 56860 399600
+rect 56720 399500 56860 399520
 rect 56980 660200 57120 660220
 rect 56980 660100 57000 660200
 rect 57100 660100 57120 660200
-rect 56980 508120 57120 660100
+rect 56980 466080 57120 660100
 rect 238000 591000 246800 592200
 rect 238000 582000 239000 591000
 rect 246000 582000 246800 591000
 rect 238000 580200 246800 582000
 rect 246300 579400 246700 580200
-rect 56980 507980 57000 508120
-rect 57100 507980 57120 508120
-rect 56980 507960 57120 507980
-rect 56720 465940 56740 466080
-rect 56840 465940 56860 466080
-rect 56720 465920 56860 465940
-rect 56480 421520 56500 421660
-rect 56600 421520 56620 421660
-rect 56480 421500 56620 421520
-rect 56200 378320 56220 378460
-rect 56320 378320 56340 378460
-rect 56200 378300 56340 378320
-rect 55960 335080 55980 335220
-rect 56080 335080 56100 335220
-rect 55960 335060 56100 335080
+rect 56980 465940 57000 466080
+rect 57100 465940 57120 466080
+rect 56980 399360 57120 465940
+rect 148000 435600 149000 436000
+rect 148000 434400 148200 435600
+rect 148800 434400 149000 435600
+rect 148000 434000 149000 434400
+rect 148200 430920 148420 434000
+rect 57900 400380 139360 400400
+rect 57900 400300 57920 400380
+rect 58020 400300 139240 400380
+rect 139340 400300 139360 400380
+rect 57900 400280 139360 400300
+rect 57900 400120 138460 400140
+rect 57900 400040 57920 400120
+rect 58020 400040 138340 400120
+rect 138440 400040 138460 400120
+rect 57900 400020 138460 400040
+rect 57900 399860 137860 399880
+rect 57900 399780 57920 399860
+rect 58020 399780 137740 399860
+rect 137840 399780 137860 399860
+rect 57900 399760 137860 399780
+rect 57900 399600 137320 399620
+rect 57900 399520 57920 399600
+rect 58020 399520 137200 399600
+rect 137300 399520 137320 399600
+rect 57900 399500 137320 399520
+rect 56980 399340 136960 399360
+rect 56980 399260 136840 399340
+rect 136940 399260 136960 399340
+rect 56980 399240 136960 399260
+rect 56480 378320 56500 378460
+rect 56600 378320 56620 378460
+rect 56480 378300 56620 378320
+rect 56200 335080 56220 335220
+rect 56320 335080 56340 335220
+rect 56200 335060 56340 335080
+rect 55960 291860 55980 292000
+rect 56080 291860 56100 292000
+rect 55960 291840 56100 291860
 rect 524 -800 636 480
 rect 1706 -800 1818 480
 rect 2888 -800 3000 480
@@ -583,16 +679,17 @@
 << via2 >>
 rect 14960 682040 15390 682870
 rect 23210 671440 23370 671610
+rect 56740 421520 56840 421660
 rect 239000 582000 246000 591000
-rect 57000 507980 57100 508120
-rect 56740 465940 56840 466080
-rect 56500 421520 56600 421660
-rect 56220 378320 56320 378460
-rect 55980 335080 56080 335220
+rect 57000 465940 57100 466080
+rect 148200 434400 148800 435600
+rect 56500 378320 56600 378460
+rect 56220 335080 56320 335220
+rect 55980 291860 56080 292000
 << metal3 >>
 rect 16194 702300 21194 704800
 rect 68194 702300 73194 704800
-rect 120194 703200 125194 704800
+rect 120194 704000 125194 704800
 rect 17070 689360 19380 702300
 rect 68470 689480 70760 702300
 rect 42500 689440 70760 689480
@@ -601,27 +698,6 @@
 rect 42500 688410 42560 689440
 rect 43180 688410 70760 689440
 rect 42500 688360 70760 688410
-rect 120000 701000 125200 703200
-rect 165594 702300 170594 704800
-rect 170894 702300 173094 704800
-rect 173394 703000 175594 704800
-rect 173394 702300 175600 703000
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 222594 702300 224794 704800
-rect 225094 702300 227294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 324294 702300 326494 704800
-rect 326794 702300 328994 704800
-rect 329294 702300 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 510594 703000 515394 704800
-rect 520594 703000 525394 704800
-rect 173400 702200 175600 702300
-rect 510000 702000 526000 703000
-rect 566594 702300 571594 704800
 rect 17070 688200 26050 688210
 rect -800 682910 1700 685242
 rect -800 682870 15420 682910
@@ -644,45 +720,76 @@
 rect 23190 668640 23390 668680
 rect 310 648642 1780 648730
 rect -800 648600 1780 648642
-rect -800 647000 80246 648600
-rect -800 643842 73000 647000
-rect 246 638642 73000 643842
-rect -800 635000 73000 638642
-rect 79000 635000 80246 647000
-rect -800 633842 80246 635000
-rect 246 633838 80246 633842
-rect 120000 606000 124000 701000
-rect 510000 694000 511000 702000
-rect 525000 694000 526000 702000
-rect 510000 693000 526000 694000
+rect -800 648200 5000 648600
+rect -800 643842 2200 648200
+rect 246 638642 2200 643842
+rect -800 634200 2200 638642
+rect 4600 634200 5000 648200
+rect -800 633842 5000 634200
+rect 246 633838 5000 633842
+rect 1800 633800 5000 633838
+rect 120000 606000 126000 704000
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 703000 175594 704800
+rect 173394 702300 175600 703000
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702412 418394 704800
+rect 173400 702200 175600 702300
+rect 413334 702000 413344 702412
+rect 418488 702000 418498 702412
+rect 465394 702376 470394 704800
+rect 465328 700564 471268 702376
+rect 510594 702340 515394 704800
+rect 520594 702340 525394 704800
+rect 566594 702300 571594 704800
 rect 582300 677984 584800 682984
-rect 570200 644584 583800 644600
-rect 570200 643000 584800 644584
-rect 570200 631000 572000 643000
-rect 580000 639784 584800 643000
-rect 580000 634584 583800 639784
-rect 580000 631000 584800 634584
-rect 570200 629800 584800 631000
+rect 582296 644584 583800 644600
+rect 582296 639784 584800 644584
+rect 582296 634584 583800 639784
+rect 582296 629800 584800 634584
 rect 582340 629784 584800 629800
-rect 21990 604000 22000 606000
-rect 24000 604000 24010 606000
-rect 22000 596000 24000 604000
-rect 120000 602000 288000 606000
-rect 22000 594000 285400 596000
+rect 288200 606000 289800 606600
+rect 21990 605800 24010 606000
+rect 21990 605000 22400 605800
+rect 23600 605000 24010 605800
+rect 21990 604000 24010 605000
+rect 120000 604000 290000 606000
+rect 22000 602000 24000 604000
+rect 22000 600000 287600 602000
+rect 147000 595800 285400 596000
+rect 147000 594200 148200 595800
+rect 148800 594200 285400 595800
+rect 147000 594000 285400 594200
 rect 238990 591000 246010 591005
 rect 164000 590000 239000 591000
 rect 164000 583000 165000 590000
 rect 182000 583000 239000 590000
 rect 164000 582000 239000 583000
 rect 246000 582000 246010 591000
-rect 154000 581400 158000 582000
 rect 238990 581995 246010 582000
-rect 154000 580000 245900 581400
+rect 85400 580000 245900 581400
 rect 283800 580600 285400 594000
-rect 286000 580600 287600 602000
+rect 286000 580600 287600 600000
+rect 288200 580600 289800 604000
+rect 290506 594136 292488 595526
+rect 290546 580594 292130 594136
+rect 293590 592863 295448 592882
+rect 292774 591450 295448 592863
+rect 292774 580566 294378 591450
 rect 583520 589472 584800 589584
 rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
+rect 581366 587220 583814 587614
+rect 581366 587108 584800 587220
+rect 581366 587056 583814 587108
 rect 583520 585926 584800 586038
 rect 583520 584744 584800 584856
 rect 583520 583562 584800 583674
@@ -697,79 +804,82 @@
 rect 4000 550000 4430 555000
 rect -800 549442 4430 550000
 rect 680 549410 4430 549442
-rect -800 511530 480 511642
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect 260 508120 57120 508140
-rect 260 508096 57000 508120
-rect -800 507984 57000 508096
-rect 260 507980 57000 507984
-rect 57100 507980 57120 508120
-rect 260 507960 57120 507980
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect 280 466080 56860 466100
-rect 280 466056 56740 466080
-rect -800 465944 56740 466056
-rect 280 465940 56740 465944
-rect 56840 465940 56860 466080
-rect 280 465920 56860 465940
-rect -800 464762 480 464874
-rect -800 463580 480 463692
-rect -800 462398 480 462510
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect 360 421660 56620 421680
-rect 360 421652 56500 421660
-rect -800 421540 56500 421652
-rect 360 421520 56500 421540
-rect 56600 421520 56620 421660
-rect 360 421500 56620 421520
-rect -800 420358 480 420470
-rect -800 419176 480 419288
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect 400 378460 56340 378480
-rect 400 378430 56220 378460
-rect -800 378320 56220 378430
-rect 56320 378320 56340 378460
-rect -800 378318 56340 378320
-rect 400 378300 56340 378318
-rect -800 377136 480 377248
-rect -800 375954 480 376066
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect 260 335220 56100 335240
-rect 260 335208 55980 335220
-rect -800 335096 55980 335208
-rect 260 335080 55980 335096
-rect 56080 335080 56100 335220
-rect 260 335060 56100 335080
-rect -800 333914 480 334026
-rect -800 332732 480 332844
-rect 200 295532 800 295600
-rect -800 295420 800 295532
-rect 200 295400 800 295420
-rect 154000 295400 158000 580000
+rect 85400 511800 86800 580000
 rect 582340 550562 584800 555362
 rect 582340 540562 584800 545362
+rect 400 511642 86800 511800
+rect -800 511530 86800 511642
+rect 400 511200 86800 511530
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
 rect 583520 500050 584800 500162
 rect 583520 498868 584800 498980
 rect 583520 497686 584800 497798
 rect 583520 496504 584800 496616
 rect 583520 495322 584800 495434
 rect 583520 494140 584800 494252
+rect -800 468308 480 468420
+rect 163400 467800 200000 468000
+rect -800 467126 480 467238
+rect 163400 466200 198200 467800
+rect 199800 466200 200000 467800
+rect 280 466080 57120 466100
+rect 280 466056 57000 466080
+rect -800 465944 57000 466056
+rect 280 465940 57000 465944
+rect 57100 465940 57120 466080
+rect 280 465920 57120 465940
+rect 163400 466000 200000 466200
+rect -800 464762 480 464874
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 148000 435800 149000 436000
+rect 148000 434200 148200 435800
+rect 148800 434200 149000 435800
+rect 148000 434000 149000 434200
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect 360 421660 56860 421680
+rect 360 421652 56740 421660
+rect -800 421540 56740 421652
+rect 360 421520 56740 421540
+rect 56840 421520 56860 421660
+rect 360 421500 56860 421520
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect 163400 415700 164900 466000
 rect 583520 455628 584800 455740
 rect 583520 454446 584800 454558
 rect 583520 453264 584800 453376
 rect 583520 452082 584800 452194
 rect 583520 450900 584800 451012
 rect 583520 449718 584800 449830
+rect 152900 415200 154200 415700
+rect 155900 415200 164900 415700
+rect 152900 414870 153400 415200
+rect 152900 414440 152960 414870
+rect 153360 414440 153400 414870
+rect 152900 414400 153400 414440
+rect 158890 414870 164900 414900
+rect 158890 414440 158950 414870
+rect 159350 414440 164900 414870
+rect 158890 414400 164900 414440
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect 400 378460 56620 378480
+rect 400 378430 56500 378460
+rect -800 378320 56500 378430
+rect 56600 378320 56620 378460
+rect -800 378318 56620 378320
+rect 400 378300 56620 378318
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 163400 362000 164900 414400
 rect 583520 411206 584800 411318
 rect 583520 410024 584800 410136
 rect 583520 408842 584800 408954
@@ -779,22 +889,43 @@
 rect 583520 364784 584800 364896
 rect 583520 363602 584800 363714
 rect 583520 362420 584800 362532
+rect 163400 361800 200000 362000
+rect 163400 360200 198200 361800
+rect 199800 360200 200000 361800
 rect 583520 361238 584800 361350
+rect 163400 360000 200000 360200
 rect 583520 360056 584800 360168
 rect 583520 358874 584800 358986
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect 260 335220 56340 335240
+rect 260 335208 56220 335220
+rect -800 335096 56220 335208
+rect 260 335080 56220 335096
+rect 56320 335080 56340 335220
+rect 260 335060 56340 335080
+rect -800 333914 480 334026
+rect -800 332732 480 332844
 rect 583520 319562 584800 319674
 rect 583520 318380 584800 318492
 rect 583520 317198 584800 317310
 rect 583520 316016 584800 316128
 rect 583520 314834 584800 314946
 rect 583520 313652 584800 313764
-rect 200 294600 158000 295400
+rect 200 295532 800 295600
+rect -800 295420 800 295532
+rect 200 295400 800 295420
 rect 200 294350 800 294400
 rect -800 294238 800 294350
 rect 200 294200 800 294238
-rect 154000 294000 158000 294600
 rect -800 293056 480 293168
-rect -800 291874 480 291986
+rect 400 292000 56100 292020
+rect 400 291986 55980 292000
+rect -800 291874 55980 291986
+rect 400 291860 55980 291874
+rect 56080 291860 56100 292000
+rect 400 291840 56100 291860
 rect -800 290692 480 290804
 rect -800 289510 480 289622
 rect 583520 275140 584800 275252
@@ -811,19 +942,23 @@
 rect -800 246488 480 246600
 rect 582340 235230 584800 240030
 rect 582340 225230 584800 230030
-rect -800 214888 1660 219688
-rect -800 204888 1660 209688
+rect 4160 219700 17580 219880
+rect 1660 219688 17580 219700
+rect -800 219500 17580 219688
+rect -800 214888 11340 219500
+rect 1660 210740 11340 214888
+rect 17140 210740 17580 219500
+rect 1660 210380 17580 210740
+rect 1660 209688 5520 210380
+rect -800 204900 5520 209688
+rect -800 204888 1660 204900
 rect 582340 191430 584800 196230
 rect 582340 181430 584800 186230
-rect 1650 177690 20600 178000
-rect 982 177688 20600 177690
-rect -800 177600 20600 177688
-rect -800 172888 11600 177600
-rect 982 172300 11600 172888
-rect 20200 172300 20600 177600
-rect 982 171900 20600 172300
-rect 982 167688 5298 171900
-rect -800 162890 5298 167688
+rect 982 177688 1680 177690
+rect -800 172888 1680 177688
+rect 982 172880 1680 172888
+rect -800 167680 1660 167688
+rect -800 162890 1680 167680
 rect -800 162888 1660 162890
 rect 582340 146830 584800 151630
 rect 582340 136830 584800 141630
@@ -891,14 +1026,21 @@
 rect 42560 688410 43180 689440
 rect 40770 676130 41640 677240
 rect 23210 668680 23370 669080
-rect 73000 635000 79000 647000
-rect 511000 694000 525000 702000
-rect 572000 631000 580000 643000
-rect 22000 604000 24000 606000
+rect 2200 634200 4600 648200
+rect 413344 702000 418488 702412
+rect 22400 605000 23600 605800
+rect 148200 594200 148800 595800
 rect 165000 583000 182000 590000
 rect 860 559570 4260 564080
 rect 1000 550000 4000 555000
-rect 11600 172300 20200 177600
+rect 198200 466200 199800 467800
+rect 148200 435600 148800 435800
+rect 148200 434400 148800 435600
+rect 148200 434200 148800 434400
+rect 152960 414440 153360 414870
+rect 158950 414440 159350 414870
+rect 198200 360200 199800 361800
+rect 11340 210740 17140 219500
 << metal4 >>
 rect 165594 703000 170594 704800
 rect 175894 703000 180894 704800
@@ -912,49 +1054,69 @@
 rect 40720 676090 43450 676130
 rect 40720 676080 43220 676090
 rect 60220 676010 65450 676030
-rect 56870 675970 65450 676010
-rect 56870 675440 60910 675970
-rect 65380 675440 65450 675970
-rect 56870 675370 65450 675440
+rect 56870 675370 65450 676010
 rect 23190 669080 23390 669110
 rect 23190 668680 23210 669080
 rect 23370 668680 23390 669080
-rect 23190 608120 23390 668680
-rect 26600 633690 28120 662640
-rect 72999 647000 79001 647001
-rect 72999 635000 73000 647000
-rect 79000 635000 79001 647000
-rect 72999 634999 79001 635000
-rect 26090 633500 28790 633690
-rect 26090 631620 26270 633500
-rect 28580 631620 28790 633500
-rect 26090 631490 28790 631620
-rect 32100 620300 39650 620900
-rect 32100 614050 32850 620300
-rect 38700 614050 39650 620300
-rect 32100 613600 39650 614050
-rect 21660 606000 25780 608120
-rect 21660 604000 22000 606000
-rect 24000 604000 25780 606000
-rect 21660 603350 25780 604000
+rect 1800 648200 5000 648600
+rect 1800 634200 2200 648200
+rect 4600 634200 5000 648200
+rect 1800 633800 5000 634200
+rect 23190 619600 23390 668680
+rect 25400 662640 27800 663400
+rect 25400 633690 28120 662640
+rect 32000 658600 39600 659000
+rect 32000 656800 32200 658600
+rect 39200 656800 39600 658600
+rect 25400 631490 28200 633690
+rect 22600 611800 23400 619600
+rect 22200 605800 23800 611800
+rect 22200 605000 22400 605800
+rect 23600 605000 23800 605800
+rect 22200 604800 23800 605000
 rect 690 564080 4450 564270
 rect 690 559570 860 564080
 rect 4260 559570 4450 564080
 rect 690 559400 4450 559570
+rect 25400 564000 28000 631490
+rect 32000 620900 39600 656800
+rect 32000 618600 39650 620900
+rect 32100 613600 39650 618600
 rect 999 555000 4001 555001
 rect 999 550000 1000 555000
 rect 4000 550000 4001 555000
+rect 25400 550600 25800 564000
+rect 27600 550600 28000 564000
+rect 25400 550200 28000 550600
 rect 999 549999 4001 550000
-rect 30150 178000 39650 613600
-rect 165000 590001 182000 703000
+rect 30150 418600 39650 613600
+rect 60600 564000 65400 675370
+rect 165000 664570 182000 703000
 rect 217294 702300 222294 704800
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-rect 510000 702000 526000 703000
-rect 510000 694000 511000 702000
-rect 525000 694000 526000 702000
-rect 510000 683200 526000 694000
+rect 413343 702412 418489 702413
+rect 413343 702000 413344 702412
+rect 418488 702000 418489 702412
+rect 413343 701999 418489 702000
+rect 165000 659662 168158 664570
+rect 179872 659662 182000 664570
+rect 148000 595800 149000 596000
+rect 148000 594200 148200 595800
+rect 148800 594200 149000 595800
+rect 60600 550400 61000 564000
+rect 65000 550400 65400 564000
+rect 60600 550000 65400 550400
+rect 126400 563600 131200 564000
+rect 126400 550400 126800 563600
+rect 130800 550400 131200 563600
+rect 30150 409800 30600 418600
+rect 39000 409800 39650 418600
+rect 30150 219860 39650 409800
+rect 126400 429800 131200 550400
+rect 148000 435800 149000 594200
+rect 165000 590001 182000 659662
 rect 305500 645000 316500 646500
 rect 164999 590000 182001 590001
 rect 164999 583000 165000 590000
@@ -970,33 +1132,47 @@
 rect 238200 568100 239300 570900
 rect 238200 563700 240200 568100
 rect 239700 558000 240200 563700
-rect 510000 563000 526000 660000
-rect 570000 643000 582000 645000
-rect 570000 631000 572000 643000
-rect 580000 631000 582000 643000
-rect 570000 630000 582000 631000
 rect 263600 557900 316600 558100
-rect 510000 555000 511000 563000
-rect 525000 555000 526000 563000
-rect 510000 554000 526000 555000
-rect 11100 177600 39650 178000
-rect 11100 172300 11600 177600
-rect 20200 172300 39650 177600
-rect 11100 171950 39650 172300
-rect 11100 171900 34150 171950
+rect 198000 467800 200000 468000
+rect 198000 466200 198200 467800
+rect 199800 466200 200000 467800
+rect 198000 466000 200000 466200
+rect 148000 434200 148200 435800
+rect 148800 434200 149000 435800
+rect 148000 434000 149000 434200
+rect 126400 428200 139400 429800
+rect 126400 399000 131200 428200
+rect 152900 414870 159400 414900
+rect 152900 414440 152960 414870
+rect 153360 414440 158950 414870
+rect 159350 414440 159400 414870
+rect 152900 414400 159400 414440
+rect 126400 397800 152800 399000
+rect 198000 361800 200000 362000
+rect 198000 360200 198200 361800
+rect 199800 360200 200000 361800
+rect 198000 360000 200000 360200
+rect 10880 219500 39650 219860
+rect 10880 210740 11340 219500
+rect 17140 214040 39650 219500
+rect 17140 210740 39640 214040
+rect 10880 210360 39640 210740
 << via4 >>
-rect 60910 675440 65380 675970
-rect 73000 635000 79000 647000
-rect 26270 631620 28580 633500
-rect 32850 614050 38700 620300
+rect 2200 635400 4600 646600
+rect 32200 656800 39200 658600
 rect 860 559570 4260 564080
 rect 1000 550000 4000 555000
+rect 25800 550600 27600 564000
+rect 168158 659662 179872 664570
+rect 61000 550400 65000 564000
+rect 126800 550400 130800 563600
+rect 30600 409800 39000 418600
 rect 238000 636000 248000 644000
 rect 307000 637000 315000 645000
 rect 238200 558000 239700 563700
-rect 572000 631000 580000 643000
 rect 263600 556400 316600 557900
-rect 511000 555000 525000 563000
+rect 198200 466200 199800 467800
+rect 198200 360200 199800 361800
 << metal5 >>
 rect 165594 702300 170594 704800
 rect 175894 702300 180894 704800
@@ -1004,1461 +1180,1493 @@
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-rect 60790 675970 65590 676060
-rect 60790 675440 60910 675970
-rect 65380 675440 65590 675970
-rect 26080 633500 28810 633710
-rect 26080 631620 26270 633500
-rect 28580 631620 28810 633500
-rect 26080 564330 28810 631620
-rect 33890 625850 34330 675040
-rect 39240 625850 39680 674940
-rect 42370 625850 42840 674830
-rect 44740 625850 45180 675000
-rect 45790 625850 46240 663160
-rect 60790 652300 65590 675440
-rect 32150 620300 51000 625850
-rect 32150 614050 32850 620300
-rect 38700 614050 51000 620300
-rect 32150 613550 51000 614050
-rect 60820 564330 65590 652300
+rect 32000 659200 33600 675200
+rect 35600 659200 36200 675200
+rect 38800 659200 39400 675200
+rect 42200 659200 43800 675200
+rect 168134 664570 179896 664594
+rect 45800 659200 46400 660800
+rect 168134 659662 168158 664570
+rect 179872 659662 179896 664570
+rect 168134 659638 179896 659662
+rect 32000 658600 46400 659200
+rect 32000 656800 32200 658600
+rect 39200 656800 46400 658600
+rect 32000 656400 46400 656800
 rect 72976 647000 79024 647024
-rect 72976 635000 73000 647000
-rect 79000 645000 553600 647000
-rect 79000 644000 307000 645000
-rect 79000 636000 238000 644000
+rect 1800 646600 320000 647000
+rect 1800 635400 2200 646600
+rect 4600 645000 320000 646600
+rect 4600 644000 307000 645000
+rect 4600 636000 238000 644000
 rect 248000 637000 307000 644000
-rect 315000 637000 553600 645000
-rect 248000 636000 553600 637000
-rect 79000 635000 553600 636000
-rect 560200 643000 582000 647000
+rect 315000 637000 320000 645000
+rect 248000 636000 320000 637000
+rect 4600 635400 320000 636000
+rect 1800 635000 320000 635400
 rect 72976 634976 79024 635000
-rect 560200 631000 572000 643000
-rect 580000 631000 582000 643000
-rect 560200 630000 582000 631000
-rect 26080 564320 65590 564330
-rect 1770 564270 65590 564320
-rect 690 564080 65590 564270
+rect 60760 564330 65600 564340
+rect 28810 564320 65600 564330
+rect 1770 564270 65600 564320
+rect 690 564080 65600 564270
 rect 690 559570 860 564080
-rect 4260 564000 65590 564080
-rect 4260 563700 526000 564000
-rect 4260 559570 238200 563700
-rect 690 559400 238200 559570
-rect 1000 558000 238200 559400
-rect 239700 563000 526000 563700
-rect 239700 558000 511000 563000
-rect 1000 557900 511000 558000
-rect 1000 556400 263600 557900
-rect 316600 556400 511000 557900
-rect 1000 555024 511000 556400
-rect 976 555000 511000 555024
-rect 525000 555000 526000 563000
+rect 4260 564000 65600 564080
+rect 4260 559570 25800 564000
+rect 690 559400 25800 559570
+rect 1000 555024 25800 559400
+rect 976 555000 25800 555024
 rect 976 550000 1000 555000
-rect 4000 550000 526000 555000
+rect 4000 550600 25800 555000
+rect 27600 550600 61000 564000
+rect 4000 550400 61000 550600
+rect 65000 563700 320000 564000
+rect 65000 563600 238200 563700
+rect 65000 550400 126800 563600
+rect 130800 558000 238200 563600
+rect 239700 558000 320000 563700
+rect 130800 557900 320000 558000
+rect 130800 556400 263600 557900
+rect 316600 556400 320000 557900
+rect 130800 550400 320000 556400
+rect 4000 550000 320000 550400
 rect 976 549976 4024 550000
+rect 198000 467800 200000 468000
+rect 198000 466200 198200 467800
+rect 199800 466200 200000 467800
+rect 198000 466000 200000 466200
+rect 122000 424200 152000 425400
+rect 122000 419000 123600 424200
+rect 30200 418600 123600 419000
+rect 30200 409800 30600 418600
+rect 39000 417800 123600 418600
+rect 39000 416600 152000 417800
+rect 39000 413600 123600 416600
+rect 39000 412400 152000 413600
+rect 39000 410600 123600 412400
+rect 39000 409800 137600 410600
+rect 30200 409400 137600 409800
+rect 198000 361800 200000 362000
+rect 198000 360200 198200 361800
+rect 199800 360200 200000 361800
+rect 198000 360000 200000 360200
 << comment >>
 rect -100 704000 584100 704100
 rect -100 0 0 704000
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
 use BGR_lvs  BGR_lvs_0
-timestamp 1663029885
+timestamp 1666387681
 transform 1 0 254230 0 1 568403
 box -14230 -10403 62405 12600
+use TX_line  TX_line_0
+timestamp 1662988821
+transform 1 0 200000 0 1 464000
+box -24000 -128000 220000 24000
 use VCO  VCO_0
 timestamp 1663030914
 transform 1 0 -7837 0 1 638742
 box 31141 20430 65400 41673
+use VCO  VCO_1
+timestamp 1663030914
+transform 0 1 115536 -1 0 464188
+box 31141 20430 65400 41673
+use VGA_routing  VGA_routing_0
+timestamp 1667930445
+transform 1 0 280 0 1 -18
+box 165400 323622 584100 703354
 << labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+rlabel metal3 s 583520 269230 584800 269342 0 gpio_analog[0]
 port 0 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+rlabel metal3 s -800 381864 480 381976 0 gpio_analog[10]
 port 1 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+rlabel metal3 s -800 338642 480 338754 0 gpio_analog[11]
 port 2 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+rlabel metal3 s -800 295420 480 295532 0 gpio_analog[12]
 port 3 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+rlabel metal3 s -800 252398 480 252510 0 gpio_analog[13]
 port 4 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+rlabel metal3 s -800 124776 480 124888 0 gpio_analog[14]
 port 5 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+rlabel metal3 s -800 81554 480 81666 0 gpio_analog[15]
 port 6 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+rlabel metal3 s -800 38332 480 38444 0 gpio_analog[16]
 port 7 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+rlabel metal3 s -800 16910 480 17022 0 gpio_analog[17]
 port 8 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+rlabel metal3 s 583520 313652 584800 313764 0 gpio_analog[1]
 port 9 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+rlabel metal3 s 583520 358874 584800 358986 0 gpio_analog[2]
 port 10 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+rlabel metal3 s 583520 405296 584800 405408 0 gpio_analog[3]
 port 11 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+rlabel metal3 s 583520 449718 584800 449830 0 gpio_analog[4]
 port 12 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+rlabel metal3 s 583520 494140 584800 494252 0 gpio_analog[5]
 port 13 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+rlabel metal3 s 583520 583562 584800 583674 0 gpio_analog[6]
 port 14 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+rlabel metal3 s -800 511530 480 511642 0 gpio_analog[7]
 port 15 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+rlabel metal3 s -800 468308 480 468420 0 gpio_analog[8]
 port 16 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+rlabel metal3 s -800 425086 480 425198 0 gpio_analog[9]
 port 17 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+rlabel metal3 s 583520 270412 584800 270524 0 gpio_noesd[0]
 port 18 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+rlabel metal3 s -800 380682 480 380794 0 gpio_noesd[10]
 port 19 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+rlabel metal3 s -800 337460 480 337572 0 gpio_noesd[11]
 port 20 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+rlabel metal3 s -800 294238 480 294350 0 gpio_noesd[12]
 port 21 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+rlabel metal3 s -800 251216 480 251328 0 gpio_noesd[13]
 port 22 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+rlabel metal3 s -800 123594 480 123706 0 gpio_noesd[14]
 port 23 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+rlabel metal3 s -800 80372 480 80484 0 gpio_noesd[15]
 port 24 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+rlabel metal3 s -800 37150 480 37262 0 gpio_noesd[16]
 port 25 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+rlabel metal3 s -800 15728 480 15840 0 gpio_noesd[17]
 port 26 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+rlabel metal3 s 583520 314834 584800 314946 0 gpio_noesd[1]
 port 27 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+rlabel metal3 s 583520 360056 584800 360168 0 gpio_noesd[2]
 port 28 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+rlabel metal3 s 583520 406478 584800 406590 0 gpio_noesd[3]
 port 29 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+rlabel metal3 s 583520 450900 584800 451012 0 gpio_noesd[4]
 port 30 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+rlabel metal3 s 583520 495322 584800 495434 0 gpio_noesd[5]
 port 31 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+rlabel metal3 s 583520 584744 584800 584856 0 gpio_noesd[6]
 port 32 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+rlabel metal3 s -800 510348 480 510460 0 gpio_noesd[7]
 port 33 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+rlabel metal3 s -800 467126 480 467238 0 gpio_noesd[8]
 port 34 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+rlabel metal3 s -800 423904 480 424016 0 gpio_noesd[9]
 port 35 nsew signal bidirectional
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+rlabel metal3 s 582300 677984 584800 682984 0 io_analog[0]
 port 36 nsew signal bidirectional
-flabel metal3 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+rlabel metal3 0 680242 1700 685242 0 io_analog[10]
+rlabel metal3 s 566594 702300 571594 704800 0 io_analog[1]
 port 38 nsew signal bidirectional
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+rlabel metal3 s 465394 702300 470394 704800 0 io_analog[2]
 port 39 nsew signal bidirectional
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+rlabel metal3 s 413394 702300 418394 704800 0 io_analog[3]
 port 40 nsew signal bidirectional
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal3 s 329294 702300 334294 704800 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal4 s 329294 702300 334294 704800 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal5 s 329294 702300 334294 704800 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal3 s 227594 702300 232594 704800 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal4 s 227594 702300 232594 704800 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal5 s 227594 702300 232594 704800 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal3 s 175894 702300 180894 704800 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal4 s 175894 702300 180894 704800 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal5 s 175894 702300 180894 704800 0 io_analog[6]
 port 43 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+rlabel metal3 s 120194 702300 125194 704800 0 io_analog[7]
 port 44 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+rlabel metal3 s 68194 702300 73194 704800 0 io_analog[8]
 port 45 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+rlabel metal3 s 16194 702300 21194 704800 0 io_analog[9]
 port 46 nsew signal bidirectional
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal3 s 318994 702300 323994 704800 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal4 s 318994 702300 323994 704800 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+rlabel metal5 s 318994 702300 323994 704800 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal3 s 217294 702300 222294 704800 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal4 s 217294 702300 222294 704800 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+rlabel metal5 s 217294 702300 222294 704800 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal3 s 165594 702300 170594 704800 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal4 s 165594 702300 170594 704800 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+rlabel metal5 s 165594 702300 170594 704800 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+rlabel metal3 s 326794 702300 328994 704800 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+rlabel metal3 s 225094 702300 227294 704800 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+rlabel metal3 s 173394 702300 175594 704800 0 io_clamp_high[2]
 port 52 nsew signal bidirectional
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+rlabel metal3 s 324294 702300 326494 704800 0 io_clamp_low[0]
 port 53 nsew signal bidirectional
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+rlabel metal3 s 222594 702300 224794 704800 0 io_clamp_low[1]
 port 54 nsew signal bidirectional
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+rlabel metal3 s 170894 702300 173094 704800 0 io_clamp_low[2]
 port 55 nsew signal bidirectional
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+rlabel metal3 s 583520 2726 584800 2838 0 io_in[0]
 port 56 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+rlabel metal3 s 583520 408842 584800 408954 0 io_in[10]
 port 57 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+rlabel metal3 s 583520 453264 584800 453376 0 io_in[11]
 port 58 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+rlabel metal3 s 583520 497686 584800 497798 0 io_in[12]
 port 59 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+rlabel metal3 s 583520 587108 584800 587220 0 io_in[13]
 port 60 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+rlabel metal3 s -800 507984 480 508096 0 io_in[14]
 port 61 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+rlabel metal3 s -800 464762 480 464874 0 io_in[15]
 port 62 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+rlabel metal3 s -800 421540 480 421652 0 io_in[16]
 port 63 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+rlabel metal3 s -800 378318 480 378430 0 io_in[17]
 port 64 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+rlabel metal3 s -800 335096 480 335208 0 io_in[18]
 port 65 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+rlabel metal3 s -800 291874 480 291986 0 io_in[19]
 port 66 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+rlabel metal3 s 583520 7454 584800 7566 0 io_in[1]
 port 67 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+rlabel metal3 s -800 248852 480 248964 0 io_in[20]
 port 68 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+rlabel metal3 s -800 121230 480 121342 0 io_in[21]
 port 69 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+rlabel metal3 s -800 78008 480 78120 0 io_in[22]
 port 70 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+rlabel metal3 s -800 34786 480 34898 0 io_in[23]
 port 71 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+rlabel metal3 s -800 13364 480 13476 0 io_in[24]
 port 72 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+rlabel metal3 s -800 8636 480 8748 0 io_in[25]
 port 73 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+rlabel metal3 s -800 3908 480 4020 0 io_in[26]
 port 74 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+rlabel metal3 s 583520 12182 584800 12294 0 io_in[2]
 port 75 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+rlabel metal3 s 583520 16910 584800 17022 0 io_in[3]
 port 76 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+rlabel metal3 s 583520 21638 584800 21750 0 io_in[4]
 port 77 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+rlabel metal3 s 583520 48096 584800 48208 0 io_in[5]
 port 78 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+rlabel metal3 s 583520 92754 584800 92866 0 io_in[6]
 port 79 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+rlabel metal3 s 583520 272776 584800 272888 0 io_in[7]
 port 80 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+rlabel metal3 s 583520 317198 584800 317310 0 io_in[8]
 port 81 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+rlabel metal3 s 583520 362420 584800 362532 0 io_in[9]
 port 82 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+rlabel metal3 s 583520 1544 584800 1656 0 io_in_3v3[0]
 port 83 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+rlabel metal3 s 583520 407660 584800 407772 0 io_in_3v3[10]
 port 84 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+rlabel metal3 s 583520 452082 584800 452194 0 io_in_3v3[11]
 port 85 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+rlabel metal3 s 583520 496504 584800 496616 0 io_in_3v3[12]
 port 86 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+rlabel metal3 s 583520 585926 584800 586038 0 io_in_3v3[13]
 port 87 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+rlabel metal3 s -800 509166 480 509278 0 io_in_3v3[14]
 port 88 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+rlabel metal3 s -800 465944 480 466056 0 io_in_3v3[15]
 port 89 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+rlabel metal3 s -800 422722 480 422834 0 io_in_3v3[16]
 port 90 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+rlabel metal3 s -800 379500 480 379612 0 io_in_3v3[17]
 port 91 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+rlabel metal3 s -800 336278 480 336390 0 io_in_3v3[18]
 port 92 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+rlabel metal3 s -800 293056 480 293168 0 io_in_3v3[19]
 port 93 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+rlabel metal3 s 583520 6272 584800 6384 0 io_in_3v3[1]
 port 94 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+rlabel metal3 s -800 250034 480 250146 0 io_in_3v3[20]
 port 95 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+rlabel metal3 s -800 122412 480 122524 0 io_in_3v3[21]
 port 96 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+rlabel metal3 s -800 79190 480 79302 0 io_in_3v3[22]
 port 97 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+rlabel metal3 s -800 35968 480 36080 0 io_in_3v3[23]
 port 98 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+rlabel metal3 s -800 14546 480 14658 0 io_in_3v3[24]
 port 99 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+rlabel metal3 s -800 9818 480 9930 0 io_in_3v3[25]
 port 100 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+rlabel metal3 s -800 5090 480 5202 0 io_in_3v3[26]
 port 101 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+rlabel metal3 s 583520 11000 584800 11112 0 io_in_3v3[2]
 port 102 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+rlabel metal3 s 583520 15728 584800 15840 0 io_in_3v3[3]
 port 103 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+rlabel metal3 s 583520 20456 584800 20568 0 io_in_3v3[4]
 port 104 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+rlabel metal3 s 583520 46914 584800 47026 0 io_in_3v3[5]
 port 105 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+rlabel metal3 s 583520 91572 584800 91684 0 io_in_3v3[6]
 port 106 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+rlabel metal3 s 583520 271594 584800 271706 0 io_in_3v3[7]
 port 107 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+rlabel metal3 s 583520 316016 584800 316128 0 io_in_3v3[8]
 port 108 nsew signal input
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+rlabel metal3 s 583520 361238 584800 361350 0 io_in_3v3[9]
 port 109 nsew signal input
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+rlabel metal3 s 583520 5090 584800 5202 0 io_oeb[0]
 port 110 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+rlabel metal3 s 583520 411206 584800 411318 0 io_oeb[10]
 port 111 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+rlabel metal3 s 583520 455628 584800 455740 0 io_oeb[11]
 port 112 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+rlabel metal3 s 583520 500050 584800 500162 0 io_oeb[12]
 port 113 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+rlabel metal3 s 583520 589472 584800 589584 0 io_oeb[13]
 port 114 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+rlabel metal3 s -800 505620 480 505732 0 io_oeb[14]
 port 115 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+rlabel metal3 s -800 462398 480 462510 0 io_oeb[15]
 port 116 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+rlabel metal3 s -800 419176 480 419288 0 io_oeb[16]
 port 117 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+rlabel metal3 s -800 375954 480 376066 0 io_oeb[17]
 port 118 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+rlabel metal3 s -800 332732 480 332844 0 io_oeb[18]
 port 119 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+rlabel metal3 s -800 289510 480 289622 0 io_oeb[19]
 port 120 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+rlabel metal3 s 583520 9818 584800 9930 0 io_oeb[1]
 port 121 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+rlabel metal3 s -800 246488 480 246600 0 io_oeb[20]
 port 122 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+rlabel metal3 s -800 118866 480 118978 0 io_oeb[21]
 port 123 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+rlabel metal3 s -800 75644 480 75756 0 io_oeb[22]
 port 124 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+rlabel metal3 s -800 32422 480 32534 0 io_oeb[23]
 port 125 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+rlabel metal3 s -800 11000 480 11112 0 io_oeb[24]
 port 126 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+rlabel metal3 s -800 6272 480 6384 0 io_oeb[25]
 port 127 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+rlabel metal3 s -800 1544 480 1656 0 io_oeb[26]
 port 128 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+rlabel metal3 s 583520 14546 584800 14658 0 io_oeb[2]
 port 129 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+rlabel metal3 s 583520 19274 584800 19386 0 io_oeb[3]
 port 130 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+rlabel metal3 s 583520 24002 584800 24114 0 io_oeb[4]
 port 131 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+rlabel metal3 s 583520 50460 584800 50572 0 io_oeb[5]
 port 132 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+rlabel metal3 s 583520 95118 584800 95230 0 io_oeb[6]
 port 133 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+rlabel metal3 s 583520 275140 584800 275252 0 io_oeb[7]
 port 134 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+rlabel metal3 s 583520 319562 584800 319674 0 io_oeb[8]
 port 135 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+rlabel metal3 s 583520 364784 584800 364896 0 io_oeb[9]
 port 136 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+rlabel metal3 s 583520 3908 584800 4020 0 io_out[0]
 port 137 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+rlabel metal3 s 583520 410024 584800 410136 0 io_out[10]
 port 138 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+rlabel metal3 s 583520 454446 584800 454558 0 io_out[11]
 port 139 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+rlabel metal3 s 583520 498868 584800 498980 0 io_out[12]
 port 140 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+rlabel metal3 s 583520 588290 584800 588402 0 io_out[13]
 port 141 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+rlabel metal3 s -800 506802 480 506914 0 io_out[14]
 port 142 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+rlabel metal3 s -800 463580 480 463692 0 io_out[15]
 port 143 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+rlabel metal3 s -800 420358 480 420470 0 io_out[16]
 port 144 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+rlabel metal3 s -800 377136 480 377248 0 io_out[17]
 port 145 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+rlabel metal3 s -800 333914 480 334026 0 io_out[18]
 port 146 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+rlabel metal3 s -800 290692 480 290804 0 io_out[19]
 port 147 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+rlabel metal3 s 583520 8636 584800 8748 0 io_out[1]
 port 148 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+rlabel metal3 s -800 247670 480 247782 0 io_out[20]
 port 149 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+rlabel metal3 s -800 120048 480 120160 0 io_out[21]
 port 150 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+rlabel metal3 s -800 76826 480 76938 0 io_out[22]
 port 151 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+rlabel metal3 s -800 33604 480 33716 0 io_out[23]
 port 152 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+rlabel metal3 s -800 12182 480 12294 0 io_out[24]
 port 153 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+rlabel metal3 s -800 7454 480 7566 0 io_out[25]
 port 154 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+rlabel metal3 s -800 2726 480 2838 0 io_out[26]
 port 155 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+rlabel metal3 s 583520 13364 584800 13476 0 io_out[2]
 port 156 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+rlabel metal3 s 583520 18092 584800 18204 0 io_out[3]
 port 157 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+rlabel metal3 s 583520 22820 584800 22932 0 io_out[4]
 port 158 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+rlabel metal3 s 583520 49278 584800 49390 0 io_out[5]
 port 159 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+rlabel metal3 s 583520 93936 584800 94048 0 io_out[6]
 port 160 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+rlabel metal3 s 583520 273958 584800 274070 0 io_out[7]
 port 161 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+rlabel metal3 s 583520 318380 584800 318492 0 io_out[8]
 port 162 nsew signal tristate
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+rlabel metal3 s 583520 363602 584800 363714 0 io_out[9]
 port 163 nsew signal tristate
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+rlabel metal2 s 125816 -800 125928 480 0 la_data_in[0]
 port 164 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+rlabel metal2 s 480416 -800 480528 480 0 la_data_in[100]
 port 165 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+rlabel metal2 s 483962 -800 484074 480 0 la_data_in[101]
 port 166 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+rlabel metal2 s 487508 -800 487620 480 0 la_data_in[102]
 port 167 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+rlabel metal2 s 491054 -800 491166 480 0 la_data_in[103]
 port 168 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+rlabel metal2 s 494600 -800 494712 480 0 la_data_in[104]
 port 169 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+rlabel metal2 s 498146 -800 498258 480 0 la_data_in[105]
 port 170 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+rlabel metal2 s 501692 -800 501804 480 0 la_data_in[106]
 port 171 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+rlabel metal2 s 505238 -800 505350 480 0 la_data_in[107]
 port 172 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+rlabel metal2 s 508784 -800 508896 480 0 la_data_in[108]
 port 173 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+rlabel metal2 s 512330 -800 512442 480 0 la_data_in[109]
 port 174 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+rlabel metal2 s 161276 -800 161388 480 0 la_data_in[10]
 port 175 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+rlabel metal2 s 515876 -800 515988 480 0 la_data_in[110]
 port 176 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+rlabel metal2 s 519422 -800 519534 480 0 la_data_in[111]
 port 177 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+rlabel metal2 s 522968 -800 523080 480 0 la_data_in[112]
 port 178 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+rlabel metal2 s 526514 -800 526626 480 0 la_data_in[113]
 port 179 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+rlabel metal2 s 530060 -800 530172 480 0 la_data_in[114]
 port 180 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+rlabel metal2 s 533606 -800 533718 480 0 la_data_in[115]
 port 181 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+rlabel metal2 s 537152 -800 537264 480 0 la_data_in[116]
 port 182 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+rlabel metal2 s 540698 -800 540810 480 0 la_data_in[117]
 port 183 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+rlabel metal2 s 544244 -800 544356 480 0 la_data_in[118]
 port 184 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+rlabel metal2 s 547790 -800 547902 480 0 la_data_in[119]
 port 185 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+rlabel metal2 s 164822 -800 164934 480 0 la_data_in[11]
 port 186 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+rlabel metal2 s 551336 -800 551448 480 0 la_data_in[120]
 port 187 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+rlabel metal2 s 554882 -800 554994 480 0 la_data_in[121]
 port 188 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+rlabel metal2 s 558428 -800 558540 480 0 la_data_in[122]
 port 189 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+rlabel metal2 s 561974 -800 562086 480 0 la_data_in[123]
 port 190 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+rlabel metal2 s 565520 -800 565632 480 0 la_data_in[124]
 port 191 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+rlabel metal2 s 569066 -800 569178 480 0 la_data_in[125]
 port 192 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+rlabel metal2 s 572612 -800 572724 480 0 la_data_in[126]
 port 193 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+rlabel metal2 s 576158 -800 576270 480 0 la_data_in[127]
 port 194 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+rlabel metal2 s 168368 -800 168480 480 0 la_data_in[12]
 port 195 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+rlabel metal2 s 171914 -800 172026 480 0 la_data_in[13]
 port 196 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+rlabel metal2 s 175460 -800 175572 480 0 la_data_in[14]
 port 197 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+rlabel metal2 s 179006 -800 179118 480 0 la_data_in[15]
 port 198 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+rlabel metal2 s 182552 -800 182664 480 0 la_data_in[16]
 port 199 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+rlabel metal2 s 186098 -800 186210 480 0 la_data_in[17]
 port 200 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+rlabel metal2 s 189644 -800 189756 480 0 la_data_in[18]
 port 201 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+rlabel metal2 s 193190 -800 193302 480 0 la_data_in[19]
 port 202 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+rlabel metal2 s 129362 -800 129474 480 0 la_data_in[1]
 port 203 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+rlabel metal2 s 196736 -800 196848 480 0 la_data_in[20]
 port 204 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+rlabel metal2 s 200282 -800 200394 480 0 la_data_in[21]
 port 205 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+rlabel metal2 s 203828 -800 203940 480 0 la_data_in[22]
 port 206 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+rlabel metal2 s 207374 -800 207486 480 0 la_data_in[23]
 port 207 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+rlabel metal2 s 210920 -800 211032 480 0 la_data_in[24]
 port 208 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+rlabel metal2 s 214466 -800 214578 480 0 la_data_in[25]
 port 209 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+rlabel metal2 s 218012 -800 218124 480 0 la_data_in[26]
 port 210 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+rlabel metal2 s 221558 -800 221670 480 0 la_data_in[27]
 port 211 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+rlabel metal2 s 225104 -800 225216 480 0 la_data_in[28]
 port 212 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+rlabel metal2 s 228650 -800 228762 480 0 la_data_in[29]
 port 213 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+rlabel metal2 s 132908 -800 133020 480 0 la_data_in[2]
 port 214 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+rlabel metal2 s 232196 -800 232308 480 0 la_data_in[30]
 port 215 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+rlabel metal2 s 235742 -800 235854 480 0 la_data_in[31]
 port 216 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+rlabel metal2 s 239288 -800 239400 480 0 la_data_in[32]
 port 217 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+rlabel metal2 s 242834 -800 242946 480 0 la_data_in[33]
 port 218 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+rlabel metal2 s 246380 -800 246492 480 0 la_data_in[34]
 port 219 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+rlabel metal2 s 249926 -800 250038 480 0 la_data_in[35]
 port 220 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+rlabel metal2 s 253472 -800 253584 480 0 la_data_in[36]
 port 221 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+rlabel metal2 s 257018 -800 257130 480 0 la_data_in[37]
 port 222 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+rlabel metal2 s 260564 -800 260676 480 0 la_data_in[38]
 port 223 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+rlabel metal2 s 264110 -800 264222 480 0 la_data_in[39]
 port 224 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+rlabel metal2 s 136454 -800 136566 480 0 la_data_in[3]
 port 225 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+rlabel metal2 s 267656 -800 267768 480 0 la_data_in[40]
 port 226 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+rlabel metal2 s 271202 -800 271314 480 0 la_data_in[41]
 port 227 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+rlabel metal2 s 274748 -800 274860 480 0 la_data_in[42]
 port 228 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+rlabel metal2 s 278294 -800 278406 480 0 la_data_in[43]
 port 229 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+rlabel metal2 s 281840 -800 281952 480 0 la_data_in[44]
 port 230 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+rlabel metal2 s 285386 -800 285498 480 0 la_data_in[45]
 port 231 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+rlabel metal2 s 288932 -800 289044 480 0 la_data_in[46]
 port 232 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+rlabel metal2 s 292478 -800 292590 480 0 la_data_in[47]
 port 233 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+rlabel metal2 s 296024 -800 296136 480 0 la_data_in[48]
 port 234 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+rlabel metal2 s 299570 -800 299682 480 0 la_data_in[49]
 port 235 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+rlabel metal2 s 140000 -800 140112 480 0 la_data_in[4]
 port 236 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+rlabel metal2 s 303116 -800 303228 480 0 la_data_in[50]
 port 237 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+rlabel metal2 s 306662 -800 306774 480 0 la_data_in[51]
 port 238 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+rlabel metal2 s 310208 -800 310320 480 0 la_data_in[52]
 port 239 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+rlabel metal2 s 313754 -800 313866 480 0 la_data_in[53]
 port 240 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+rlabel metal2 s 317300 -800 317412 480 0 la_data_in[54]
 port 241 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+rlabel metal2 s 320846 -800 320958 480 0 la_data_in[55]
 port 242 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+rlabel metal2 s 324392 -800 324504 480 0 la_data_in[56]
 port 243 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+rlabel metal2 s 327938 -800 328050 480 0 la_data_in[57]
 port 244 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+rlabel metal2 s 331484 -800 331596 480 0 la_data_in[58]
 port 245 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+rlabel metal2 s 335030 -800 335142 480 0 la_data_in[59]
 port 246 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+rlabel metal2 s 143546 -800 143658 480 0 la_data_in[5]
 port 247 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+rlabel metal2 s 338576 -800 338688 480 0 la_data_in[60]
 port 248 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+rlabel metal2 s 342122 -800 342234 480 0 la_data_in[61]
 port 249 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+rlabel metal2 s 345668 -800 345780 480 0 la_data_in[62]
 port 250 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+rlabel metal2 s 349214 -800 349326 480 0 la_data_in[63]
 port 251 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+rlabel metal2 s 352760 -800 352872 480 0 la_data_in[64]
 port 252 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+rlabel metal2 s 356306 -800 356418 480 0 la_data_in[65]
 port 253 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+rlabel metal2 s 359852 -800 359964 480 0 la_data_in[66]
 port 254 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+rlabel metal2 s 363398 -800 363510 480 0 la_data_in[67]
 port 255 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+rlabel metal2 s 366944 -800 367056 480 0 la_data_in[68]
 port 256 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+rlabel metal2 s 370490 -800 370602 480 0 la_data_in[69]
 port 257 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+rlabel metal2 s 147092 -800 147204 480 0 la_data_in[6]
 port 258 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+rlabel metal2 s 374036 -800 374148 480 0 la_data_in[70]
 port 259 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+rlabel metal2 s 377582 -800 377694 480 0 la_data_in[71]
 port 260 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+rlabel metal2 s 381128 -800 381240 480 0 la_data_in[72]
 port 261 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+rlabel metal2 s 384674 -800 384786 480 0 la_data_in[73]
 port 262 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+rlabel metal2 s 388220 -800 388332 480 0 la_data_in[74]
 port 263 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+rlabel metal2 s 391766 -800 391878 480 0 la_data_in[75]
 port 264 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+rlabel metal2 s 395312 -800 395424 480 0 la_data_in[76]
 port 265 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+rlabel metal2 s 398858 -800 398970 480 0 la_data_in[77]
 port 266 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+rlabel metal2 s 402404 -800 402516 480 0 la_data_in[78]
 port 267 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+rlabel metal2 s 405950 -800 406062 480 0 la_data_in[79]
 port 268 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+rlabel metal2 s 150638 -800 150750 480 0 la_data_in[7]
 port 269 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+rlabel metal2 s 409496 -800 409608 480 0 la_data_in[80]
 port 270 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+rlabel metal2 s 413042 -800 413154 480 0 la_data_in[81]
 port 271 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+rlabel metal2 s 416588 -800 416700 480 0 la_data_in[82]
 port 272 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+rlabel metal2 s 420134 -800 420246 480 0 la_data_in[83]
 port 273 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+rlabel metal2 s 423680 -800 423792 480 0 la_data_in[84]
 port 274 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+rlabel metal2 s 427226 -800 427338 480 0 la_data_in[85]
 port 275 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+rlabel metal2 s 430772 -800 430884 480 0 la_data_in[86]
 port 276 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+rlabel metal2 s 434318 -800 434430 480 0 la_data_in[87]
 port 277 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+rlabel metal2 s 437864 -800 437976 480 0 la_data_in[88]
 port 278 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+rlabel metal2 s 441410 -800 441522 480 0 la_data_in[89]
 port 279 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+rlabel metal2 s 154184 -800 154296 480 0 la_data_in[8]
 port 280 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+rlabel metal2 s 444956 -800 445068 480 0 la_data_in[90]
 port 281 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+rlabel metal2 s 448502 -800 448614 480 0 la_data_in[91]
 port 282 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+rlabel metal2 s 452048 -800 452160 480 0 la_data_in[92]
 port 283 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+rlabel metal2 s 455594 -800 455706 480 0 la_data_in[93]
 port 284 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+rlabel metal2 s 459140 -800 459252 480 0 la_data_in[94]
 port 285 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+rlabel metal2 s 462686 -800 462798 480 0 la_data_in[95]
 port 286 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+rlabel metal2 s 466232 -800 466344 480 0 la_data_in[96]
 port 287 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+rlabel metal2 s 469778 -800 469890 480 0 la_data_in[97]
 port 288 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+rlabel metal2 s 473324 -800 473436 480 0 la_data_in[98]
 port 289 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+rlabel metal2 s 476870 -800 476982 480 0 la_data_in[99]
 port 290 nsew signal input
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+rlabel metal2 s 157730 -800 157842 480 0 la_data_in[9]
 port 291 nsew signal input
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+rlabel metal2 s 126998 -800 127110 480 0 la_data_out[0]
 port 292 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+rlabel metal2 s 481598 -800 481710 480 0 la_data_out[100]
 port 293 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+rlabel metal2 s 485144 -800 485256 480 0 la_data_out[101]
 port 294 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+rlabel metal2 s 488690 -800 488802 480 0 la_data_out[102]
 port 295 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+rlabel metal2 s 492236 -800 492348 480 0 la_data_out[103]
 port 296 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+rlabel metal2 s 495782 -800 495894 480 0 la_data_out[104]
 port 297 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+rlabel metal2 s 499328 -800 499440 480 0 la_data_out[105]
 port 298 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+rlabel metal2 s 502874 -800 502986 480 0 la_data_out[106]
 port 299 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+rlabel metal2 s 506420 -800 506532 480 0 la_data_out[107]
 port 300 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+rlabel metal2 s 509966 -800 510078 480 0 la_data_out[108]
 port 301 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+rlabel metal2 s 513512 -800 513624 480 0 la_data_out[109]
 port 302 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+rlabel metal2 s 162458 -800 162570 480 0 la_data_out[10]
 port 303 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+rlabel metal2 s 517058 -800 517170 480 0 la_data_out[110]
 port 304 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+rlabel metal2 s 520604 -800 520716 480 0 la_data_out[111]
 port 305 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+rlabel metal2 s 524150 -800 524262 480 0 la_data_out[112]
 port 306 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+rlabel metal2 s 527696 -800 527808 480 0 la_data_out[113]
 port 307 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+rlabel metal2 s 531242 -800 531354 480 0 la_data_out[114]
 port 308 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+rlabel metal2 s 534788 -800 534900 480 0 la_data_out[115]
 port 309 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+rlabel metal2 s 538334 -800 538446 480 0 la_data_out[116]
 port 310 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+rlabel metal2 s 541880 -800 541992 480 0 la_data_out[117]
 port 311 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+rlabel metal2 s 545426 -800 545538 480 0 la_data_out[118]
 port 312 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+rlabel metal2 s 548972 -800 549084 480 0 la_data_out[119]
 port 313 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+rlabel metal2 s 166004 -800 166116 480 0 la_data_out[11]
 port 314 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+rlabel metal2 s 552518 -800 552630 480 0 la_data_out[120]
 port 315 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+rlabel metal2 s 556064 -800 556176 480 0 la_data_out[121]
 port 316 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+rlabel metal2 s 559610 -800 559722 480 0 la_data_out[122]
 port 317 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+rlabel metal2 s 563156 -800 563268 480 0 la_data_out[123]
 port 318 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+rlabel metal2 s 566702 -800 566814 480 0 la_data_out[124]
 port 319 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+rlabel metal2 s 570248 -800 570360 480 0 la_data_out[125]
 port 320 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+rlabel metal2 s 573794 -800 573906 480 0 la_data_out[126]
 port 321 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+rlabel metal2 s 577340 -800 577452 480 0 la_data_out[127]
 port 322 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+rlabel metal2 s 169550 -800 169662 480 0 la_data_out[12]
 port 323 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+rlabel metal2 s 173096 -800 173208 480 0 la_data_out[13]
 port 324 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+rlabel metal2 s 176642 -800 176754 480 0 la_data_out[14]
 port 325 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+rlabel metal2 s 180188 -800 180300 480 0 la_data_out[15]
 port 326 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+rlabel metal2 s 183734 -800 183846 480 0 la_data_out[16]
 port 327 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+rlabel metal2 s 187280 -800 187392 480 0 la_data_out[17]
 port 328 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+rlabel metal2 s 190826 -800 190938 480 0 la_data_out[18]
 port 329 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+rlabel metal2 s 194372 -800 194484 480 0 la_data_out[19]
 port 330 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+rlabel metal2 s 130544 -800 130656 480 0 la_data_out[1]
 port 331 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+rlabel metal2 s 197918 -800 198030 480 0 la_data_out[20]
 port 332 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+rlabel metal2 s 201464 -800 201576 480 0 la_data_out[21]
 port 333 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+rlabel metal2 s 205010 -800 205122 480 0 la_data_out[22]
 port 334 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+rlabel metal2 s 208556 -800 208668 480 0 la_data_out[23]
 port 335 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+rlabel metal2 s 212102 -800 212214 480 0 la_data_out[24]
 port 336 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+rlabel metal2 s 215648 -800 215760 480 0 la_data_out[25]
 port 337 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+rlabel metal2 s 219194 -800 219306 480 0 la_data_out[26]
 port 338 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+rlabel metal2 s 222740 -800 222852 480 0 la_data_out[27]
 port 339 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+rlabel metal2 s 226286 -800 226398 480 0 la_data_out[28]
 port 340 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+rlabel metal2 s 229832 -800 229944 480 0 la_data_out[29]
 port 341 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+rlabel metal2 s 134090 -800 134202 480 0 la_data_out[2]
 port 342 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+rlabel metal2 s 233378 -800 233490 480 0 la_data_out[30]
 port 343 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+rlabel metal2 s 236924 -800 237036 480 0 la_data_out[31]
 port 344 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+rlabel metal2 s 240470 -800 240582 480 0 la_data_out[32]
 port 345 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+rlabel metal2 s 244016 -800 244128 480 0 la_data_out[33]
 port 346 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+rlabel metal2 s 247562 -800 247674 480 0 la_data_out[34]
 port 347 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+rlabel metal2 s 251108 -800 251220 480 0 la_data_out[35]
 port 348 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+rlabel metal2 s 254654 -800 254766 480 0 la_data_out[36]
 port 349 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+rlabel metal2 s 258200 -800 258312 480 0 la_data_out[37]
 port 350 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+rlabel metal2 s 261746 -800 261858 480 0 la_data_out[38]
 port 351 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+rlabel metal2 s 265292 -800 265404 480 0 la_data_out[39]
 port 352 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+rlabel metal2 s 137636 -800 137748 480 0 la_data_out[3]
 port 353 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+rlabel metal2 s 268838 -800 268950 480 0 la_data_out[40]
 port 354 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+rlabel metal2 s 272384 -800 272496 480 0 la_data_out[41]
 port 355 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+rlabel metal2 s 275930 -800 276042 480 0 la_data_out[42]
 port 356 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+rlabel metal2 s 279476 -800 279588 480 0 la_data_out[43]
 port 357 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+rlabel metal2 s 283022 -800 283134 480 0 la_data_out[44]
 port 358 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+rlabel metal2 s 286568 -800 286680 480 0 la_data_out[45]
 port 359 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+rlabel metal2 s 290114 -800 290226 480 0 la_data_out[46]
 port 360 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+rlabel metal2 s 293660 -800 293772 480 0 la_data_out[47]
 port 361 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+rlabel metal2 s 297206 -800 297318 480 0 la_data_out[48]
 port 362 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+rlabel metal2 s 300752 -800 300864 480 0 la_data_out[49]
 port 363 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+rlabel metal2 s 141182 -800 141294 480 0 la_data_out[4]
 port 364 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+rlabel metal2 s 304298 -800 304410 480 0 la_data_out[50]
 port 365 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+rlabel metal2 s 307844 -800 307956 480 0 la_data_out[51]
 port 366 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+rlabel metal2 s 311390 -800 311502 480 0 la_data_out[52]
 port 367 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+rlabel metal2 s 314936 -800 315048 480 0 la_data_out[53]
 port 368 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+rlabel metal2 s 318482 -800 318594 480 0 la_data_out[54]
 port 369 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+rlabel metal2 s 322028 -800 322140 480 0 la_data_out[55]
 port 370 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+rlabel metal2 s 325574 -800 325686 480 0 la_data_out[56]
 port 371 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+rlabel metal2 s 329120 -800 329232 480 0 la_data_out[57]
 port 372 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+rlabel metal2 s 332666 -800 332778 480 0 la_data_out[58]
 port 373 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+rlabel metal2 s 336212 -800 336324 480 0 la_data_out[59]
 port 374 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+rlabel metal2 s 144728 -800 144840 480 0 la_data_out[5]
 port 375 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+rlabel metal2 s 339758 -800 339870 480 0 la_data_out[60]
 port 376 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+rlabel metal2 s 343304 -800 343416 480 0 la_data_out[61]
 port 377 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+rlabel metal2 s 346850 -800 346962 480 0 la_data_out[62]
 port 378 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+rlabel metal2 s 350396 -800 350508 480 0 la_data_out[63]
 port 379 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+rlabel metal2 s 353942 -800 354054 480 0 la_data_out[64]
 port 380 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+rlabel metal2 s 357488 -800 357600 480 0 la_data_out[65]
 port 381 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+rlabel metal2 s 361034 -800 361146 480 0 la_data_out[66]
 port 382 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+rlabel metal2 s 364580 -800 364692 480 0 la_data_out[67]
 port 383 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+rlabel metal2 s 368126 -800 368238 480 0 la_data_out[68]
 port 384 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+rlabel metal2 s 371672 -800 371784 480 0 la_data_out[69]
 port 385 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+rlabel metal2 s 148274 -800 148386 480 0 la_data_out[6]
 port 386 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+rlabel metal2 s 375218 -800 375330 480 0 la_data_out[70]
 port 387 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+rlabel metal2 s 378764 -800 378876 480 0 la_data_out[71]
 port 388 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+rlabel metal2 s 382310 -800 382422 480 0 la_data_out[72]
 port 389 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+rlabel metal2 s 385856 -800 385968 480 0 la_data_out[73]
 port 390 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+rlabel metal2 s 389402 -800 389514 480 0 la_data_out[74]
 port 391 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+rlabel metal2 s 392948 -800 393060 480 0 la_data_out[75]
 port 392 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+rlabel metal2 s 396494 -800 396606 480 0 la_data_out[76]
 port 393 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+rlabel metal2 s 400040 -800 400152 480 0 la_data_out[77]
 port 394 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+rlabel metal2 s 403586 -800 403698 480 0 la_data_out[78]
 port 395 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+rlabel metal2 s 407132 -800 407244 480 0 la_data_out[79]
 port 396 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+rlabel metal2 s 151820 -800 151932 480 0 la_data_out[7]
 port 397 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+rlabel metal2 s 410678 -800 410790 480 0 la_data_out[80]
 port 398 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+rlabel metal2 s 414224 -800 414336 480 0 la_data_out[81]
 port 399 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+rlabel metal2 s 417770 -800 417882 480 0 la_data_out[82]
 port 400 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+rlabel metal2 s 421316 -800 421428 480 0 la_data_out[83]
 port 401 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+rlabel metal2 s 424862 -800 424974 480 0 la_data_out[84]
 port 402 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+rlabel metal2 s 428408 -800 428520 480 0 la_data_out[85]
 port 403 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+rlabel metal2 s 431954 -800 432066 480 0 la_data_out[86]
 port 404 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+rlabel metal2 s 435500 -800 435612 480 0 la_data_out[87]
 port 405 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+rlabel metal2 s 439046 -800 439158 480 0 la_data_out[88]
 port 406 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+rlabel metal2 s 442592 -800 442704 480 0 la_data_out[89]
 port 407 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+rlabel metal2 s 155366 -800 155478 480 0 la_data_out[8]
 port 408 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+rlabel metal2 s 446138 -800 446250 480 0 la_data_out[90]
 port 409 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+rlabel metal2 s 449684 -800 449796 480 0 la_data_out[91]
 port 410 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+rlabel metal2 s 453230 -800 453342 480 0 la_data_out[92]
 port 411 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+rlabel metal2 s 456776 -800 456888 480 0 la_data_out[93]
 port 412 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+rlabel metal2 s 460322 -800 460434 480 0 la_data_out[94]
 port 413 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+rlabel metal2 s 463868 -800 463980 480 0 la_data_out[95]
 port 414 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+rlabel metal2 s 467414 -800 467526 480 0 la_data_out[96]
 port 415 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+rlabel metal2 s 470960 -800 471072 480 0 la_data_out[97]
 port 416 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+rlabel metal2 s 474506 -800 474618 480 0 la_data_out[98]
 port 417 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+rlabel metal2 s 478052 -800 478164 480 0 la_data_out[99]
 port 418 nsew signal tristate
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+rlabel metal2 s 158912 -800 159024 480 0 la_data_out[9]
 port 419 nsew signal tristate
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+rlabel metal2 s 128180 -800 128292 480 0 la_oenb[0]
 port 420 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+rlabel metal2 s 482780 -800 482892 480 0 la_oenb[100]
 port 421 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+rlabel metal2 s 486326 -800 486438 480 0 la_oenb[101]
 port 422 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+rlabel metal2 s 489872 -800 489984 480 0 la_oenb[102]
 port 423 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+rlabel metal2 s 493418 -800 493530 480 0 la_oenb[103]
 port 424 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+rlabel metal2 s 496964 -800 497076 480 0 la_oenb[104]
 port 425 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+rlabel metal2 s 500510 -800 500622 480 0 la_oenb[105]
 port 426 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+rlabel metal2 s 504056 -800 504168 480 0 la_oenb[106]
 port 427 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+rlabel metal2 s 507602 -800 507714 480 0 la_oenb[107]
 port 428 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+rlabel metal2 s 511148 -800 511260 480 0 la_oenb[108]
 port 429 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+rlabel metal2 s 514694 -800 514806 480 0 la_oenb[109]
 port 430 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+rlabel metal2 s 163640 -800 163752 480 0 la_oenb[10]
 port 431 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+rlabel metal2 s 518240 -800 518352 480 0 la_oenb[110]
 port 432 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+rlabel metal2 s 521786 -800 521898 480 0 la_oenb[111]
 port 433 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+rlabel metal2 s 525332 -800 525444 480 0 la_oenb[112]
 port 434 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+rlabel metal2 s 528878 -800 528990 480 0 la_oenb[113]
 port 435 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+rlabel metal2 s 532424 -800 532536 480 0 la_oenb[114]
 port 436 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+rlabel metal2 s 535970 -800 536082 480 0 la_oenb[115]
 port 437 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+rlabel metal2 s 539516 -800 539628 480 0 la_oenb[116]
 port 438 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+rlabel metal2 s 543062 -800 543174 480 0 la_oenb[117]
 port 439 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+rlabel metal2 s 546608 -800 546720 480 0 la_oenb[118]
 port 440 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+rlabel metal2 s 550154 -800 550266 480 0 la_oenb[119]
 port 441 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+rlabel metal2 s 167186 -800 167298 480 0 la_oenb[11]
 port 442 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+rlabel metal2 s 553700 -800 553812 480 0 la_oenb[120]
 port 443 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+rlabel metal2 s 557246 -800 557358 480 0 la_oenb[121]
 port 444 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+rlabel metal2 s 560792 -800 560904 480 0 la_oenb[122]
 port 445 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+rlabel metal2 s 564338 -800 564450 480 0 la_oenb[123]
 port 446 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+rlabel metal2 s 567884 -800 567996 480 0 la_oenb[124]
 port 447 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+rlabel metal2 s 571430 -800 571542 480 0 la_oenb[125]
 port 448 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+rlabel metal2 s 574976 -800 575088 480 0 la_oenb[126]
 port 449 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+rlabel metal2 s 578522 -800 578634 480 0 la_oenb[127]
 port 450 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+rlabel metal2 s 170732 -800 170844 480 0 la_oenb[12]
 port 451 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+rlabel metal2 s 174278 -800 174390 480 0 la_oenb[13]
 port 452 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+rlabel metal2 s 177824 -800 177936 480 0 la_oenb[14]
 port 453 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+rlabel metal2 s 181370 -800 181482 480 0 la_oenb[15]
 port 454 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+rlabel metal2 s 184916 -800 185028 480 0 la_oenb[16]
 port 455 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+rlabel metal2 s 188462 -800 188574 480 0 la_oenb[17]
 port 456 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+rlabel metal2 s 192008 -800 192120 480 0 la_oenb[18]
 port 457 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+rlabel metal2 s 195554 -800 195666 480 0 la_oenb[19]
 port 458 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+rlabel metal2 s 131726 -800 131838 480 0 la_oenb[1]
 port 459 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+rlabel metal2 s 199100 -800 199212 480 0 la_oenb[20]
 port 460 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+rlabel metal2 s 202646 -800 202758 480 0 la_oenb[21]
 port 461 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+rlabel metal2 s 206192 -800 206304 480 0 la_oenb[22]
 port 462 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+rlabel metal2 s 209738 -800 209850 480 0 la_oenb[23]
 port 463 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+rlabel metal2 s 213284 -800 213396 480 0 la_oenb[24]
 port 464 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+rlabel metal2 s 216830 -800 216942 480 0 la_oenb[25]
 port 465 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+rlabel metal2 s 220376 -800 220488 480 0 la_oenb[26]
 port 466 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+rlabel metal2 s 223922 -800 224034 480 0 la_oenb[27]
 port 467 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+rlabel metal2 s 227468 -800 227580 480 0 la_oenb[28]
 port 468 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+rlabel metal2 s 231014 -800 231126 480 0 la_oenb[29]
 port 469 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+rlabel metal2 s 135272 -800 135384 480 0 la_oenb[2]
 port 470 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+rlabel metal2 s 234560 -800 234672 480 0 la_oenb[30]
 port 471 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+rlabel metal2 s 238106 -800 238218 480 0 la_oenb[31]
 port 472 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+rlabel metal2 s 241652 -800 241764 480 0 la_oenb[32]
 port 473 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+rlabel metal2 s 245198 -800 245310 480 0 la_oenb[33]
 port 474 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+rlabel metal2 s 248744 -800 248856 480 0 la_oenb[34]
 port 475 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+rlabel metal2 s 252290 -800 252402 480 0 la_oenb[35]
 port 476 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+rlabel metal2 s 255836 -800 255948 480 0 la_oenb[36]
 port 477 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+rlabel metal2 s 259382 -800 259494 480 0 la_oenb[37]
 port 478 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+rlabel metal2 s 262928 -800 263040 480 0 la_oenb[38]
 port 479 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+rlabel metal2 s 266474 -800 266586 480 0 la_oenb[39]
 port 480 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+rlabel metal2 s 138818 -800 138930 480 0 la_oenb[3]
 port 481 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+rlabel metal2 s 270020 -800 270132 480 0 la_oenb[40]
 port 482 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+rlabel metal2 s 273566 -800 273678 480 0 la_oenb[41]
 port 483 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+rlabel metal2 s 277112 -800 277224 480 0 la_oenb[42]
 port 484 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+rlabel metal2 s 280658 -800 280770 480 0 la_oenb[43]
 port 485 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+rlabel metal2 s 284204 -800 284316 480 0 la_oenb[44]
 port 486 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+rlabel metal2 s 287750 -800 287862 480 0 la_oenb[45]
 port 487 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+rlabel metal2 s 291296 -800 291408 480 0 la_oenb[46]
 port 488 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+rlabel metal2 s 294842 -800 294954 480 0 la_oenb[47]
 port 489 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+rlabel metal2 s 298388 -800 298500 480 0 la_oenb[48]
 port 490 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+rlabel metal2 s 301934 -800 302046 480 0 la_oenb[49]
 port 491 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+rlabel metal2 s 142364 -800 142476 480 0 la_oenb[4]
 port 492 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+rlabel metal2 s 305480 -800 305592 480 0 la_oenb[50]
 port 493 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+rlabel metal2 s 309026 -800 309138 480 0 la_oenb[51]
 port 494 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+rlabel metal2 s 312572 -800 312684 480 0 la_oenb[52]
 port 495 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+rlabel metal2 s 316118 -800 316230 480 0 la_oenb[53]
 port 496 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+rlabel metal2 s 319664 -800 319776 480 0 la_oenb[54]
 port 497 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+rlabel metal2 s 323210 -800 323322 480 0 la_oenb[55]
 port 498 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+rlabel metal2 s 326756 -800 326868 480 0 la_oenb[56]
 port 499 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+rlabel metal2 s 330302 -800 330414 480 0 la_oenb[57]
 port 500 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+rlabel metal2 s 333848 -800 333960 480 0 la_oenb[58]
 port 501 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+rlabel metal2 s 337394 -800 337506 480 0 la_oenb[59]
 port 502 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+rlabel metal2 s 145910 -800 146022 480 0 la_oenb[5]
 port 503 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+rlabel metal2 s 340940 -800 341052 480 0 la_oenb[60]
 port 504 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+rlabel metal2 s 344486 -800 344598 480 0 la_oenb[61]
 port 505 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+rlabel metal2 s 348032 -800 348144 480 0 la_oenb[62]
 port 506 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+rlabel metal2 s 351578 -800 351690 480 0 la_oenb[63]
 port 507 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+rlabel metal2 s 355124 -800 355236 480 0 la_oenb[64]
 port 508 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+rlabel metal2 s 358670 -800 358782 480 0 la_oenb[65]
 port 509 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+rlabel metal2 s 362216 -800 362328 480 0 la_oenb[66]
 port 510 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+rlabel metal2 s 365762 -800 365874 480 0 la_oenb[67]
 port 511 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+rlabel metal2 s 369308 -800 369420 480 0 la_oenb[68]
 port 512 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+rlabel metal2 s 372854 -800 372966 480 0 la_oenb[69]
 port 513 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+rlabel metal2 s 149456 -800 149568 480 0 la_oenb[6]
 port 514 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+rlabel metal2 s 376400 -800 376512 480 0 la_oenb[70]
 port 515 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+rlabel metal2 s 379946 -800 380058 480 0 la_oenb[71]
 port 516 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+rlabel metal2 s 383492 -800 383604 480 0 la_oenb[72]
 port 517 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+rlabel metal2 s 387038 -800 387150 480 0 la_oenb[73]
 port 518 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+rlabel metal2 s 390584 -800 390696 480 0 la_oenb[74]
 port 519 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+rlabel metal2 s 394130 -800 394242 480 0 la_oenb[75]
 port 520 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+rlabel metal2 s 397676 -800 397788 480 0 la_oenb[76]
 port 521 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+rlabel metal2 s 401222 -800 401334 480 0 la_oenb[77]
 port 522 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+rlabel metal2 s 404768 -800 404880 480 0 la_oenb[78]
 port 523 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+rlabel metal2 s 408314 -800 408426 480 0 la_oenb[79]
 port 524 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+rlabel metal2 s 153002 -800 153114 480 0 la_oenb[7]
 port 525 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+rlabel metal2 s 411860 -800 411972 480 0 la_oenb[80]
 port 526 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+rlabel metal2 s 415406 -800 415518 480 0 la_oenb[81]
 port 527 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+rlabel metal2 s 418952 -800 419064 480 0 la_oenb[82]
 port 528 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+rlabel metal2 s 422498 -800 422610 480 0 la_oenb[83]
 port 529 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+rlabel metal2 s 426044 -800 426156 480 0 la_oenb[84]
 port 530 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+rlabel metal2 s 429590 -800 429702 480 0 la_oenb[85]
 port 531 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+rlabel metal2 s 433136 -800 433248 480 0 la_oenb[86]
 port 532 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+rlabel metal2 s 436682 -800 436794 480 0 la_oenb[87]
 port 533 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+rlabel metal2 s 440228 -800 440340 480 0 la_oenb[88]
 port 534 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+rlabel metal2 s 443774 -800 443886 480 0 la_oenb[89]
 port 535 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+rlabel metal2 s 156548 -800 156660 480 0 la_oenb[8]
 port 536 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+rlabel metal2 s 447320 -800 447432 480 0 la_oenb[90]
 port 537 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+rlabel metal2 s 450866 -800 450978 480 0 la_oenb[91]
 port 538 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+rlabel metal2 s 454412 -800 454524 480 0 la_oenb[92]
 port 539 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+rlabel metal2 s 457958 -800 458070 480 0 la_oenb[93]
 port 540 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+rlabel metal2 s 461504 -800 461616 480 0 la_oenb[94]
 port 541 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+rlabel metal2 s 465050 -800 465162 480 0 la_oenb[95]
 port 542 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+rlabel metal2 s 468596 -800 468708 480 0 la_oenb[96]
 port 543 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+rlabel metal2 s 472142 -800 472254 480 0 la_oenb[97]
 port 544 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+rlabel metal2 s 475688 -800 475800 480 0 la_oenb[98]
 port 545 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+rlabel metal2 s 479234 -800 479346 480 0 la_oenb[99]
 port 546 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+rlabel metal2 s 160094 -800 160206 480 0 la_oenb[9]
 port 547 nsew signal input
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+rlabel metal2 s 579704 -800 579816 480 0 user_clock2
 port 548 nsew signal input
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+rlabel metal2 s 580886 -800 580998 480 0 user_irq[0]
 port 549 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+rlabel metal2 s 582068 -800 582180 480 0 user_irq[1]
 port 550 nsew signal tristate
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+rlabel metal2 s 583250 -800 583362 480 0 user_irq[2]
 port 551 nsew signal tristate
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+rlabel metal3 s 582340 639784 584800 644584 0 vccd1
 port 552 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+rlabel metal3 s 582340 629784 584800 634584 0 vccd1
 port 553 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+rlabel metal3 s 0 643842 1660 648642 0 vccd2
 port 554 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+rlabel metal3 s 0 633842 1660 638642 0 vccd2
 port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 540562 584800 545362 0 vdda1
 port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 550562 584800 555362 0 vdda1
 port 557 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 235230 584800 240030 0 vdda1
 port 558 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+rlabel metal3 s 582340 225230 584800 230030 0 vdda1
 port 559 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+rlabel metal3 s 0 204888 1660 209688 0 vdda2
 port 560 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+rlabel metal3 s 0 214888 1660 219688 0 vdda2
 port 561 nsew signal bidirectional
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+rlabel metal3 s 520594 702340 525394 704800 0 vssa1
 port 562 nsew signal bidirectional
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+rlabel metal3 s 510594 702340 515394 704800 0 vssa1
 port 563 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+rlabel metal3 s 582340 146830 584800 151630 0 vssa1
 port 564 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+rlabel metal3 s 582340 136830 584800 141630 0 vssa1
 port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+rlabel metal3 s 0 559442 1660 564242 0 vssa2
 port 566 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+rlabel metal3 s 0 549442 1660 554242 0 vssa2
 port 567 nsew signal bidirectional
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+rlabel metal3 s 582340 191430 584800 196230 0 vssd1
 port 568 nsew signal bidirectional
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+rlabel metal3 s 582340 181430 584800 186230 0 vssd1
 port 569 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+rlabel metal3 s 0 172888 1660 177688 0 vssd2
 port 570 nsew signal bidirectional
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+rlabel metal3 s 0 162888 1660 167688 0 vssd2
 port 571 nsew signal bidirectional
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+rlabel metal2 s 524 -800 636 480 0 wb_clk_i
 port 572 nsew signal input
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+rlabel metal2 s 1706 -800 1818 480 0 wb_rst_i
 port 573 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+rlabel metal2 s 2888 -800 3000 480 0 wbs_ack_o
 port 574 nsew signal tristate
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+rlabel metal2 s 7616 -800 7728 480 0 wbs_adr_i[0]
 port 575 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+rlabel metal2 s 47804 -800 47916 480 0 wbs_adr_i[10]
 port 576 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+rlabel metal2 s 51350 -800 51462 480 0 wbs_adr_i[11]
 port 577 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+rlabel metal2 s 54896 -800 55008 480 0 wbs_adr_i[12]
 port 578 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+rlabel metal2 s 58442 -800 58554 480 0 wbs_adr_i[13]
 port 579 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+rlabel metal2 s 61988 -800 62100 480 0 wbs_adr_i[14]
 port 580 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+rlabel metal2 s 65534 -800 65646 480 0 wbs_adr_i[15]
 port 581 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+rlabel metal2 s 69080 -800 69192 480 0 wbs_adr_i[16]
 port 582 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+rlabel metal2 s 72626 -800 72738 480 0 wbs_adr_i[17]
 port 583 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+rlabel metal2 s 76172 -800 76284 480 0 wbs_adr_i[18]
 port 584 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+rlabel metal2 s 79718 -800 79830 480 0 wbs_adr_i[19]
 port 585 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+rlabel metal2 s 12344 -800 12456 480 0 wbs_adr_i[1]
 port 586 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+rlabel metal2 s 83264 -800 83376 480 0 wbs_adr_i[20]
 port 587 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+rlabel metal2 s 86810 -800 86922 480 0 wbs_adr_i[21]
 port 588 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+rlabel metal2 s 90356 -800 90468 480 0 wbs_adr_i[22]
 port 589 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+rlabel metal2 s 93902 -800 94014 480 0 wbs_adr_i[23]
 port 590 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+rlabel metal2 s 97448 -800 97560 480 0 wbs_adr_i[24]
 port 591 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+rlabel metal2 s 100994 -800 101106 480 0 wbs_adr_i[25]
 port 592 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+rlabel metal2 s 104540 -800 104652 480 0 wbs_adr_i[26]
 port 593 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+rlabel metal2 s 108086 -800 108198 480 0 wbs_adr_i[27]
 port 594 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+rlabel metal2 s 111632 -800 111744 480 0 wbs_adr_i[28]
 port 595 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+rlabel metal2 s 115178 -800 115290 480 0 wbs_adr_i[29]
 port 596 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+rlabel metal2 s 17072 -800 17184 480 0 wbs_adr_i[2]
 port 597 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+rlabel metal2 s 118724 -800 118836 480 0 wbs_adr_i[30]
 port 598 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+rlabel metal2 s 122270 -800 122382 480 0 wbs_adr_i[31]
 port 599 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+rlabel metal2 s 21800 -800 21912 480 0 wbs_adr_i[3]
 port 600 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+rlabel metal2 s 26528 -800 26640 480 0 wbs_adr_i[4]
 port 601 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+rlabel metal2 s 30074 -800 30186 480 0 wbs_adr_i[5]
 port 602 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+rlabel metal2 s 33620 -800 33732 480 0 wbs_adr_i[6]
 port 603 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+rlabel metal2 s 37166 -800 37278 480 0 wbs_adr_i[7]
 port 604 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+rlabel metal2 s 40712 -800 40824 480 0 wbs_adr_i[8]
 port 605 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+rlabel metal2 s 44258 -800 44370 480 0 wbs_adr_i[9]
 port 606 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+rlabel metal2 s 4070 -800 4182 480 0 wbs_cyc_i
 port 607 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+rlabel metal2 s 8798 -800 8910 480 0 wbs_dat_i[0]
 port 608 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+rlabel metal2 s 48986 -800 49098 480 0 wbs_dat_i[10]
 port 609 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+rlabel metal2 s 52532 -800 52644 480 0 wbs_dat_i[11]
 port 610 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+rlabel metal2 s 56078 -800 56190 480 0 wbs_dat_i[12]
 port 611 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+rlabel metal2 s 59624 -800 59736 480 0 wbs_dat_i[13]
 port 612 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+rlabel metal2 s 63170 -800 63282 480 0 wbs_dat_i[14]
 port 613 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+rlabel metal2 s 66716 -800 66828 480 0 wbs_dat_i[15]
 port 614 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+rlabel metal2 s 70262 -800 70374 480 0 wbs_dat_i[16]
 port 615 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+rlabel metal2 s 73808 -800 73920 480 0 wbs_dat_i[17]
 port 616 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+rlabel metal2 s 77354 -800 77466 480 0 wbs_dat_i[18]
 port 617 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+rlabel metal2 s 80900 -800 81012 480 0 wbs_dat_i[19]
 port 618 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+rlabel metal2 s 13526 -800 13638 480 0 wbs_dat_i[1]
 port 619 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+rlabel metal2 s 84446 -800 84558 480 0 wbs_dat_i[20]
 port 620 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+rlabel metal2 s 87992 -800 88104 480 0 wbs_dat_i[21]
 port 621 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+rlabel metal2 s 91538 -800 91650 480 0 wbs_dat_i[22]
 port 622 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+rlabel metal2 s 95084 -800 95196 480 0 wbs_dat_i[23]
 port 623 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+rlabel metal2 s 98630 -800 98742 480 0 wbs_dat_i[24]
 port 624 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+rlabel metal2 s 102176 -800 102288 480 0 wbs_dat_i[25]
 port 625 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+rlabel metal2 s 105722 -800 105834 480 0 wbs_dat_i[26]
 port 626 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+rlabel metal2 s 109268 -800 109380 480 0 wbs_dat_i[27]
 port 627 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+rlabel metal2 s 112814 -800 112926 480 0 wbs_dat_i[28]
 port 628 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+rlabel metal2 s 116360 -800 116472 480 0 wbs_dat_i[29]
 port 629 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+rlabel metal2 s 18254 -800 18366 480 0 wbs_dat_i[2]
 port 630 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+rlabel metal2 s 119906 -800 120018 480 0 wbs_dat_i[30]
 port 631 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+rlabel metal2 s 123452 -800 123564 480 0 wbs_dat_i[31]
 port 632 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+rlabel metal2 s 22982 -800 23094 480 0 wbs_dat_i[3]
 port 633 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+rlabel metal2 s 27710 -800 27822 480 0 wbs_dat_i[4]
 port 634 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+rlabel metal2 s 31256 -800 31368 480 0 wbs_dat_i[5]
 port 635 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+rlabel metal2 s 34802 -800 34914 480 0 wbs_dat_i[6]
 port 636 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+rlabel metal2 s 38348 -800 38460 480 0 wbs_dat_i[7]
 port 637 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+rlabel metal2 s 41894 -800 42006 480 0 wbs_dat_i[8]
 port 638 nsew signal input
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+rlabel metal2 s 45440 -800 45552 480 0 wbs_dat_i[9]
 port 639 nsew signal input
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+rlabel metal2 s 9980 -800 10092 480 0 wbs_dat_o[0]
 port 640 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+rlabel metal2 s 50168 -800 50280 480 0 wbs_dat_o[10]
 port 641 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+rlabel metal2 s 53714 -800 53826 480 0 wbs_dat_o[11]
 port 642 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+rlabel metal2 s 57260 -800 57372 480 0 wbs_dat_o[12]
 port 643 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+rlabel metal2 s 60806 -800 60918 480 0 wbs_dat_o[13]
 port 644 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+rlabel metal2 s 64352 -800 64464 480 0 wbs_dat_o[14]
 port 645 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+rlabel metal2 s 67898 -800 68010 480 0 wbs_dat_o[15]
 port 646 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+rlabel metal2 s 71444 -800 71556 480 0 wbs_dat_o[16]
 port 647 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+rlabel metal2 s 74990 -800 75102 480 0 wbs_dat_o[17]
 port 648 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+rlabel metal2 s 78536 -800 78648 480 0 wbs_dat_o[18]
 port 649 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+rlabel metal2 s 82082 -800 82194 480 0 wbs_dat_o[19]
 port 650 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+rlabel metal2 s 14708 -800 14820 480 0 wbs_dat_o[1]
 port 651 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+rlabel metal2 s 85628 -800 85740 480 0 wbs_dat_o[20]
 port 652 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+rlabel metal2 s 89174 -800 89286 480 0 wbs_dat_o[21]
 port 653 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+rlabel metal2 s 92720 -800 92832 480 0 wbs_dat_o[22]
 port 654 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+rlabel metal2 s 96266 -800 96378 480 0 wbs_dat_o[23]
 port 655 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+rlabel metal2 s 99812 -800 99924 480 0 wbs_dat_o[24]
 port 656 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+rlabel metal2 s 103358 -800 103470 480 0 wbs_dat_o[25]
 port 657 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+rlabel metal2 s 106904 -800 107016 480 0 wbs_dat_o[26]
 port 658 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+rlabel metal2 s 110450 -800 110562 480 0 wbs_dat_o[27]
 port 659 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+rlabel metal2 s 113996 -800 114108 480 0 wbs_dat_o[28]
 port 660 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+rlabel metal2 s 117542 -800 117654 480 0 wbs_dat_o[29]
 port 661 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+rlabel metal2 s 19436 -800 19548 480 0 wbs_dat_o[2]
 port 662 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+rlabel metal2 s 121088 -800 121200 480 0 wbs_dat_o[30]
 port 663 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+rlabel metal2 s 124634 -800 124746 480 0 wbs_dat_o[31]
 port 664 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+rlabel metal2 s 24164 -800 24276 480 0 wbs_dat_o[3]
 port 665 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+rlabel metal2 s 28892 -800 29004 480 0 wbs_dat_o[4]
 port 666 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+rlabel metal2 s 32438 -800 32550 480 0 wbs_dat_o[5]
 port 667 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+rlabel metal2 s 35984 -800 36096 480 0 wbs_dat_o[6]
 port 668 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+rlabel metal2 s 39530 -800 39642 480 0 wbs_dat_o[7]
 port 669 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+rlabel metal2 s 43076 -800 43188 480 0 wbs_dat_o[8]
 port 670 nsew signal tristate
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+rlabel metal2 s 46622 -800 46734 480 0 wbs_dat_o[9]
 port 671 nsew signal tristate
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+rlabel metal2 s 11162 -800 11274 480 0 wbs_sel_i[0]
 port 672 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+rlabel metal2 s 15890 -800 16002 480 0 wbs_sel_i[1]
 port 673 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+rlabel metal2 s 20618 -800 20730 480 0 wbs_sel_i[2]
 port 674 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+rlabel metal2 s 25346 -800 25458 480 0 wbs_sel_i[3]
 port 675 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+rlabel metal2 s 5252 -800 5364 480 0 wbs_stb_i
 port 676 nsew signal input
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+rlabel metal2 s 6434 -800 6546 480 0 wbs_we_i
 port 677 nsew signal input
-flabel metal5 26080 559400 65590 564330 0 FreeSans 16000 0 0 0 GND
-rlabel metal2 56980 508120 57120 660100 1 CTRL1
+rlabel space 26080 559400 65590 564330 0 GND
 rlabel metal2 56720 466080 56860 660460 1 CTRL2
 rlabel metal2 56480 421660 56620 660980 1 CTRL3
 rlabel metal2 56200 378460 56340 661580 1 CTRL4
-rlabel metal2 55960 335220 56100 662500 1 CTRL5
-flabel metal1 14920 668060 15420 682040 0 FreeSans 8000 0 0 0 VCTRL
-flabel metal4 21660 603350 25780 608120 0 FreeSans 8000 0 0 0 REF
+rlabel metal1 14920 668060 15420 682040 0 VCTRL
 rlabel metal3 17070 688210 41870 689330 1 OUT0
 rlabel metal3 43180 688360 70760 689480 1 OUT180
+rlabel metal3 163400 360000 198200 362000 0 txinb
+rlabel metal3 163400 466000 198200 468000 0 txina
+rlabel metal2 56980 508120 57120 660100 1 CTRL1
+rlabel metal4 22200 605800 23800 611800 0 REF
+rlabel metal2 55960 335420 56100 662700 1 CTRL5
+rlabel metal2 148200 430920 148420 435520 0 REF2
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/netgen/user_broken.spice b/netgen/user_broken.spice
new file mode 100644
index 0000000..3ef1e2a
--- /dev/null
+++ b/netgen/user_broken.spice
@@ -0,0 +1,926 @@
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/user_analog_project_wrapper.sch
+.subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
++ wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i<3> wbs_sel_i<2> wbs_sel_i<1> wbs_sel_i<0> wbs_dat_i<31>
++ wbs_dat_i<30> wbs_dat_i<29> wbs_dat_i<28> wbs_dat_i<27> wbs_dat_i<26> wbs_dat_i<25> wbs_dat_i<24> wbs_dat_i<23>
++ wbs_dat_i<22> wbs_dat_i<21> wbs_dat_i<20> wbs_dat_i<19> wbs_dat_i<18> wbs_dat_i<17> wbs_dat_i<16> wbs_dat_i<15>
++ wbs_dat_i<14> wbs_dat_i<13> wbs_dat_i<12> wbs_dat_i<11> wbs_dat_i<10> wbs_dat_i<9> wbs_dat_i<8> wbs_dat_i<7>
++ wbs_dat_i<6> wbs_dat_i<5> wbs_dat_i<4> wbs_dat_i<3> wbs_dat_i<2> wbs_dat_i<1> wbs_dat_i<0> wbs_adr_i<31>
++ wbs_adr_i<30> wbs_adr_i<29> wbs_adr_i<28> wbs_adr_i<27> wbs_adr_i<26> wbs_adr_i<25> wbs_adr_i<24> wbs_adr_i<23>
++ wbs_adr_i<22> wbs_adr_i<21> wbs_adr_i<20> wbs_adr_i<19> wbs_adr_i<18> wbs_adr_i<17> wbs_adr_i<16> wbs_adr_i<15>
++ wbs_adr_i<14> wbs_adr_i<13> wbs_adr_i<12> wbs_adr_i<11> wbs_adr_i<10> wbs_adr_i<9> wbs_adr_i<8> wbs_adr_i<7>
++ wbs_adr_i<6> wbs_adr_i<5> wbs_adr_i<4> wbs_adr_i<3> wbs_adr_i<2> wbs_adr_i<1> wbs_adr_i<0> wbs_ack_o
++ wbs_dat_o<31> wbs_dat_o<30> wbs_dat_o<29> wbs_dat_o<28> wbs_dat_o<27> wbs_dat_o<26> wbs_dat_o<25> wbs_dat_o<24>
++ wbs_dat_o<23> wbs_dat_o<22> wbs_dat_o<21> wbs_dat_o<20> wbs_dat_o<19> wbs_dat_o<18> wbs_dat_o<17> wbs_dat_o<16>
++ wbs_dat_o<15> wbs_dat_o<14> wbs_dat_o<13> wbs_dat_o<12> wbs_dat_o<11> wbs_dat_o<10> wbs_dat_o<9> wbs_dat_o<8>
++ wbs_dat_o<7> wbs_dat_o<6> wbs_dat_o<5> wbs_dat_o<4> wbs_dat_o<3> wbs_dat_o<2> wbs_dat_o<1> wbs_dat_o<0>
++ la_data_in<127> la_data_in<126> la_data_in<125> la_data_in<124> la_data_in<123> la_data_in<122> la_data_in<121>
++ la_data_in<120> la_data_in<119> la_data_in<118> la_data_in<117> la_data_in<116> la_data_in<115> la_data_in<114>
++ la_data_in<113> la_data_in<112> la_data_in<111> la_data_in<110> la_data_in<109> la_data_in<108> la_data_in<107>
++ la_data_in<106> la_data_in<105> la_data_in<104> la_data_in<103> la_data_in<102> la_data_in<101> la_data_in<100>
++ la_data_in<99> la_data_in<98> la_data_in<97> la_data_in<96> la_data_in<95> la_data_in<94> la_data_in<93>
++ la_data_in<92> la_data_in<91> la_data_in<90> la_data_in<89> la_data_in<88> la_data_in<87> la_data_in<86>
++ la_data_in<85> la_data_in<84> la_data_in<83> la_data_in<82> la_data_in<81> la_data_in<80> la_data_in<79>
++ la_data_in<78> la_data_in<77> la_data_in<76> la_data_in<75> la_data_in<74> la_data_in<73> la_data_in<72>
++ la_data_in<71> la_data_in<70> la_data_in<69> la_data_in<68> la_data_in<67> la_data_in<66> la_data_in<65>
++ la_data_in<64> la_data_in<63> la_data_in<62> la_data_in<61> la_data_in<60> la_data_in<59> la_data_in<58>
++ la_data_in<57> la_data_in<56> la_data_in<55> la_data_in<54> la_data_in<53> la_data_in<52> la_data_in<51>
++ la_data_in<50> la_data_in<49> la_data_in<48> la_data_in<47> la_data_in<46> la_data_in<45> la_data_in<44>
++ la_data_in<43> la_data_in<42> la_data_in<41> la_data_in<40> la_data_in<39> la_data_in<38> la_data_in<37>
++ la_data_in<36> la_data_in<35> la_data_in<34> la_data_in<33> la_data_in<32> la_data_in<31> la_data_in<30>
++ la_data_in<29> la_data_in<28> la_data_in<27> la_data_in<26> la_data_in<25> la_data_in<24> la_data_in<23>
++ la_data_in<22> la_data_in<21> la_data_in<20> la_data_in<19> la_data_in<18> la_data_in<17> la_data_in<16>
++ la_data_in<15> la_data_in<14> la_data_in<13> la_data_in<12> la_data_in<11> la_data_in<10> la_data_in<9>
++ la_data_in<8> la_data_in<7> la_data_in<6> la_data_in<5> la_data_in<4> la_data_in<3> la_data_in<2> la_data_in<1>
++ la_data_in<0> la_data_out<127> la_data_out<126> la_data_out<125> la_data_out<124> la_data_out<123>
++ la_data_out<122> la_data_out<121> la_data_out<120> la_data_out<119> la_data_out<118> la_data_out<117>
++ la_data_out<116> la_data_out<115> la_data_out<114> la_data_out<113> la_data_out<112> la_data_out<111>
++ la_data_out<110> la_data_out<109> la_data_out<108> la_data_out<107> la_data_out<106> la_data_out<105>
++ la_data_out<104> la_data_out<103> la_data_out<102> la_data_out<101> la_data_out<100> la_data_out<99> la_data_out<98>
++ la_data_out<97> la_data_out<96> la_data_out<95> la_data_out<94> la_data_out<93> la_data_out<92> la_data_out<91>
++ la_data_out<90> la_data_out<89> la_data_out<88> la_data_out<87> la_data_out<86> la_data_out<85> la_data_out<84>
++ la_data_out<83> la_data_out<82> la_data_out<81> la_data_out<80> la_data_out<79> la_data_out<78> la_data_out<77>
++ la_data_out<76> la_data_out<75> la_data_out<74> la_data_out<73> la_data_out<72> la_data_out<71> la_data_out<70>
++ la_data_out<69> la_data_out<68> la_data_out<67> la_data_out<66> la_data_out<65> la_data_out<64> la_data_out<63>
++ la_data_out<62> la_data_out<61> la_data_out<60> la_data_out<59> la_data_out<58> la_data_out<57> la_data_out<56>
++ la_data_out<55> la_data_out<54> la_data_out<53> la_data_out<52> la_data_out<51> la_data_out<50> la_data_out<49>
++ la_data_out<48> la_data_out<47> la_data_out<46> la_data_out<45> la_data_out<44> la_data_out<43> la_data_out<42>
++ la_data_out<41> la_data_out<40> la_data_out<39> la_data_out<38> la_data_out<37> la_data_out<36> la_data_out<35>
++ la_data_out<34> la_data_out<33> la_data_out<32> la_data_out<31> la_data_out<30> la_data_out<29> la_data_out<28>
++ la_data_out<27> la_data_out<26> la_data_out<25> la_data_out<24> la_data_out<23> la_data_out<22> la_data_out<21>
++ la_data_out<20> la_data_out<19> la_data_out<18> la_data_out<17> la_data_out<16> la_data_out<15> la_data_out<14>
++ la_data_out<13> la_data_out<12> la_data_out<11> la_data_out<10> la_data_out<9> la_data_out<8> la_data_out<7>
++ la_data_out<6> la_data_out<5> la_data_out<4> la_data_out<3> la_data_out<2> la_data_out<1> la_data_out<0> io_in<26>
++ io_in<25> io_in<24> io_in<23> io_in<22> io_in<21> io_in<20> io_in<19> io_in<18> io_in<17> io_in<16> io_in<15>
++ io_in<14> io_in<13> io_in<12> io_in<11> io_in<10> io_in<9> io_in<8> io_in<7> io_in<6> io_in<5> io_in<4>
++ io_in<3> io_in<2> io_in<1> io_in<0> io_in_3v3<26> io_in_3v3<25> io_in_3v3<24> io_in_3v3<23> io_in_3v3<22>
++ io_in_3v3<21> io_in_3v3<20> io_in_3v3<19> io_in_3v3<18> io_in_3v3<17> io_in_3v3<16> io_in_3v3<15> io_in_3v3<14>
++ io_in_3v3<13> io_in_3v3<12> io_in_3v3<11> io_in_3v3<10> io_in_3v3<9> io_in_3v3<8> io_in_3v3<7> io_in_3v3<6>
++ io_in_3v3<5> io_in_3v3<4> io_in_3v3<3> io_in_3v3<2> io_in_3v3<1> io_in_3v3<0> user_clock2 io_out<26> io_out<25>
++ io_out<24> io_out<23> io_out<22> io_out<21> io_out<20> io_out<19> io_out<18> io_out<17> io_out<16> io_out<15>
++ io_out<14> io_out<13> io_out<12> io_out<11> io_out<10> io_out<9> io_out<8> io_out<7> io_out<6> io_out<5>
++ io_out<4> io_out<3> io_out<2> io_out<1> io_out<0> io_oeb<26> io_oeb<25> io_oeb<24> io_oeb<23> io_oeb<22>
++ io_oeb<21> io_oeb<20> io_oeb<19> io_oeb<18> io_oeb<17> io_oeb<16> io_oeb<15> io_oeb<14> io_oeb<13> io_oeb<12>
++ io_oeb<11> io_oeb<10> io_oeb<9> io_oeb<8> io_oeb<7> io_oeb<6> io_oeb<5> io_oeb<4> io_oeb<3> io_oeb<2>
++ io_oeb<1> io_oeb<0> gpio_analog<17> gpio_analog<16> gpio_analog<15> gpio_analog<14> gpio_analog<13>
++ gpio_analog<12> gpio_analog<11> gpio_analog<10> gpio_analog<9> gpio_analog<8> gpio_analog<7> gpio_analog<6>
++ gpio_analog<5> gpio_analog<4> gpio_analog<3> gpio_analog<2> gpio_analog<1> gpio_analog<0> gpio_noesd<17>
++ gpio_noesd<16> gpio_noesd<15> gpio_noesd<14> gpio_noesd<13> gpio_noesd<12> gpio_noesd<11> gpio_noesd<10>
++ gpio_noesd<9> gpio_noesd<8> gpio_noesd<7> gpio_noesd<6> gpio_noesd<5> gpio_noesd<4> gpio_noesd<3> gpio_noesd<2>
++ gpio_noesd<1> gpio_noesd<0> io_analog<10> io_analog<9> io_analog<8> io_analog<7> io_analog<6> io_analog<5>
++ io_analog<4> io_analog<3> io_analog<2> io_analog<1> io_analog<0> io_clamp_high<2> io_clamp_high<1>
++ io_clamp_high<0> io_clamp_low<2> io_clamp_low<1> io_clamp_low<0> user_irq<2> user_irq<1> user_irq<0> la_oenb<127>
++ la_oenb<126> la_oenb<125> la_oenb<124> la_oenb<123> la_oenb<122> la_oenb<121> la_oenb<120> la_oenb<119>
++ la_oenb<118> la_oenb<117> la_oenb<116> la_oenb<115> la_oenb<114> la_oenb<113> la_oenb<112> la_oenb<111>
++ la_oenb<110> la_oenb<109> la_oenb<108> la_oenb<107> la_oenb<106> la_oenb<105> la_oenb<104> la_oenb<103>
++ la_oenb<102> la_oenb<101> la_oenb<100> la_oenb<99> la_oenb<98> la_oenb<97> la_oenb<96> la_oenb<95> la_oenb<94>
++ la_oenb<93> la_oenb<92> la_oenb<91> la_oenb<90> la_oenb<89> la_oenb<88> la_oenb<87> la_oenb<86> la_oenb<85>
++ la_oenb<84> la_oenb<83> la_oenb<82> la_oenb<81> la_oenb<80> la_oenb<79> la_oenb<78> la_oenb<77> la_oenb<76>
++ la_oenb<75> la_oenb<74> la_oenb<73> la_oenb<72> la_oenb<71> la_oenb<70> la_oenb<69> la_oenb<68> la_oenb<67>
++ la_oenb<66> la_oenb<65> la_oenb<64> la_oenb<63> la_oenb<62> la_oenb<61> la_oenb<60> la_oenb<59> la_oenb<58>
++ la_oenb<57> la_oenb<56> la_oenb<55> la_oenb<54> la_oenb<53> la_oenb<52> la_oenb<51> la_oenb<50> la_oenb<49>
++ la_oenb<48> la_oenb<47> la_oenb<46> la_oenb<45> la_oenb<44> la_oenb<43> la_oenb<42> la_oenb<41> la_oenb<40>
++ la_oenb<39> la_oenb<38> la_oenb<37> la_oenb<36> la_oenb<35> la_oenb<34> la_oenb<33> la_oenb<32> la_oenb<31>
++ la_oenb<30> la_oenb<29> la_oenb<28> la_oenb<27> la_oenb<26> la_oenb<25> la_oenb<24> la_oenb<23> la_oenb<22>
++ la_oenb<21> la_oenb<20> la_oenb<19> la_oenb<18> la_oenb<17> la_oenb<16> la_oenb<15> la_oenb<14> la_oenb<13>
++ la_oenb<12> la_oenb<11> la_oenb<10> la_oenb<9> la_oenb<8> la_oenb<7> la_oenb<6> la_oenb<5> la_oenb<4>
++ la_oenb<3> la_oenb<2> la_oenb<1> la_oenb<0>
+*.PININFO vdda1:B vdda2:B vssa1:B vssa2:B vccd1:B vccd2:B vssd1:B vssd2:B wb_clk_i:I wb_rst_i:I
+*+ wbs_stb_i:I wbs_cyc_i:I wbs_we_i:I wbs_sel_i[3:0]:I wbs_dat_i[31:0]:I wbs_adr_i[31:0]:I wbs_ack_o:O
+*+ wbs_dat_o[31:0]:O la_data_in[127:0]:I la_data_out[127:0]:O io_in[26:0]:I io_in_3v3[26:0]:I user_clock2:I
+*+ io_out[26:0]:O io_oeb[26:0]:O gpio_analog[17:0]:B gpio_noesd[17:0]:B io_analog[10:0]:B io_clamp_high[2:0]:B
+*+ io_clamp_low[2:0]:B user_irq[2:0]:O la_oenb[127:0]:I
+X1 REF io_in<15> io_in<16> io_in<17> io_in<18> io_in<19> io_analog<10> vdda2 net2 io_analog<9>
++ io_analog<8> VCO
+X2 gpio_analog<7> vccd2 vssa2 io_analog<6> REF2 REF io_analog<7> REF3 REF4 net11 net12 BGR_lvs
+X3 REF2 io_in<15> io_in<16> io_in<17> io_in<18> io_in<19> io_analog<10> vdda2 vssa2 txina txinb VCO
+X4 txina txinb net9 net10 Tx_line
+.ends
+
+* expanding   symbol:  VCO.sym # of pins=11
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/VCO.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/VCO.sch
+.subckt VCO REF CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 VCTRL VDD GND OUT0 OUT180
+*.PININFO GND:I CTRL1:I CTRL2:I CTRL3:I CTRL4:I CTRL5:I VCTRL:I REF:I VDD:I OUT0:O OUT180:O
+X1 VBIASN1 GND GND VDD net1 net2 net3 net4 net5 net6 net7 net8 core_osc
+X3 GND GND VDD net1 net2 net3 net4 net5 net6 net7 net8 net13 net12 net9 net10 net11 net14 VBIASN1
++ buffer_amp_vop
+X1 VBIASN1 VDD VDD net13 net12 VCTRL GND GND REF bias_calc
+X4 net2 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X5 net1 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X6 net4 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X7 net3 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X8 net6 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X9 net5 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X10 net8 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X11 net7 GND CTRL1 CTRL2 CTRL3 CTRL4 CTRL5 cap_bank
+X2 OUT0 VDD net9 VBIASN1 GND GND net11 OUT180 output_buffer
+XM35 REF REF VDD VDD sky130_fd_pr__pfet_01v8_lvt L=0.35 W=3 nf=3 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  BGR_lvs.sym # of pins=11
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/BGR_lvs.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/BGR_lvs.sch
+.subckt BGR_lvs porst VDD VSS Vbg Iout0 Iout1 Iout2 Iout3 Iout4 Iout5 Iout6
+*.PININFO Iout0:O VDD:B VSS:B Iout1:O Iout2:O porst:I Vbg:O Iout3:O Iout4:O Iout5:O Iout6:O
+XQ2 VSS VSS Va sky130_fd_pr__pnp_05v5_W3p40L3p40 m=1
+XQ1 VSS VSS vbneg sky130_fd_pr__pnp_05v5_W3p40L3p40 m=34 m=1
+XMota_bias_n Vota_bias1 Vota_bias1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=4 W=5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XMota_bias_p Vota_bias1 vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XMfeedback_mir Va vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=28 m=28
+XMfeedback_mir1 Vb vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=28 m=28
+XMfeedback_mir2 Vbg vgate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=28 m=28
+XQ3 VSS VSS vbe3 sky130_fd_pr__pnp_05v5_W3p40L3p40 m=1
+XM11 vd4 vcurrent_gate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=5 m=5
+XM8 voutb2 vcurrent_gate VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=5 m=5
+XM4 voutb1 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM5 voutb2 voutb2 voutb1 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XMinv_n net1 porst VSS VSS sky130_fd_pr__nfet_01v8 L=0.5 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XMinv_p net1 porst VDD VDD sky130_fd_pr__pfet_01v8 L=0.5 W=4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XMinv_n1 net2 net1 VSS VSS sky130_fd_pr__nfet_01v8 L=0.5 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XMinv_p1 net2 net1 VDD VDD sky130_fd_pr__pfet_01v8 L=0.5 W=4 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM15 net3 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM16 Iout0 voutb2 net3 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM17 net4 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM18 Iout1 voutb2 net4 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XC_porst VSS porst_buff sky130_fd_pr__cap_mim_m3_1 W=23 L=5.8 MF=1 m=1
+XM19 net5 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM20 Iout2 voutb2 net5 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM21 net6 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM22 Iout3 voutb2 net6 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM23 net7 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM24 Iout4 voutb2 net7 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM25 net8 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM26 Iout5 voutb2 net8 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XM27 net9 voutb1 VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=8 m=8
+XM28 Iout6 voutb2 net9 VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=8 m=8
+XRref_xhigh net11 VSS VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh1 net12 net11 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh2 net13 net12 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh3 net14 net13 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XRref_xhigh4 vd4 net14 VSS sky130_fd_pr__res_xhigh_po_5p73 L=106 mult=1 m=1
+XR4 vbe3 Vbg VSS sky130_fd_pr__res_high_po_1p41 L=42.39 mult=1 m=1
+XR3 vbneg Vb VSS sky130_fd_pr__res_high_po_1p41 L=8.1 mult=1 m=1
+XR_porst porst_buff net2 VSS sky130_fd_pr__res_high_po_1p41 L=16 mult=1 m=1
+XRref_high1 vd4 net10 VSS sky130_fd_pr__res_high_po_1p41 L=47.5 mult=1 m=1
+XRref_high2 net10 VSS VSS sky130_fd_pr__res_high_po_1p41 L=104 mult=1 m=1
+XM1 VSS VSS VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=512 m=512
+XM2 VSS VSS VSS VSS sky130_fd_pr__nfet_01v8_lvt L=2 W=8 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=512 m=512
+XM3 VDD VDD VDD VDD sky130_fd_pr__pfet_01v8_lvt L=1 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=80 m=80
+XMpdn vgate porst_buff VSS VSS sky130_fd_pr__nfet_01v8 L=0.5 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XQ4 VSS VSS VSS sky130_fd_pr__pnp_05v5_W3p40L3p40 m=14 m=1
+x1 VDD Va Vb vgate Vota_bias1 VSS opamp_realcomp3_usefinger
+x2 VDD Vbg vd4 vcurrent_gate Vota_bias1 VSS opamp_realcomp3_usefinger
+.ends
+
+
+* expanding   symbol:  Tx_line.sym # of pins=4
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/Tx_line.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/Tx_line.sch
+.subckt Tx_line INA INB OUTA OUTB
+*.PININFO INA:I OUTA:O INB:I OUTB:O
+.ends
+
+
+* expanding   symbol:  topLevel.sym # of pins=11
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/topLevel.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/topLevel.sch
+.subckt topLevel
+*.PININFO vss:I Iref:I vref:I vc:I vinp:I vinn:I vin0p:I vin0n:I vout5p:O vout5n:O vdd:I
+XR1 vd11 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR2 vout1p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR3 vd11 vout1p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR6 vout1n vd12 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM1 vd11 vinp vs11 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 vout1p vd11 vs12 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM3 vout1n vd12 vs12 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM4 vd12 vinn vs11 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM5 vs12 vo2 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM6 vs11 vo vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR9 vd21 vout2p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR12 vout2n vd22 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM7 vd21 vout1p vs21 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM8 vout2p vd21 vs22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM10 vout2n vd22 vs22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM11 vd22 vout1n vs21 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM12 vs22 vo22 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM13 vs21 vo21 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR15 vd41 vout4p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR18 vout4n vd42 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM14 vd41 vout3p vs41 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM15 vout4p vd41 vs42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM16 vout4n vd42 vs42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM17 vd42 vout3n vs41 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM18 vs42 vo42 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM19 vs41 vo41 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR27 vd31 vout3p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR30 vout3n vd32 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM26 vd31 vout2p vs31 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM27 vout3p vd31 vs32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM28 vout3n vd32 vs32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM29 vd32 vout2n vs31 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM30 vs32 vo32 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM31 vs31 vo31 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR21 vd51 vout5p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR24 vout5n vd52 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM20 vd51 vout4p vs51 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM21 vout5p vd51 vs52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM22 vout5n vd52 vs52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM23 vd52 vout4n vs51 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM24 vs52 vo52 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM25 vs51 vo51 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR36 vfbn vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR40 vfbp vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XM34 vfbn vout5p vst vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM37 vfbp vout5n vst vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM39 vst Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM41 vd11 vin0p vsoc vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM42 vd12 vin0n vsoc vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM43 vsoc Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=28 m=28
+XM32 vd21 vc vout2p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM33 vout2n vc vd22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM35 vd51 vc vout5p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM36 vout5n vc vd52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM38 vd41 vc vout4p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM40 vout4n vc vd42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM44 vd31 vc vout3p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM45 vout3n vc vd32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM9 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM46 net2 vref net1 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM47 net3 vcm net1 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM48 net1 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM49 vo Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM50 net3 net2 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM51 net2 net2 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM52 vo net3 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XC3 net7 vo sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XM54 net5 vref net4 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM55 net6 vcm2 net4 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM56 net4 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM57 vo2 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM58 net6 net5 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM59 net5 net5 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM60 vo2 net6 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR35 vd11 vcm vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR37 vcm vd12 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR38 vout1p vcm2 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR39 vcm2 vout1n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM62 net10 vref net9 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM63 net11 vcm21 net9 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM64 net9 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM65 vo21 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM66 net11 net10 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM67 net10 net10 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM68 vo21 net11 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM70 net13 vref net12 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM71 net14 vcm22 net12 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM72 net12 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM73 vo22 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM74 net14 net13 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM75 net13 net13 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM76 vo22 net14 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR43 vd21 vcm21 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR44 vcm21 vd22 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR45 vout2p vcm22 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR46 vcm22 vout2n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM78 net18 vref net17 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM79 net19 vcm31 net17 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM80 net17 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM81 vo31 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM82 net19 net18 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM83 net18 net18 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM84 vo31 net19 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM86 net21 vref net20 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM87 net22 vcm32 net20 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM88 net20 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM89 vo32 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM90 net22 net21 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM91 net21 net21 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM92 vo32 net22 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR49 vd31 vcm31 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR50 vcm31 vd32 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR51 vout3p vcm32 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR52 vcm32 vout3n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM94 net26 vref net25 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM95 net27 vcm41 net25 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM96 net25 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM97 vo41 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM98 net27 net26 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM99 net26 net26 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM100 vo41 net27 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM102 net29 vref net28 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM103 net30 vcm42 net28 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM104 net28 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM105 vo42 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM106 net30 net29 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM107 net29 net29 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM108 vo42 net30 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XR55 vd41 vcm41 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR56 vcm41 vd42 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR57 vout4p vcm42 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR58 vcm42 vout4n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM110 net34 vref net33 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM111 net35 vcm51 net33 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM112 net33 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM113 vo51 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM114 net35 net34 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM115 net34 net34 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM116 vo51 net35 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM118 net37 vref net36 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM119 net38 vcm52 net36 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM120 net36 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM121 vo52 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM122 net38 net37 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM123 net37 net37 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM124 vo52 net38 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XR61 vd51 vcm51 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR62 vcm51 vd52 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR63 vout5p vcm52 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR64 vcm52 vout5n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR33 net7 net3 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR34 net8 net6 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR41 net15 net11 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR42 net16 net14 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR47 net23 net19 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR48 net24 net22 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR53 net31 net27 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR54 net32 net30 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR59 net39 net35 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR60 net40 net38 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XM53 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1.28 nf=2 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR31 vin0p vfbn vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR32 vin0n vfbp vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XM61 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=0.64 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2
+XC4 net8 vo2 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC5 net15 vo21 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC6 net16 vo22 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC13 net23 vo31 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC7 net24 vo32 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC8 net31 vo41 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC9 net32 vo42 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC10 net39 vo51 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC11 net40 vo52 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XR4 vout1n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR5 vd12 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR7 vd21 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR8 vout2p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR10 vout2n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR11 vd22 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR13 vd52 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR14 vout5n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR16 vout5p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR17 vd51 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR19 vd42 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR20 vout4n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR22 vout4p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR23 vd41 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR25 vd32 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR26 vout3n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR28 vout3p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR29 vd31 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  core_osc.sym # of pins=12
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/core_osc.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/core_osc.sch
+.subckt core_osc BIAS GND SUB VDD S1A S1B S2A S2B S3A S3B S4A S4B
+*.PININFO VDD:I BIAS:I SUB:I GND:I S1A:O S1B:O S2A:O S2B:O S3A:O S3B:O S4A:O S4B:O
+X1 S4B S4A BIAS VDD GND SUB S1A S1B core_osc_amp
+X2 S1A S1B BIAS VDD GND SUB S2A S2B core_osc_amp
+X3 S2A S2B BIAS VDD GND SUB S3A S3B core_osc_amp
+X4 S3A S3B BIAS VDD GND SUB S4A S4B core_osc_amp
+.ends
+
+
+* expanding   symbol:  buffer_amp_vop.sym # of pins=18
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/buffer_amp_vop.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/buffer_amp_vop.sch
+.subckt buffer_amp_vop GND SUB VDD I1A I1B I2A I2B I3A I3B I4A I4B AMP VOP OUT0 OUT90 OUT180 OUT270
++ BIAS
+*.PININFO BIAS:I GND:I SUB:I I1A:I I1B:I I2A:I I2B:I I3A:I I3B:I I4A:I I4B:I VDD:I AMP:O VOP:O
+*+ OUT0:O OUT180:O OUT90:O OUT270:O
+X2 I2A I2B BIAS VDD GND SUB net1 net1 buffer_amp
+X4 I4A I4B BIAS VDD GND SUB net1 net1 buffer_amp
+X1 I1A I1B BIAS VDD GND SUB OUT0 OUT180 buffer_amp
+X3 I3A I3B BIAS VDD GND SUB OUT90 OUT270 buffer_amp
+X5 OUT180 OUT0 OUT90 OUT270 AMP VDD GND SUB amp_dec
+X6 net1 VDD GND SUB VOP vop_dec
+.ends
+
+
+* expanding   symbol:  bias_calc.sym # of pins=9
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/bias_calc.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/bias_calc.sch
+.subckt bias_calc BIASOUT VDD PSUB AMP VOP VCTRL GND SUB BIAS2V
+*.PININFO AMP:I VOP:I VCTRL:I BIAS2V:I VDD:I PSUB:I SUB:I GND:I BIASOUT:O
+XM29 net1 BIAS2V VDD PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=15 nf=15 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM30 net3 VOP net1 PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM31 net2 AMP net1 PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM36 net4 BIAS2V VDD PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=15 nf=15 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM37 net5 VCTRL net4 PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=30 nf=30 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM38 BIASOUT net3 net4 PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=30 nf=30 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM39 net5 net5 GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM40 BIASOUT net5 GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR19 GND net2 SUB sky130_fd_pr__res_high_po_2p85 L=7.6 mult=1 m=1
+XR20 GND net3 SUB sky130_fd_pr__res_high_po_2p85 L=11.4 mult=1 m=1
+XM1 net1 BIAS2V VDD PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=15 nf=15 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 net4 BIAS2V VDD PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=15 nf=15 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM3 net4 BIAS2V VDD PSUB sky130_fd_pr__pfet_01v8_lvt L=0.35 W=15 nf=15 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  cap_bank.sym # of pins=7
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/cap_bank.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/cap_bank.sch
+.subckt cap_bank IN GND ctrll1 ctrll2 ctrll3 ctrll4 ctrll5
+*.PININFO IN:I GND:I ctrll1:I ctrll2:I ctrll3:I ctrll4:I ctrll5:I
+XC6 IN net1 sky130_fd_pr__cap_mim_m3_2 W=2 L=2 MF=1 m=1
+XC1 IN net5 sky130_fd_pr__cap_mim_m3_2 W=2 L=2 MF=1 m=1
+XC2 IN net4 sky130_fd_pr__cap_mim_m3_2 W=3 L=2 MF=1 m=1
+XC3 IN net3 sky130_fd_pr__cap_mim_m3_2 W=3 L=4 MF=1 m=1
+XC4 IN net2 sky130_fd_pr__cap_mim_m3_2 W=6 L=4 MF=1 m=1
+XM1 net1 ctrll1 GND GND sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 net5 ctrll2 GND GND sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM3 net4 ctrll3 GND GND sky130_fd_pr__nfet_01v8_lvt L=0.15 W=2 nf=2 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM4 net3 ctrll4 GND GND sky130_fd_pr__nfet_01v8_lvt L=0.15 W=3 nf=3 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM5 net2 ctrll5 GND GND sky130_fd_pr__nfet_01v8_lvt L=0.15 W=6 nf=6 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  output_buffer.sym # of pins=8
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/output_buffer.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/output_buffer.sch
+.subckt output_buffer OUTA VDD INA BIAS GND SUB INB OUTB
+*.PININFO SUB:I GND:I INA:I BIAS:I VDD:I OUTA:O OUTB:O INB:I
+XM42 net2 INA net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM43 net3 INB net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XR1 net2 VDD SUB sky130_fd_pr__res_high_po_2p85 L=7.6 mult=1 m=1
+XR2 net3 VDD SUB sky130_fd_pr__res_high_po_2p85 L=7.6 mult=1 m=1
+XM32 OUTA net2 net4 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=20 nf=20 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM33 OUTB net3 net4 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=20 nf=20 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM1 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=20 nf=20 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=20 nf=20 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM3 net4 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=60 nf=60 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM4 net4 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=60 nf=60 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR29 OUTA VDD SUB sky130_fd_pr__res_high_po_5p73 L=16.4 mult=1 m=1
+XR3 OUTB VDD SUB sky130_fd_pr__res_high_po_5p73 L=16.4 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  opamp_realcomp3_usefinger.sym # of pins=6
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/opamp_realcomp3_usefinger.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/opamp_realcomp3_usefinger.sch
+.subckt opamp_realcomp3_usefinger vdd in_n in_p out bias_0p7 vss
+*.PININFO vdd:B vss:B in_n:I in_p:I out:O bias_0p7:I
+XM_diff_n ppair_gate in_n diffpair_source vss sky130_fd_pr__nfet_01v8_lvt L=1 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_diff_n1 first_stage_out in_p diffpair_source vss sky130_fd_pr__nfet_01v8_lvt L=1 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_tail diffpair_source bias_0p7 vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=10 nf=5 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2
+XM_actload out bias_0p7 vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=15 nf=5 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_ppair_p first_stage_out ppair_gate vdd vdd sky130_fd_pr__pfet_01v8_lvt L=1 W=8 nf=4 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_ppair_p1 ppair_gate ppair_gate vdd vdd sky130_fd_pr__pfet_01v8_lvt L=1 W=8 nf=4 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XM_cs out first_stage_out vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=84 nf=14 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=4 m=4
+XC1 first_stage_out net1 sky130_fd_pr__cap_mim_m3_1 W=16 L=21.4 MF=1 m=1
+XR1 net1 out vss sky130_fd_pr__res_high_po_2p85 L=12.1 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  core_osc_amp.sym # of pins=8
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/core_osc_amp.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/core_osc_amp.sch
+.subckt core_osc_amp INA INB BIAS VDD GND SUB OUTA OUTB
+*.PININFO INA:I INB:I BIAS:I GND:I VDD:I SUB:I OUTA:O OUTB:O
+XM2 OUTA INA net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM3 OUTB INB net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM1 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=20 nf=20 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR16 OUTA VDD SUB sky130_fd_pr__res_high_po_2p85 L=7.6 mult=1 m=1
+XR17 OUTB VDD SUB sky130_fd_pr__res_high_po_2p85 L=7.6 mult=1 m=1
+XM4 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=20 nf=20 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  buffer_amp.sym # of pins=8
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/buffer_amp.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/buffer_amp.sch
+.subckt buffer_amp INA INB BIAS VDD GND SUB OUTA OUTB
+*.PININFO INA:I INB:I BIAS:I GND:I VDD:I SUB:I OUTA:O OUTB:O
+XM1 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 OUTB INB net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM3 OUTA INA net1 SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XR2 OUTB VDD SUB sky130_fd_pr__res_high_po_5p73 L=30.4 mult=1 m=1
+XR1 OUTA VDD SUB sky130_fd_pr__res_high_po_5p73 L=30.4 mult=1 m=1
+XM4 net1 BIAS GND SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=10 nf=10 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  amp_dec.sym # of pins=8
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/amp_dec.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/amp_dec.sch
+.subckt amp_dec IN1 IN2 IN3 IN4 AMP VDD GND SUB
+*.PININFO VDD:I SUB:I GND:I IN1:I IN2:I IN3:I IN4:I AMP:O
+XM25 VDD IN1 AMP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XC1 AMP GND sky130_fd_pr__cap_mim_m3_1 W=20 L=30 MF=1 m=1
+XM26 VDD IN2 AMP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM27 VDD IN3 AMP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM28 VDD IN4 AMP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=4 nf=4 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XR18 GND AMP SUB sky130_fd_pr__res_high_po_2p85 L=42 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  vop_dec.sym # of pins=5
+** sym_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/vop_dec.sym
+** sch_path: /home/milkso4/Desktop/designs/sloci_caravel/xschem/vop_dec.sch
+.subckt vop_dec IN VDD GND SUB VOP
+*.PININFO IN:I VDD:I GND:I SUB:I VOP:O
+XM41 VDD IN VOP SUB sky130_fd_pr__nfet_01v8_lvt L=0.15 W=12 nf=12 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XC2 IN GND sky130_fd_pr__cap_mim_m3_1 W=20 L=30 MF=1 m=1
+XR21 GND VOP SUB sky130_fd_pr__res_high_po_2p85 L=42 mult=1 m=1
+.ends
+
+.end
diff --git a/verilog/rtl/user_defines.v b/verilog/rtl/user_defines.v
new file mode 100644
index 0000000..5eb6435
--- /dev/null
+++ b/verilog/rtl/user_defines.v
@@ -0,0 +1,92 @@
+// SPDX-FileCopyrightText: 2022 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+`default_nettype none
+
+`ifndef __USER_DEFINES_H
+// User GPIO initial configuration parameters
+`define __USER_DEFINES_H
+
+// deliberately erroneous placeholder value; user required to config GPIO's to other
+`define GPIO_MODE_INVALID                  13'hXXXX
+
+// Authoritive source of these MODE defs is: caravel/verilog/rtl/user_defines.v
+// Useful GPIO mode values.  These match the names used in defs.h.
+//
+`define GPIO_MODE_MGMT_STD_INPUT_NOPULL    13'h0403
+`define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN  13'h0c01
+`define GPIO_MODE_MGMT_STD_INPUT_PULLUP    13'h0801
+`define GPIO_MODE_MGMT_STD_OUTPUT          13'h1809
+`define GPIO_MODE_MGMT_STD_BIDIRECTIONAL   13'h1801
+`define GPIO_MODE_MGMT_STD_ANALOG          13'h000b
+
+`define GPIO_MODE_USER_STD_INPUT_NOPULL    13'h0402
+`define GPIO_MODE_USER_STD_INPUT_PULLDOWN  13'h0c00
+`define GPIO_MODE_USER_STD_INPUT_PULLUP    13'h0800
+`define GPIO_MODE_USER_STD_OUTPUT          13'h1808
+`define GPIO_MODE_USER_STD_BIDIRECTIONAL   13'h1800
+`define GPIO_MODE_USER_STD_OUT_MONITORED   13'h1802
+`define GPIO_MODE_USER_STD_ANALOG          13'h000a
+
+// The power-on configuration for GPIO 0 to 4 is fixed and cannot be
+// modified (allowing the SPI and debug to always be accessible unless
+// overridden by a flash program).
+
+// The values below can be any of the standard types defined above,
+// or they can be any 13-bit value if the user wants a non-standard
+// startup state for the GPIO.  By default, every GPIO from 5 to 37
+// is set to power up as an input controlled by the management SoC.
+// Users may want to redefine these so that the user project powers
+// up in a state that can be used immediately without depending on
+// the management SoC to run a startup program to configure the GPIOs.
+
+`define USER_CONFIG_GPIO_5_INIT  `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_6_INIT  `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_7_INIT  `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_8_INIT  `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_9_INIT  `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_10_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_11_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_12_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_13_INIT `GPIO_MODE_USER_STD_ANALOG
+
+// Configurations of GPIO 14 to 24 are used on caravel but not caravan.
+`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_15_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_16_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_17_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_18_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_19_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_20_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_21_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_22_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_23_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_24_INIT `GPIO_MODE_USER_STD_ANALOG
+
+`define USER_CONFIG_GPIO_25_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_26_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_27_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_28_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_29_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_30_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_31_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_32_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_33_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_34_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_35_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_36_INIT `GPIO_MODE_USER_STD_ANALOG
+`define USER_CONFIG_GPIO_37_INIT `GPIO_MODE_USER_STD_ANALOG
+
+`endif // __USER_DEFINES_H
diff --git a/vop_dec.mag b/vop_dec.mag
deleted file mode 100644
index a849c80..0000000
--- a/vop_dec.mag
+++ /dev/null
@@ -1,185 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1662405622
-<< locali >>
-rect 6430 9730 6730 9770
-rect 6430 8350 6730 8390
-<< metal1 >>
-rect 5770 9810 6340 9830
-rect 5770 9420 5780 9810
-rect 6320 9420 6340 9810
-rect 5770 9400 6340 9420
-rect 6780 8400 6840 9670
-rect 6870 9610 7000 9670
-rect 7060 9610 7070 9670
-rect 6990 9600 7070 9610
-rect 6870 9510 6880 9570
-rect 6940 9520 7070 9570
-rect 6940 9510 6950 9520
-rect 6870 9500 6950 9510
-rect 6990 9470 7000 9480
-rect 6870 9420 7000 9470
-rect 7060 9420 7070 9480
-rect 6990 9410 7070 9420
-rect 6870 9320 6880 9380
-rect 6940 9320 7070 9380
-rect 6870 9310 6950 9320
-rect 6990 9280 7000 9290
-rect 6870 9230 7000 9280
-rect 7060 9230 7070 9290
-rect 6990 9220 7070 9230
-rect 6870 9130 6880 9190
-rect 6940 9180 6950 9190
-rect 6940 9130 7070 9180
-rect 6870 9120 6950 9130
-rect 6870 9040 7000 9090
-rect 6990 9030 7000 9040
-rect 7060 9030 7070 9090
-rect 6990 9020 7070 9030
-rect 6870 8940 6880 9000
-rect 6940 8990 6950 9000
-rect 6940 8940 7070 8990
-rect 6870 8930 6950 8940
-rect 6870 8850 7000 8900
-rect 6990 8840 7000 8850
-rect 7060 8840 7070 8900
-rect 6990 8830 7070 8840
-rect 6870 8750 6880 8810
-rect 6940 8800 6950 8810
-rect 6940 8750 7070 8800
-rect 6870 8740 6950 8750
-rect 6990 8700 7000 8710
-rect 6870 8650 7000 8700
-rect 7060 8650 7070 8710
-rect 6990 8640 7070 8650
-rect 6870 8550 6880 8610
-rect 6940 8560 7070 8610
-rect 6940 8550 6950 8560
-rect 6870 8540 6950 8550
-rect 6870 8460 7000 8510
-rect 6990 8450 7000 8460
-rect 7060 8450 7070 8510
-rect 6990 8440 7070 8450
-rect 7100 8400 7160 9680
-rect 7420 8410 7860 8420
-rect 7420 8400 7440 8410
-rect 6780 8340 7440 8400
-rect 7420 8320 7440 8340
-rect 7840 8320 7860 8410
-rect 7420 8310 7860 8320
-rect 5720 1000 6380 1040
-rect 5720 560 5760 1000
-rect 6340 560 6380 1000
-rect 5720 520 6380 560
-<< via1 >>
-rect 5780 9420 6320 9810
-rect 7000 9610 7060 9670
-rect 6880 9510 6940 9570
-rect 7000 9420 7060 9480
-rect 6880 9320 6940 9380
-rect 7000 9230 7060 9290
-rect 6880 9130 6940 9190
-rect 7000 9030 7060 9090
-rect 6880 8940 6940 9000
-rect 7000 8840 7060 8900
-rect 6880 8750 6940 8810
-rect 7000 8650 7060 8710
-rect 6880 8550 6940 8610
-rect 7000 8450 7060 8510
-rect 7440 8320 7840 8410
-rect 5760 560 6340 1000
-<< metal2 >>
-rect 5600 9810 6950 9830
-rect 5600 9420 5780 9810
-rect 6320 9570 6950 9810
-rect 6320 9510 6880 9570
-rect 6940 9510 6950 9570
-rect 6320 9420 6950 9510
-rect 5600 9400 6950 9420
-rect 6650 9380 6950 9400
-rect 6650 9320 6880 9380
-rect 6940 9320 6950 9380
-rect 6650 9190 6950 9320
-rect 6650 9130 6880 9190
-rect 6940 9130 6950 9190
-rect 6650 9000 6950 9130
-rect 6650 8940 6880 9000
-rect 6940 8940 6950 9000
-rect 6650 8810 6950 8940
-rect 6650 8750 6880 8810
-rect 6940 8750 6950 8810
-rect 6650 8610 6950 8750
-rect 6650 8550 6880 8610
-rect 6940 8550 6950 8610
-rect 6650 8430 6950 8550
-rect 6990 9670 7280 9990
-rect 6990 9610 7000 9670
-rect 7060 9610 7280 9670
-rect 6990 9480 7280 9610
-rect 6990 9420 7000 9480
-rect 7060 9420 7280 9480
-rect 6990 9290 7280 9420
-rect 6990 9230 7000 9290
-rect 7060 9230 7280 9290
-rect 6990 9090 7280 9230
-rect 6990 9030 7000 9090
-rect 7060 9030 7280 9090
-rect 6990 8900 7280 9030
-rect 6990 8840 7000 8900
-rect 7060 8840 7280 8900
-rect 6990 8710 7280 8840
-rect 6990 8650 7000 8710
-rect 7060 8650 7280 8710
-rect 6990 8510 7280 8650
-rect 6990 8450 7000 8510
-rect 7060 8450 7280 8510
-rect 6990 8430 7280 8450
-rect 7420 8410 7860 8420
-rect 7420 8320 7440 8410
-rect 7840 8320 7860 8410
-rect 7420 8310 7860 8320
-rect 5600 1000 6380 1040
-rect 5600 560 5760 1000
-rect 6340 560 6380 1000
-rect 5600 400 6380 560
-<< via2 >>
-rect 7460 8320 7820 8410
-rect 5760 560 6340 1000
-<< metal3 >>
-rect 7440 8410 7840 9990
-rect 7440 8320 7460 8410
-rect 7820 8320 7840 8410
-rect 7440 6920 7840 8320
-rect 7440 6760 7460 6920
-rect 7820 6760 7840 6920
-rect 7440 6740 7840 6760
-rect 5720 1000 6660 1040
-rect 5720 560 5760 1000
-rect 6340 560 6660 1000
-rect 5720 520 6660 560
-<< via3 >>
-rect 7460 6760 7820 6920
-<< metal4 >>
-rect 7440 6920 7840 6940
-rect 7440 6760 7460 6920
-rect 7820 6760 7840 6920
-rect 7440 6080 7840 6760
-use sky130_fd_pr__cap_mim_m3_1_4RCNTW  XC2 /foss/designs/layout/backup/1.7_10G
-timestamp 1662404926
-transform 1 0 8750 0 1 3500
-box -2150 -3100 2149 3100
-use sky130_fd_pr__nfet_01v8_lvt_6BNFGK  XM41 /foss/designs/layout/backup/1.7_10G
-timestamp 1662404926
-transform 0 1 6970 -1 0 9063
-box -743 -310 743 310
-use sky130_fd_pr__res_high_po_2p85_MXEQGY  XR21 /foss/designs/layout/backup/1.7_10G
-timestamp 1662404926
-transform 1 0 6051 0 1 5198
-box -451 -4798 451 4798
-<< labels >>
-rlabel metal2 5600 400 5760 1040 1 GND
-rlabel metal2 5600 9400 5780 9830 1 VOP
-rlabel metal2 6990 9680 7280 9990 1 VDD
-rlabel metal3 7440 8410 7840 9990 1 IN
-<< end >>
diff --git a/xschem/Tx_line.sch b/xschem/Tx_line.sch
new file mode 100644
index 0000000..50ead79
--- /dev/null
+++ b/xschem/Tx_line.sch
@@ -0,0 +1,15 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 90 -200 190 -200 {}
+N 90 -130 200 -130 {}
+C {ipin.sym} 90 -200 0 0 {name=p1 lab=INA
+}
+C {opin.sym} 190 -200 0 0 {name=p2 lab=OUTA
+}
+C {ipin.sym} 90 -130 0 0 {name=p3 lab=INB
+}
+C {opin.sym} 190 -130 0 0 {name=p4 lab=OUTB}
diff --git a/xschem/Tx_line.sym b/xschem/Tx_line.sym
new file mode 100644
index 0000000..666c5d0
--- /dev/null
+++ b/xschem/Tx_line.sym
@@ -0,0 +1,32 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=X1"}
+V {}
+S {}
+E {}
+L 4 180 -100 200 -100 {}
+L 4 80 -120 80 -60 {}
+L 4 80 -60 180 -60 {}
+L 4 180 -120 180 -60 {}
+L 4 80 -120 180 -120 {}
+L 4 80 -100 180 -100 {}
+L 4 80 -80 180 -80 {}
+L 4 50 -100 80 -100 {}
+L 4 50 -80 200 -80 {}
+L 4 50 -160 70 -160 {}
+L 4 60 -160 60 -130 {}
+L 4 50 -130 70 -130 {}
+L 4 180 -160 180 -130 {}
+L 4 180 -130 200 -130 {}
+L 4 200 -160 200 -130 {}
+L 4 180 -160 200 -160 {}
+B 5 47.5 -102.5 52.5 -97.5 {name=INA
+dir=in}
+B 5 47.5 -82.5 52.5 -77.5 {name=INB
+dir=in}
+B 5 197.5 -102.5 202.5 -97.5 {name=OUTA
+dir=out}
+B 5 197.5 -82.5 202.5 -77.5 {name=OUTB
+dir=out}
diff --git a/xschem/topLevel.sch b/xschem/topLevel.sch
new file mode 100755
index 0000000..64dae0a
--- /dev/null
+++ b/xschem/topLevel.sch
@@ -0,0 +1,4063 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+T {10u} -930 -1890 0 0 0.4 0.4 {}
+T {10u} -1140 -1890 0 0 0.4 0.4 {}
+T {100u} -730 -1890 0 0 0.4 0.4 {}
+T {10u} -930 -1410 0 0 0.4 0.4 {}
+T {10u} -1140 -1410 0 0 0.4 0.4 {}
+T {100u} -730 -1410 0 0 0.4 0.4 {}
+T {10u} 560 -1890 0 0 0.4 0.4 {}
+T {10u} 350 -1890 0 0 0.4 0.4 {}
+T {100u} 760 -1890 0 0 0.4 0.4 {}
+T {10u} 560 -1410 0 0 0.4 0.4 {}
+T {10u} 350 -1410 0 0 0.4 0.4 {}
+T {100u} 760 -1410 0 0 0.4 0.4 {}
+T {10u} -2100 1470 0 0 0.4 0.4 {}
+T {10u} -2310 1470 0 0 0.4 0.4 {}
+T {100u} -1900 1470 0 0 0.4 0.4 {}
+T {10u} -2100 1950 0 0 0.4 0.4 {}
+T {10u} -2310 1950 0 0 0.4 0.4 {}
+T {100u} -1900 1950 0 0 0.4 0.4 {}
+T {10u} -690 1490 0 0 0.4 0.4 {}
+T {10u} -900 1490 0 0 0.4 0.4 {}
+T {100u} -490 1490 0 0 0.4 0.4 {}
+T {10u} -690 1970 0 0 0.4 0.4 {}
+T {10u} -900 1970 0 0 0.4 0.4 {}
+T {100u} -490 1970 0 0 0.4 0.4 {}
+T {10u} 690 1460 0 0 0.4 0.4 {}
+T {10u} 480 1460 0 0 0.4 0.4 {}
+T {100u} 890 1460 0 0 0.4 0.4 {}
+T {10u} 690 1940 0 0 0.4 0.4 {}
+T {10u} 480 1940 0 0 0.4 0.4 {}
+T {100u} 890 1940 0 0 0.4 0.4 {}
+N -1410 -470 -1410 -450 {
+lab=vd11}
+N -1410 -450 -1320 -450 {
+lab=vd11}
+N -1260 -450 -1180 -450 {
+lab=vout1p}
+N -1180 -470 -1180 -450 {
+lab=vout1p}
+N -1410 -570 -1410 -530 {
+lab=vdd}
+N -1410 -570 -1180 -570 {
+lab=vdd}
+N -1180 -570 -1180 -530 {
+lab=vdd}
+N -900 -570 -900 -520 {
+lab=vdd}
+N -900 -570 -670 -570 {
+lab=vdd}
+N -670 -570 -670 -520 {
+lab=vdd}
+N -670 -460 -670 -440 {
+lab=vd12}
+N -750 -440 -670 -440 {
+lab=vd12}
+N -900 -440 -810 -440 {
+lab=vout1n}
+N -900 -460 -900 -440 {
+lab=vout1n}
+N -1300 -630 -1300 -570 {
+lab=vdd}
+N -1300 -630 -770 -630 {
+lab=vdd}
+N -770 -630 -770 -570 {
+lab=vdd}
+N -1410 -450 -1410 -190 {
+lab=vd11}
+N -1180 -450 -1180 -300 {
+lab=vout1p}
+N -1410 -270 -1220 -270 {
+lab=vd11}
+N -900 -440 -900 -300 {
+lab=vout1n}
+N -670 -440 -670 -210 {
+lab=vd12}
+N -1180 -240 -1180 -190 {
+lab=vs12}
+N -1180 -180 -1050 -180 {
+lab=vs12}
+N -1180 -190 -1180 -180 {
+lab=vs12}
+N -1050 -180 -900 -180 {
+lab=vs12}
+N -900 -240 -900 -180 {
+lab=vs12}
+N -1410 -130 -1410 20 {
+lab=vs11}
+N -1410 30 -1050 30 {
+lab=vs11}
+N -1410 20 -1410 30 {
+lab=vs11}
+N -1050 30 -670 30 {
+lab=vs11}
+N -670 -150 -670 30 {
+lab=vs11}
+N -860 -270 -670 -270 {
+lab=vd12}
+N -1570 -160 -1450 -160 {
+lab=vinp}
+N -630 -180 -510 -180 {
+lab=vinn}
+N -1520 -730 -1120 -730 {
+lab=vdd}
+N -1120 -730 -1120 -630 {
+lab=vdd}
+N -1200 60 -1090 60 {
+lab=vo}
+N -1050 90 -1050 120 {
+lab=vss}
+N -1050 -120 -1050 -90 {
+lab=vss}
+N -1180 -150 -1090 -150 {
+lab=vo2}
+N -1050 60 -980 60 {
+lab=vss}
+N -720 -180 -670 -180 {
+lab=vss}
+N -1410 -160 -1340 -160 {
+lab=vss}
+N -1050 -150 -990 -150 {
+lab=vss}
+N -990 -150 -990 -110 {
+lab=vss}
+N -1050 -110 -990 -110 {
+lab=vss}
+N -950 -270 -900 -270 {
+lab=vss}
+N -1180 -270 -1150 -270 {
+lab=vss}
+N -1440 -500 -1430 -500 {
+lab=vss}
+N -1290 -480 -1250 -480 {
+lab=vss}
+N -1290 -480 -1290 -470 {
+lab=vss}
+N -1250 -500 -1250 -480 {
+lab=vss}
+N -1250 -500 -1200 -500 {
+lab=vss}
+N -940 -490 -920 -490 {
+lab=vss}
+N -780 -470 -780 -460 {
+lab=vss}
+N -780 -470 -730 -470 {
+lab=vss}
+N -730 -490 -730 -470 {
+lab=vss}
+N -730 -490 -690 -490 {
+lab=vss}
+N -980 60 -980 110 {
+lab=vss}
+N -1050 110 -980 110 {
+lab=vss}
+N -1050 -270 -950 -270 {
+lab=vss}
+N -1050 -270 -1050 -230 {
+lab=vss}
+N -1150 -270 -1050 -270 {
+lab=vss}
+N -120 -490 -120 -470 {
+lab=vd21}
+N -120 -470 -30 -470 {
+lab=vd21}
+N 30 -470 110 -470 {
+lab=vout2p}
+N 110 -490 110 -470 {
+lab=vout2p}
+N -120 -590 -120 -550 {
+lab=vdd}
+N -120 -590 110 -590 {
+lab=vdd}
+N 110 -590 110 -550 {
+lab=vdd}
+N 390 -590 390 -540 {
+lab=vdd}
+N 390 -590 620 -590 {
+lab=vdd}
+N 620 -590 620 -540 {
+lab=vdd}
+N 620 -480 620 -460 {
+lab=vd22}
+N 540 -460 620 -460 {
+lab=vd22}
+N 390 -460 480 -460 {
+lab=vout2n}
+N 390 -480 390 -460 {
+lab=vout2n}
+N -10 -650 -10 -590 {
+lab=vdd}
+N -10 -650 520 -650 {
+lab=vdd}
+N 520 -650 520 -590 {
+lab=vdd}
+N -120 -470 -120 -210 {
+lab=vd21}
+N 110 -470 110 -320 {
+lab=vout2p}
+N -120 -290 70 -290 {
+lab=vd21}
+N 390 -460 390 -320 {
+lab=vout2n}
+N 620 -460 620 -230 {
+lab=vd22}
+N 110 -260 110 -210 {
+lab=vs22}
+N 110 -200 240 -200 {
+lab=vs22}
+N 110 -210 110 -200 {
+lab=vs22}
+N 240 -200 390 -200 {
+lab=vs22}
+N 390 -260 390 -200 {
+lab=vs22}
+N -120 -150 -120 0 {
+lab=vs21}
+N -120 10 240 10 {
+lab=vs21}
+N -120 0 -120 10 {
+lab=vs21}
+N 240 10 620 10 {
+lab=vs21}
+N 620 -170 620 10 {
+lab=vs21}
+N 430 -290 620 -290 {
+lab=vd22}
+N -280 -180 -160 -180 {
+lab=vout1p}
+N 660 -200 780 -200 {
+lab=vout1n}
+N -230 -750 170 -750 {
+lab=vdd}
+N 170 -750 170 -650 {
+lab=vdd}
+N 90 40 200 40 {
+lab=vo21}
+N 240 70 240 100 {
+lab=vss}
+N 240 -140 240 -110 {
+lab=vss}
+N 110 -170 200 -170 {
+lab=vo22}
+N 240 40 310 40 {
+lab=vss}
+N 570 -200 620 -200 {
+lab=vss}
+N -120 -180 -50 -180 {
+lab=vss}
+N 240 -170 300 -170 {
+lab=vss}
+N 300 -170 300 -130 {
+lab=vss}
+N 240 -130 300 -130 {
+lab=vss}
+N 340 -290 390 -290 {
+lab=vss}
+N 110 -290 140 -290 {
+lab=vss}
+N -150 -520 -140 -520 {
+lab=vss}
+N 0 -500 40 -500 {
+lab=vss}
+N 0 -500 0 -490 {
+lab=vss}
+N 40 -520 40 -500 {
+lab=vss}
+N 40 -520 90 -520 {
+lab=vss}
+N 350 -510 370 -510 {
+lab=vss}
+N 510 -490 510 -480 {
+lab=vss}
+N 510 -490 560 -490 {
+lab=vss}
+N 560 -510 560 -490 {
+lab=vss}
+N 560 -510 600 -510 {
+lab=vss}
+N 310 40 310 90 {
+lab=vss}
+N 240 90 310 90 {
+lab=vss}
+N 240 -290 340 -290 {
+lab=vss}
+N 240 -290 240 -250 {
+lab=vss}
+N 140 -290 240 -290 {
+lab=vss}
+N -1410 580 -1410 600 {
+lab=vd41}
+N -1410 600 -1320 600 {
+lab=vd41}
+N -1260 600 -1180 600 {
+lab=vout4p}
+N -1180 580 -1180 600 {
+lab=vout4p}
+N -1410 480 -1410 520 {
+lab=vdd}
+N -1410 480 -1180 480 {
+lab=vdd}
+N -1180 480 -1180 520 {
+lab=vdd}
+N -900 480 -900 530 {
+lab=vdd}
+N -900 480 -670 480 {
+lab=vdd}
+N -670 480 -670 530 {
+lab=vdd}
+N -670 590 -670 610 {
+lab=vd42}
+N -750 610 -670 610 {
+lab=vd42}
+N -900 610 -810 610 {
+lab=vout4n}
+N -900 590 -900 610 {
+lab=vout4n}
+N -1300 420 -1300 480 {
+lab=vdd}
+N -1300 420 -770 420 {
+lab=vdd}
+N -770 420 -770 480 {
+lab=vdd}
+N -1410 600 -1410 860 {
+lab=vd41}
+N -1180 600 -1180 750 {
+lab=vout4p}
+N -1410 780 -1220 780 {
+lab=vd41}
+N -900 610 -900 750 {
+lab=vout4n}
+N -670 610 -670 840 {
+lab=vd42}
+N -1180 810 -1180 860 {
+lab=vs42}
+N -1180 870 -1050 870 {
+lab=vs42}
+N -1180 860 -1180 870 {
+lab=vs42}
+N -1050 870 -900 870 {
+lab=vs42}
+N -900 810 -900 870 {
+lab=vs42}
+N -1410 920 -1410 1070 {
+lab=vs41}
+N -1410 1080 -1050 1080 {
+lab=vs41}
+N -1410 1070 -1410 1080 {
+lab=vs41}
+N -1050 1080 -670 1080 {
+lab=vs41}
+N -670 900 -670 1080 {
+lab=vs41}
+N -860 780 -670 780 {
+lab=vd42}
+N -1570 890 -1450 890 {
+lab=vout3p}
+N -630 870 -510 870 {
+lab=vout3n}
+N -1520 320 -1120 320 {
+lab=vdd}
+N -1120 320 -1120 420 {
+lab=vdd}
+N -1200 1110 -1090 1110 {
+lab=vo41}
+N -1050 1140 -1050 1170 {
+lab=vss}
+N -1050 930 -1050 960 {
+lab=vss}
+N -1180 900 -1090 900 {
+lab=vo42}
+N -1050 1110 -980 1110 {
+lab=vss}
+N -720 870 -670 870 {
+lab=vss}
+N -1410 890 -1340 890 {
+lab=vss}
+N -1050 900 -990 900 {
+lab=vss}
+N -990 900 -990 940 {
+lab=vss}
+N -1050 940 -990 940 {
+lab=vss}
+N -950 780 -900 780 {
+lab=vss}
+N -1180 780 -1150 780 {
+lab=vss}
+N -1440 550 -1430 550 {
+lab=vss}
+N -1290 570 -1250 570 {
+lab=vss}
+N -1290 570 -1290 580 {
+lab=vss}
+N -1250 550 -1250 570 {
+lab=vss}
+N -1250 550 -1200 550 {
+lab=vss}
+N -940 560 -920 560 {
+lab=vss}
+N -780 580 -780 590 {
+lab=vss}
+N -780 580 -730 580 {
+lab=vss}
+N -730 560 -730 580 {
+lab=vss}
+N -730 560 -690 560 {
+lab=vss}
+N -980 1110 -980 1160 {
+lab=vss}
+N -1050 1160 -980 1160 {
+lab=vss}
+N -1050 780 -950 780 {
+lab=vss}
+N -1050 780 -1050 820 {
+lab=vss}
+N -1150 780 -1050 780 {
+lab=vss}
+N -2580 590 -2580 610 {
+lab=vd31}
+N -2580 610 -2490 610 {
+lab=vd31}
+N -2430 610 -2350 610 {
+lab=vout3p}
+N -2350 590 -2350 610 {
+lab=vout3p}
+N -2580 490 -2580 530 {
+lab=vdd}
+N -2580 490 -2350 490 {
+lab=vdd}
+N -2350 490 -2350 530 {
+lab=vdd}
+N -2070 490 -2070 540 {
+lab=vdd}
+N -2070 490 -1840 490 {
+lab=vdd}
+N -1840 490 -1840 540 {
+lab=vdd}
+N -1840 600 -1840 620 {
+lab=vd32}
+N -1920 620 -1840 620 {
+lab=vd32}
+N -2070 620 -1980 620 {
+lab=vout3n}
+N -2070 600 -2070 620 {
+lab=vout3n}
+N -2470 430 -2470 490 {
+lab=vdd}
+N -2470 430 -1940 430 {
+lab=vdd}
+N -1940 430 -1940 490 {
+lab=vdd}
+N -2580 610 -2580 870 {
+lab=vd31}
+N -2350 610 -2350 760 {
+lab=vout3p}
+N -2580 790 -2390 790 {
+lab=vd31}
+N -2070 620 -2070 760 {
+lab=vout3n}
+N -1840 620 -1840 850 {
+lab=vd32}
+N -2350 820 -2350 870 {
+lab=vs32}
+N -2350 880 -2220 880 {
+lab=vs32}
+N -2350 870 -2350 880 {
+lab=vs32}
+N -2220 880 -2070 880 {
+lab=vs32}
+N -2070 820 -2070 880 {
+lab=vs32}
+N -2580 930 -2580 1080 {
+lab=vs31}
+N -2580 1090 -2220 1090 {
+lab=vs31}
+N -2580 1080 -2580 1090 {
+lab=vs31}
+N -2220 1090 -1840 1090 {
+lab=vs31}
+N -1840 910 -1840 1090 {
+lab=vs31}
+N -2030 790 -1840 790 {
+lab=vd32}
+N -2740 900 -2620 900 {
+lab=vout2p}
+N -1800 880 -1680 880 {
+lab=vout2n}
+N -2690 330 -2290 330 {
+lab=vdd}
+N -2290 330 -2290 430 {
+lab=vdd}
+N -2370 1120 -2260 1120 {
+lab=vo31}
+N -2220 1150 -2220 1180 {
+lab=vss}
+N -2220 940 -2220 970 {
+lab=vss}
+N -2350 910 -2260 910 {
+lab=vo32}
+N -2220 1120 -2150 1120 {
+lab=vss}
+N -1890 880 -1840 880 {
+lab=vss}
+N -2580 900 -2510 900 {
+lab=vss}
+N -2220 910 -2160 910 {
+lab=vss}
+N -2160 910 -2160 950 {
+lab=vss}
+N -2220 950 -2160 950 {
+lab=vss}
+N -2120 790 -2070 790 {
+lab=vss}
+N -2350 790 -2320 790 {
+lab=vss}
+N -2610 560 -2600 560 {
+lab=vss}
+N -2460 580 -2420 580 {
+lab=vss}
+N -2460 580 -2460 590 {
+lab=vss}
+N -2420 560 -2420 580 {
+lab=vss}
+N -2420 560 -2370 560 {
+lab=vss}
+N -2110 570 -2090 570 {
+lab=vss}
+N -1950 590 -1950 600 {
+lab=vss}
+N -1950 590 -1900 590 {
+lab=vss}
+N -1900 570 -1900 590 {
+lab=vss}
+N -1900 570 -1860 570 {
+lab=vss}
+N -2150 1120 -2150 1170 {
+lab=vss}
+N -2220 1170 -2150 1170 {
+lab=vss}
+N -2220 790 -2120 790 {
+lab=vss}
+N -2220 790 -2220 830 {
+lab=vss}
+N -2320 790 -2220 790 {
+lab=vss}
+N -150 600 -150 620 {
+lab=vd51}
+N -150 620 -60 620 {
+lab=vd51}
+N 0 620 80 620 {
+lab=vout5p}
+N 80 600 80 620 {
+lab=vout5p}
+N -150 500 -150 540 {
+lab=vdd}
+N -150 500 80 500 {
+lab=vdd}
+N 80 500 80 540 {
+lab=vdd}
+N 360 500 360 550 {
+lab=vdd}
+N 360 500 590 500 {
+lab=vdd}
+N 590 500 590 550 {
+lab=vdd}
+N 590 610 590 630 {
+lab=vd52}
+N 510 630 590 630 {
+lab=vd52}
+N 360 630 450 630 {
+lab=vout5n}
+N 360 610 360 630 {
+lab=vout5n}
+N -40 440 -40 500 {
+lab=vdd}
+N -40 440 490 440 {
+lab=vdd}
+N 490 440 490 500 {
+lab=vdd}
+N -150 620 -150 880 {
+lab=vd51}
+N 80 620 80 770 {
+lab=vout5p}
+N -150 800 40 800 {
+lab=vd51}
+N 360 630 360 770 {
+lab=vout5n}
+N 590 630 590 860 {
+lab=vd52}
+N 80 830 80 880 {
+lab=vs52}
+N 80 890 210 890 {
+lab=vs52}
+N 80 880 80 890 {
+lab=vs52}
+N 210 890 360 890 {
+lab=vs52}
+N 360 830 360 890 {
+lab=vs52}
+N -150 940 -150 1090 {
+lab=vs51}
+N -150 1100 210 1100 {
+lab=vs51}
+N -150 1090 -150 1100 {
+lab=vs51}
+N 210 1100 590 1100 {
+lab=vs51}
+N 590 920 590 1100 {
+lab=vs51}
+N 400 800 590 800 {
+lab=vd52}
+N -310 910 -190 910 {
+lab=vout4p}
+N 630 890 750 890 {
+lab=vout4n}
+N -260 340 140 340 {
+lab=vdd}
+N 140 340 140 440 {
+lab=vdd}
+N 60 1130 170 1130 {
+lab=vo51}
+N 210 1160 210 1190 {
+lab=vss}
+N 210 950 210 980 {
+lab=vss}
+N 80 920 170 920 {
+lab=vo52}
+N 210 1130 280 1130 {
+lab=vss}
+N 540 890 590 890 {
+lab=vss}
+N -150 910 -80 910 {
+lab=vss}
+N 210 920 270 920 {
+lab=vss}
+N 270 920 270 960 {
+lab=vss}
+N 210 960 270 960 {
+lab=vss}
+N 310 800 360 800 {
+lab=vss}
+N 80 800 110 800 {
+lab=vss}
+N -180 570 -170 570 {
+lab=vss}
+N -30 590 10 590 {
+lab=vss}
+N -30 590 -30 600 {
+lab=vss}
+N 10 570 10 590 {
+lab=vss}
+N 10 570 60 570 {
+lab=vss}
+N 320 580 340 580 {
+lab=vss}
+N 480 600 480 610 {
+lab=vss}
+N 480 600 530 600 {
+lab=vss}
+N 530 580 530 600 {
+lab=vss}
+N 530 580 570 580 {
+lab=vss}
+N 280 1130 280 1180 {
+lab=vss}
+N 210 1180 280 1180 {
+lab=vss}
+N 210 800 310 800 {
+lab=vss}
+N 210 800 210 840 {
+lab=vss}
+N 110 800 210 800 {
+lab=vss}
+N -1690 -160 -1570 -160 {
+lab=vinp}
+N 1210 620 1210 640 {
+lab=vfbn}
+N 1950 630 1950 650 {
+lab=vfbp}
+N 1210 640 1210 900 {
+lab=vfbn}
+N 1950 650 1950 880 {
+lab=vfbp}
+N 1210 960 1210 1110 {
+lab=vst}
+N 1210 1120 1570 1120 {
+lab=vst}
+N 1210 1110 1210 1120 {
+lab=vst}
+N 1570 1120 1950 1120 {
+lab=vst}
+N 1950 940 1950 1120 {
+lab=vst}
+N 1050 930 1170 930 {
+lab=vout5p}
+N 1990 910 2110 910 {
+lab=vout5n}
+N 1420 1150 1530 1150 {
+lab=Iref}
+N 1570 1180 1570 1210 {
+lab=vss}
+N 1570 1150 1640 1150 {
+lab=vss}
+N 1900 910 1950 910 {
+lab=vss}
+N 1210 930 1280 930 {
+lab=vss}
+N 1180 590 1190 590 {
+lab=vss}
+N 1890 600 1930 600 {
+lab=vss}
+N 1640 1150 1640 1200 {
+lab=vss}
+N 1570 1200 1640 1200 {
+lab=vss}
+N 1100 340 1210 340 {
+lab=vdd}
+N 1210 340 1210 560 {
+lab=vdd}
+N 1210 430 1950 430 {
+lab=vdd}
+N 1950 430 1950 570 {
+lab=vdd}
+N -1740 -160 -1690 -160 {
+lab=vinp}
+N -510 -180 -500 -180 {
+lab=vinn}
+N 1480 30 1480 80 {
+lab=vsoc}
+N 1480 80 1570 80 {
+lab=vsoc}
+N 1570 80 1660 80 {
+lab=vsoc}
+N 1660 30 1660 80 {
+lab=vsoc}
+N 1570 140 1570 160 {
+lab=vss}
+N 1570 110 1610 110 {
+lab=vss}
+N 1610 110 1610 150 {
+lab=vss}
+N 1570 150 1610 150 {
+lab=vss}
+N 1480 0 1570 0 {
+lab=vss}
+N 1570 0 1570 40 {
+lab=vss}
+N 1570 0 1660 0 {
+lab=vss}
+N 1390 0 1440 0 {
+lab=vin0p}
+N 1700 0 1770 0 {
+lab=vin0n}
+N 1480 -110 1480 -30 {
+lab=vd11}
+N 1660 -110 1660 -30 {
+lab=vd12}
+N 1480 110 1530 110 {
+lab=Iref}
+N 2550 1190 2550 1230 {
+lab=vin0p}
+N 2550 1290 2550 1330 {
+lab=vss}
+N 2550 1070 2550 1130 {
+lab=vfbn}
+N 2780 1190 2780 1230 {
+lab=vin0n}
+N 2780 1290 2780 1330 {
+lab=vss}
+N 2780 1070 2780 1130 {
+lab=vfbp}
+N -120 -420 -30 -420 {
+lab=vd21}
+N 30 -420 110 -420 {
+lab=vout2p}
+N 0 -380 0 -350 {
+lab=vc}
+N 390 -430 480 -430 {
+lab=vout2n}
+N 540 -430 620 -430 {
+lab=vd22}
+N 510 -390 510 -360 {
+lab=vc}
+N -150 660 -60 660 {
+lab=vd51}
+N 0 660 80 660 {
+lab=vout5p}
+N -30 700 -30 740 {
+lab=vc}
+N 510 670 590 670 {
+lab=vd52}
+N 360 670 450 670 {
+lab=vout5n}
+N 480 710 480 760 {
+lab=vc}
+N -1410 630 -1320 630 {
+lab=vd41}
+N -1260 630 -1180 630 {
+lab=vout4p}
+N -1290 670 -1290 710 {
+lab=vc}
+N -900 640 -800 640 {
+lab=vout4n}
+N -740 640 -670 640 {
+lab=vd42}
+N -770 680 -770 710 {
+lab=vc}
+N -2580 650 -2490 650 {
+lab=vd31}
+N -2430 650 -2350 650 {
+lab=vout3p}
+N -2460 690 -2460 730 {
+lab=vc}
+N -2070 660 -1980 660 {
+lab=vout3n}
+N -1920 660 -1840 660 {
+lab=vd32}
+N -1950 700 -1950 740 {
+lab=vc}
+N -1110 -1620 -1110 -1590 { lab=#net1}
+N -1020 -1590 -930 -1590 { lab=#net1}
+N -930 -1620 -930 -1590 { lab=#net1}
+N -1020 -1510 -1000 -1510 { lab=vss}
+N -1000 -1510 -1000 -1450 { lab=vss}
+N -1020 -1590 -1020 -1540 { lab=#net1}
+N -1000 -1650 -930 -1650 { lab=vss}
+N -1000 -1650 -1000 -1510 { lab=vss}
+N -790 -1510 -750 -1510 { lab=Iref}
+N -790 -1560 -790 -1510 { lab=Iref}
+N -1090 -1560 -790 -1560 { lab=Iref}
+N -1370 -1560 -1370 -1540 { lab=Iref}
+N -1330 -1510 -1290 -1510 { lab=Iref}
+N -1290 -1560 -1290 -1510 { lab=Iref}
+N -1090 -1510 -1060 -1510 { lab=Iref}
+N -1090 -1560 -1090 -1510 { lab=Iref}
+N -1370 -1480 -1370 -1450 { lab=vss}
+N -1390 -1510 -1370 -1510 { lab=vss}
+N -1390 -1510 -1390 -1450 { lab=vss}
+N -1020 -1480 -1020 -1450 { lab=vss}
+N -1110 -1760 -1110 -1680 { lab=#net2}
+N -1070 -1790 -970 -1790 { lab=#net2}
+N -930 -1730 -930 -1680 { lab=#net3}
+N -930 -1790 -910 -1790 { lab=vdd}
+N -910 -1850 -910 -1790 { lab=vdd}
+N -930 -1850 -910 -1850 { lab=vdd}
+N -1130 -1850 -1130 -1790 { lab=vdd}
+N -1130 -1790 -1110 -1790 { lab=vdd}
+N -1110 -1850 -1110 -1820 { lab=vdd}
+N -930 -1850 -930 -1820 { lab=vdd}
+N -710 -1850 -710 -1760 { lab=vdd}
+N -910 -1850 -710 -1850 { lab=vdd}
+N -710 -1700 -710 -1540 { lab=vo}
+N -710 -1730 -690 -1730 { lab=vdd}
+N -690 -1850 -690 -1730 { lab=vdd}
+N -710 -1850 -690 -1850 { lab=vdd}
+N -710 -1510 -690 -1510 { lab=vss}
+N -690 -1510 -690 -1450 { lab=vss}
+N -710 -1480 -710 -1450 { lab=vss}
+N -930 -1730 -750 -1730 { lab=#net3}
+N -1020 -1450 -1000 -1450 { lab=vss}
+N -1110 -1590 -1020 -1590 { lab=#net1}
+N -1110 -1650 -1000 -1650 { lab=vss}
+N -1370 -1560 -1290 -1560 { lab=Iref}
+N -1290 -1560 -1090 -1560 { lab=Iref}
+N -1390 -1450 -1370 -1450 { lab=vss}
+N -1370 -1450 -1020 -1450 { lab=vss}
+N -1130 -1850 -1110 -1850 { lab=vdd}
+N -1110 -1850 -930 -1850 { lab=vdd}
+N -710 -1450 -690 -1450 { lab=vss}
+N -1000 -1450 -710 -1450 { lab=vss}
+N -930 -1760 -930 -1730 { lab=#net3}
+N -1470 -1450 -1390 -1450 { lab=vss}
+N -1470 -1850 -1130 -1850 { lab=vdd}
+N -1170 -1650 -1150 -1650 { lab=vref}
+N -1020 -1790 -1020 -1730 { lab=#net2}
+N -1110 -1730 -1020 -1730 { lab=#net2}
+N -890 -1650 -870 -1650 { lab=vcm}
+N -710 -1650 -650 -1650 { lab=vo}
+N -1370 -1610 -1370 -1560 {
+lab=Iref}
+N -1110 -1140 -1110 -1110 { lab=#net4}
+N -1020 -1110 -930 -1110 { lab=#net4}
+N -930 -1140 -930 -1110 { lab=#net4}
+N -1020 -1030 -1000 -1030 { lab=vss}
+N -1000 -1030 -1000 -970 { lab=vss}
+N -1020 -1110 -1020 -1060 { lab=#net4}
+N -1000 -1170 -930 -1170 { lab=vss}
+N -1000 -1170 -1000 -1030 { lab=vss}
+N -790 -1030 -750 -1030 { lab=Iref}
+N -790 -1080 -790 -1030 { lab=Iref}
+N -1090 -1080 -790 -1080 { lab=Iref}
+N -1090 -1030 -1060 -1030 { lab=Iref}
+N -1090 -1080 -1090 -1030 { lab=Iref}
+N -1020 -1000 -1020 -970 { lab=vss}
+N -1110 -1280 -1110 -1200 { lab=#net5}
+N -1070 -1310 -970 -1310 { lab=#net5}
+N -930 -1250 -930 -1200 { lab=#net6}
+N -930 -1310 -910 -1310 { lab=vdd}
+N -910 -1370 -910 -1310 { lab=vdd}
+N -930 -1370 -910 -1370 { lab=vdd}
+N -1130 -1370 -1130 -1310 { lab=vdd}
+N -1130 -1310 -1110 -1310 { lab=vdd}
+N -1110 -1370 -1110 -1340 { lab=vdd}
+N -930 -1370 -930 -1340 { lab=vdd}
+N -710 -1370 -710 -1280 { lab=vdd}
+N -910 -1370 -710 -1370 { lab=vdd}
+N -710 -1220 -710 -1060 { lab=vo2}
+N -710 -1250 -690 -1250 { lab=vdd}
+N -690 -1370 -690 -1250 { lab=vdd}
+N -710 -1370 -690 -1370 { lab=vdd}
+N -710 -1030 -690 -1030 { lab=vss}
+N -690 -1030 -690 -970 { lab=vss}
+N -710 -1000 -710 -970 { lab=vss}
+N -930 -1250 -750 -1250 { lab=#net6}
+N -1020 -970 -1000 -970 { lab=vss}
+N -1110 -1110 -1020 -1110 { lab=#net4}
+N -1110 -1170 -1000 -1170 { lab=vss}
+N -1290 -1080 -1090 -1080 { lab=Iref}
+N -1370 -970 -1020 -970 { lab=vss}
+N -1130 -1370 -1110 -1370 { lab=vdd}
+N -1110 -1370 -930 -1370 { lab=vdd}
+N -710 -970 -690 -970 { lab=vss}
+N -1000 -970 -710 -970 { lab=vss}
+N -930 -1280 -930 -1250 { lab=#net6}
+N -1470 -1370 -1130 -1370 { lab=vdd}
+N -1170 -1170 -1150 -1170 { lab=vref}
+N -1020 -1310 -1020 -1250 { lab=#net5}
+N -1110 -1250 -1020 -1250 { lab=#net5}
+N -890 -1170 -870 -1170 { lab=vcm2}
+N -710 -1170 -650 -1170 { lab=vo2}
+N -810 -1730 -810 -1710 {
+lab=#net3}
+N -810 -1650 -760 -1650 {
+lab=#net7}
+N -760 -1650 -760 -1630 {
+lab=#net7}
+N -760 -1570 -710 -1570 {
+lab=vo}
+N -810 -1250 -810 -1220 {
+lab=#net6}
+N -810 -1160 -760 -1160 {
+lab=#net8}
+N -760 -1160 -760 -1150 {
+lab=#net8}
+N -760 -1090 -710 -1090 {
+lab=vo2}
+N -1830 -1350 -1830 -1310 {
+lab=vss}
+N -1830 -1350 -1720 -1350 {
+lab=vss}
+N -1720 -1350 -1720 -1310 {
+lab=vss}
+N -1900 -1290 -1860 -1290 {
+lab=vd11}
+N -1800 -1290 -1750 -1290 {
+lab=vcm}
+N -1690 -1290 -1590 -1290 {
+lab=vd12}
+N -1880 -1350 -1830 -1350 {
+lab=vss}
+N -1880 -1350 -1880 -1340 {
+lab=vss}
+N -1840 -1120 -1840 -1080 {
+lab=vss}
+N -1840 -1120 -1730 -1120 {
+lab=vss}
+N -1730 -1120 -1730 -1080 {
+lab=vss}
+N -1910 -1060 -1870 -1060 {
+lab=vout1p}
+N -1810 -1060 -1760 -1060 {
+lab=vcm2}
+N -1700 -1060 -1600 -1060 {
+lab=vout1n}
+N -1890 -1120 -1840 -1120 {
+lab=vss}
+N -1890 -1120 -1890 -1110 {
+lab=vss}
+N 380 -1620 380 -1590 { lab=#net9}
+N 470 -1590 560 -1590 { lab=#net9}
+N 560 -1620 560 -1590 { lab=#net9}
+N 470 -1510 490 -1510 { lab=vss}
+N 490 -1510 490 -1450 { lab=vss}
+N 470 -1590 470 -1540 { lab=#net9}
+N 490 -1650 560 -1650 { lab=vss}
+N 490 -1650 490 -1510 { lab=vss}
+N 700 -1510 740 -1510 { lab=Iref}
+N 700 -1560 700 -1510 { lab=Iref}
+N 400 -1560 700 -1560 { lab=Iref}
+N 400 -1510 430 -1510 { lab=Iref}
+N 400 -1560 400 -1510 { lab=Iref}
+N 470 -1480 470 -1450 { lab=vss}
+N 380 -1760 380 -1680 { lab=#net10}
+N 420 -1790 520 -1790 { lab=#net10}
+N 560 -1730 560 -1680 { lab=#net11}
+N 560 -1790 580 -1790 { lab=vdd}
+N 580 -1850 580 -1790 { lab=vdd}
+N 560 -1850 580 -1850 { lab=vdd}
+N 360 -1850 360 -1790 { lab=vdd}
+N 360 -1790 380 -1790 { lab=vdd}
+N 380 -1850 380 -1820 { lab=vdd}
+N 560 -1850 560 -1820 { lab=vdd}
+N 780 -1850 780 -1760 { lab=vdd}
+N 580 -1850 780 -1850 { lab=vdd}
+N 780 -1700 780 -1540 { lab=vo21}
+N 780 -1730 800 -1730 { lab=vdd}
+N 800 -1850 800 -1730 { lab=vdd}
+N 780 -1850 800 -1850 { lab=vdd}
+N 780 -1510 800 -1510 { lab=vss}
+N 800 -1510 800 -1450 { lab=vss}
+N 780 -1480 780 -1450 { lab=vss}
+N 560 -1730 740 -1730 { lab=#net11}
+N 470 -1450 490 -1450 { lab=vss}
+N 380 -1590 470 -1590 { lab=#net9}
+N 380 -1650 490 -1650 { lab=vss}
+N 200 -1560 400 -1560 { lab=Iref}
+N 100 -1450 120 -1450 { lab=vss}
+N 120 -1450 470 -1450 { lab=vss}
+N 360 -1850 380 -1850 { lab=vdd}
+N 380 -1850 560 -1850 { lab=vdd}
+N 780 -1450 800 -1450 { lab=vss}
+N 490 -1450 780 -1450 { lab=vss}
+N 560 -1760 560 -1730 { lab=#net11}
+N 20 -1450 100 -1450 { lab=vss}
+N 20 -1850 360 -1850 { lab=vdd}
+N 320 -1650 340 -1650 { lab=vref}
+N 470 -1790 470 -1730 { lab=#net10}
+N 380 -1730 470 -1730 { lab=#net10}
+N 600 -1650 620 -1650 { lab=vcm21}
+N 780 -1650 840 -1650 { lab=vo21}
+N 380 -1140 380 -1110 { lab=#net12}
+N 470 -1110 560 -1110 { lab=#net12}
+N 560 -1140 560 -1110 { lab=#net12}
+N 470 -1030 490 -1030 { lab=vss}
+N 490 -1030 490 -970 { lab=vss}
+N 470 -1110 470 -1060 { lab=#net12}
+N 490 -1170 560 -1170 { lab=vss}
+N 490 -1170 490 -1030 { lab=vss}
+N 700 -1030 740 -1030 { lab=Iref}
+N 700 -1080 700 -1030 { lab=Iref}
+N 400 -1080 700 -1080 { lab=Iref}
+N 400 -1030 430 -1030 { lab=Iref}
+N 400 -1080 400 -1030 { lab=Iref}
+N 470 -1000 470 -970 { lab=vss}
+N 380 -1280 380 -1200 { lab=#net13}
+N 420 -1310 520 -1310 { lab=#net13}
+N 560 -1250 560 -1200 { lab=#net14}
+N 560 -1310 580 -1310 { lab=vdd}
+N 580 -1370 580 -1310 { lab=vdd}
+N 560 -1370 580 -1370 { lab=vdd}
+N 360 -1370 360 -1310 { lab=vdd}
+N 360 -1310 380 -1310 { lab=vdd}
+N 380 -1370 380 -1340 { lab=vdd}
+N 560 -1370 560 -1340 { lab=vdd}
+N 780 -1370 780 -1280 { lab=vdd}
+N 580 -1370 780 -1370 { lab=vdd}
+N 780 -1220 780 -1060 { lab=vo22}
+N 780 -1250 800 -1250 { lab=vdd}
+N 800 -1370 800 -1250 { lab=vdd}
+N 780 -1370 800 -1370 { lab=vdd}
+N 780 -1030 800 -1030 { lab=vss}
+N 800 -1030 800 -970 { lab=vss}
+N 780 -1000 780 -970 { lab=vss}
+N 560 -1250 740 -1250 { lab=#net14}
+N 470 -970 490 -970 { lab=vss}
+N 380 -1110 470 -1110 { lab=#net12}
+N 380 -1170 490 -1170 { lab=vss}
+N 200 -1080 400 -1080 { lab=Iref}
+N 100 -970 120 -970 { lab=vss}
+N 120 -970 470 -970 { lab=vss}
+N 360 -1370 380 -1370 { lab=vdd}
+N 380 -1370 560 -1370 { lab=vdd}
+N 780 -970 800 -970 { lab=vss}
+N 490 -970 780 -970 { lab=vss}
+N 560 -1280 560 -1250 { lab=#net14}
+N 20 -970 100 -970 { lab=vss}
+N 20 -1370 360 -1370 { lab=vdd}
+N 320 -1170 340 -1170 { lab=vref}
+N 470 -1310 470 -1250 { lab=#net13}
+N 380 -1250 470 -1250 { lab=#net13}
+N 600 -1170 620 -1170 { lab=vcm22}
+N 780 -1170 840 -1170 { lab=vo22}
+N 680 -1730 680 -1710 {
+lab=#net11}
+N 680 -1650 730 -1650 {
+lab=#net15}
+N 730 -1650 730 -1630 {
+lab=#net15}
+N 730 -1570 780 -1570 {
+lab=vo21}
+N 680 -1250 680 -1220 {
+lab=#net14}
+N 680 -1160 730 -1160 {
+lab=#net16}
+N 730 -1160 730 -1150 {
+lab=#net16}
+N 730 -1090 780 -1090 {
+lab=vo22}
+N -340 -1350 -340 -1310 {
+lab=vss}
+N -340 -1350 -230 -1350 {
+lab=vss}
+N -230 -1350 -230 -1310 {
+lab=vss}
+N -410 -1290 -370 -1290 {
+lab=vd21}
+N -310 -1290 -260 -1290 {
+lab=vcm21}
+N -200 -1290 -100 -1290 {
+lab=vd22}
+N -390 -1350 -340 -1350 {
+lab=vss}
+N -390 -1350 -390 -1340 {
+lab=vss}
+N -350 -1120 -350 -1080 {
+lab=vss}
+N -350 -1120 -240 -1120 {
+lab=vss}
+N -240 -1120 -240 -1080 {
+lab=vss}
+N -420 -1060 -380 -1060 {
+lab=vout2p}
+N -320 -1060 -270 -1060 {
+lab=vcm22}
+N -210 -1060 -110 -1060 {
+lab=vout2n}
+N -400 -1120 -350 -1120 {
+lab=vss}
+N -400 -1120 -400 -1110 {
+lab=vss}
+N -2280 1740 -2280 1770 { lab=#net17}
+N -2190 1770 -2100 1770 { lab=#net17}
+N -2100 1740 -2100 1770 { lab=#net17}
+N -2190 1850 -2170 1850 { lab=vss}
+N -2170 1850 -2170 1910 { lab=vss}
+N -2190 1770 -2190 1820 { lab=#net17}
+N -2170 1710 -2100 1710 { lab=vss}
+N -2170 1710 -2170 1850 { lab=vss}
+N -1960 1850 -1920 1850 { lab=Iref}
+N -1960 1800 -1960 1850 { lab=Iref}
+N -2260 1800 -1960 1800 { lab=Iref}
+N -2260 1850 -2230 1850 { lab=Iref}
+N -2260 1800 -2260 1850 { lab=Iref}
+N -2190 1880 -2190 1910 { lab=vss}
+N -2280 1600 -2280 1680 { lab=#net18}
+N -2240 1570 -2140 1570 { lab=#net18}
+N -2100 1630 -2100 1680 { lab=#net19}
+N -2100 1570 -2080 1570 { lab=vdd}
+N -2080 1510 -2080 1570 { lab=vdd}
+N -2100 1510 -2080 1510 { lab=vdd}
+N -2300 1510 -2300 1570 { lab=vdd}
+N -2300 1570 -2280 1570 { lab=vdd}
+N -2280 1510 -2280 1540 { lab=vdd}
+N -2100 1510 -2100 1540 { lab=vdd}
+N -1880 1510 -1880 1600 { lab=vdd}
+N -2080 1510 -1880 1510 { lab=vdd}
+N -1880 1660 -1880 1820 { lab=vo31}
+N -1880 1630 -1860 1630 { lab=vdd}
+N -1860 1510 -1860 1630 { lab=vdd}
+N -1880 1510 -1860 1510 { lab=vdd}
+N -1880 1850 -1860 1850 { lab=vss}
+N -1860 1850 -1860 1910 { lab=vss}
+N -1880 1880 -1880 1910 { lab=vss}
+N -2100 1630 -1920 1630 { lab=#net19}
+N -2190 1910 -2170 1910 { lab=vss}
+N -2280 1770 -2190 1770 { lab=#net17}
+N -2280 1710 -2170 1710 { lab=vss}
+N -2460 1800 -2260 1800 { lab=Iref}
+N -2560 1910 -2540 1910 { lab=vss}
+N -2540 1910 -2190 1910 { lab=vss}
+N -2300 1510 -2280 1510 { lab=vdd}
+N -2280 1510 -2100 1510 { lab=vdd}
+N -1880 1910 -1860 1910 { lab=vss}
+N -2170 1910 -1880 1910 { lab=vss}
+N -2100 1600 -2100 1630 { lab=#net19}
+N -2640 1910 -2560 1910 { lab=vss}
+N -2640 1510 -2300 1510 { lab=vdd}
+N -2340 1710 -2320 1710 { lab=vref}
+N -2190 1570 -2190 1630 { lab=#net18}
+N -2280 1630 -2190 1630 { lab=#net18}
+N -2060 1710 -2040 1710 { lab=vcm31}
+N -1880 1710 -1820 1710 { lab=vo31}
+N -2280 2220 -2280 2250 { lab=#net20}
+N -2190 2250 -2100 2250 { lab=#net20}
+N -2100 2220 -2100 2250 { lab=#net20}
+N -2190 2330 -2170 2330 { lab=vss}
+N -2170 2330 -2170 2390 { lab=vss}
+N -2190 2250 -2190 2300 { lab=#net20}
+N -2170 2190 -2100 2190 { lab=vss}
+N -2170 2190 -2170 2330 { lab=vss}
+N -1960 2330 -1920 2330 { lab=Iref}
+N -1960 2280 -1960 2330 { lab=Iref}
+N -2260 2280 -1960 2280 { lab=Iref}
+N -2260 2330 -2230 2330 { lab=Iref}
+N -2260 2280 -2260 2330 { lab=Iref}
+N -2190 2360 -2190 2390 { lab=vss}
+N -2280 2080 -2280 2160 { lab=#net21}
+N -2240 2050 -2140 2050 { lab=#net21}
+N -2100 2110 -2100 2160 { lab=#net22}
+N -2100 2050 -2080 2050 { lab=vdd}
+N -2080 1990 -2080 2050 { lab=vdd}
+N -2100 1990 -2080 1990 { lab=vdd}
+N -2300 1990 -2300 2050 { lab=vdd}
+N -2300 2050 -2280 2050 { lab=vdd}
+N -2280 1990 -2280 2020 { lab=vdd}
+N -2100 1990 -2100 2020 { lab=vdd}
+N -1880 1990 -1880 2080 { lab=vdd}
+N -2080 1990 -1880 1990 { lab=vdd}
+N -1880 2140 -1880 2300 { lab=vo32}
+N -1880 2110 -1860 2110 { lab=vdd}
+N -1860 1990 -1860 2110 { lab=vdd}
+N -1880 1990 -1860 1990 { lab=vdd}
+N -1880 2330 -1860 2330 { lab=vss}
+N -1860 2330 -1860 2390 { lab=vss}
+N -1880 2360 -1880 2390 { lab=vss}
+N -2100 2110 -1920 2110 { lab=#net22}
+N -2190 2390 -2170 2390 { lab=vss}
+N -2280 2250 -2190 2250 { lab=#net20}
+N -2280 2190 -2170 2190 { lab=vss}
+N -2460 2280 -2260 2280 { lab=Iref}
+N -2560 2390 -2540 2390 { lab=vss}
+N -2540 2390 -2190 2390 { lab=vss}
+N -2300 1990 -2280 1990 { lab=vdd}
+N -2280 1990 -2100 1990 { lab=vdd}
+N -1880 2390 -1860 2390 { lab=vss}
+N -2170 2390 -1880 2390 { lab=vss}
+N -2100 2080 -2100 2110 { lab=#net22}
+N -2640 2390 -2560 2390 { lab=vss}
+N -2640 1990 -2300 1990 { lab=vdd}
+N -2340 2190 -2320 2190 { lab=vref}
+N -2190 2050 -2190 2110 { lab=#net21}
+N -2280 2110 -2190 2110 { lab=#net21}
+N -2060 2190 -2040 2190 { lab=vcm32}
+N -1880 2190 -1820 2190 { lab=vo32}
+N -1980 1630 -1980 1650 {
+lab=#net19}
+N -1980 1710 -1930 1710 {
+lab=#net23}
+N -1930 1710 -1930 1730 {
+lab=#net23}
+N -1930 1790 -1880 1790 {
+lab=vo31}
+N -1980 2110 -1980 2140 {
+lab=#net22}
+N -1980 2200 -1930 2200 {
+lab=#net24}
+N -1930 2200 -1930 2210 {
+lab=#net24}
+N -1930 2270 -1880 2270 {
+lab=vo32}
+N -3000 2010 -3000 2050 {
+lab=vss}
+N -3000 2010 -2890 2010 {
+lab=vss}
+N -2890 2010 -2890 2050 {
+lab=vss}
+N -3070 2070 -3030 2070 {
+lab=vd31}
+N -2970 2070 -2920 2070 {
+lab=vcm31}
+N -2860 2070 -2760 2070 {
+lab=vd32}
+N -3050 2010 -3000 2010 {
+lab=vss}
+N -3050 2010 -3050 2020 {
+lab=vss}
+N -3010 2240 -3010 2280 {
+lab=vss}
+N -3010 2240 -2900 2240 {
+lab=vss}
+N -2900 2240 -2900 2280 {
+lab=vss}
+N -3080 2300 -3040 2300 {
+lab=vout3p}
+N -2980 2300 -2930 2300 {
+lab=vcm32}
+N -2870 2300 -2770 2300 {
+lab=vout3n}
+N -3060 2240 -3010 2240 {
+lab=vss}
+N -3060 2240 -3060 2250 {
+lab=vss}
+N -870 1760 -870 1790 { lab=#net25}
+N -780 1790 -690 1790 { lab=#net25}
+N -690 1760 -690 1790 { lab=#net25}
+N -780 1870 -760 1870 { lab=vss}
+N -760 1870 -760 1930 { lab=vss}
+N -780 1790 -780 1840 { lab=#net25}
+N -760 1730 -690 1730 { lab=vss}
+N -760 1730 -760 1870 { lab=vss}
+N -550 1870 -510 1870 { lab=Iref}
+N -550 1820 -550 1870 { lab=Iref}
+N -850 1820 -550 1820 { lab=Iref}
+N -850 1870 -820 1870 { lab=Iref}
+N -850 1820 -850 1870 { lab=Iref}
+N -780 1900 -780 1930 { lab=vss}
+N -870 1620 -870 1700 { lab=#net26}
+N -830 1590 -730 1590 { lab=#net26}
+N -690 1650 -690 1700 { lab=#net27}
+N -690 1590 -670 1590 { lab=vdd}
+N -670 1530 -670 1590 { lab=vdd}
+N -690 1530 -670 1530 { lab=vdd}
+N -890 1530 -890 1590 { lab=vdd}
+N -890 1590 -870 1590 { lab=vdd}
+N -870 1530 -870 1560 { lab=vdd}
+N -690 1530 -690 1560 { lab=vdd}
+N -470 1530 -470 1620 { lab=vdd}
+N -670 1530 -470 1530 { lab=vdd}
+N -470 1680 -470 1840 { lab=vo41}
+N -470 1650 -450 1650 { lab=vdd}
+N -450 1530 -450 1650 { lab=vdd}
+N -470 1530 -450 1530 { lab=vdd}
+N -470 1870 -450 1870 { lab=vss}
+N -450 1870 -450 1930 { lab=vss}
+N -470 1900 -470 1930 { lab=vss}
+N -690 1650 -510 1650 { lab=#net27}
+N -780 1930 -760 1930 { lab=vss}
+N -870 1790 -780 1790 { lab=#net25}
+N -870 1730 -760 1730 { lab=vss}
+N -1050 1820 -850 1820 { lab=Iref}
+N -1150 1930 -1130 1930 { lab=vss}
+N -1130 1930 -780 1930 { lab=vss}
+N -890 1530 -870 1530 { lab=vdd}
+N -870 1530 -690 1530 { lab=vdd}
+N -470 1930 -450 1930 { lab=vss}
+N -760 1930 -470 1930 { lab=vss}
+N -690 1620 -690 1650 { lab=#net27}
+N -1230 1930 -1150 1930 { lab=vss}
+N -1230 1530 -890 1530 { lab=vdd}
+N -930 1730 -910 1730 { lab=vref}
+N -780 1590 -780 1650 { lab=#net26}
+N -870 1650 -780 1650 { lab=#net26}
+N -650 1730 -630 1730 { lab=vcm41}
+N -470 1730 -410 1730 { lab=vo41}
+N -870 2240 -870 2270 { lab=#net28}
+N -780 2270 -690 2270 { lab=#net28}
+N -690 2240 -690 2270 { lab=#net28}
+N -780 2350 -760 2350 { lab=vss}
+N -760 2350 -760 2410 { lab=vss}
+N -780 2270 -780 2320 { lab=#net28}
+N -760 2210 -690 2210 { lab=vss}
+N -760 2210 -760 2350 { lab=vss}
+N -550 2350 -510 2350 { lab=Iref}
+N -550 2300 -550 2350 { lab=Iref}
+N -850 2300 -550 2300 { lab=Iref}
+N -850 2350 -820 2350 { lab=Iref}
+N -850 2300 -850 2350 { lab=Iref}
+N -780 2380 -780 2410 { lab=vss}
+N -870 2100 -870 2180 { lab=#net29}
+N -830 2070 -730 2070 { lab=#net29}
+N -690 2130 -690 2180 { lab=#net30}
+N -690 2070 -670 2070 { lab=vdd}
+N -670 2010 -670 2070 { lab=vdd}
+N -690 2010 -670 2010 { lab=vdd}
+N -890 2010 -890 2070 { lab=vdd}
+N -890 2070 -870 2070 { lab=vdd}
+N -870 2010 -870 2040 { lab=vdd}
+N -690 2010 -690 2040 { lab=vdd}
+N -470 2010 -470 2100 { lab=vdd}
+N -670 2010 -470 2010 { lab=vdd}
+N -470 2160 -470 2320 { lab=vo42}
+N -470 2130 -450 2130 { lab=vdd}
+N -450 2010 -450 2130 { lab=vdd}
+N -470 2010 -450 2010 { lab=vdd}
+N -470 2350 -450 2350 { lab=vss}
+N -450 2350 -450 2410 { lab=vss}
+N -470 2380 -470 2410 { lab=vss}
+N -690 2130 -510 2130 { lab=#net30}
+N -780 2410 -760 2410 { lab=vss}
+N -870 2270 -780 2270 { lab=#net28}
+N -870 2210 -760 2210 { lab=vss}
+N -1050 2300 -850 2300 { lab=Iref}
+N -1150 2410 -1130 2410 { lab=vss}
+N -1130 2410 -780 2410 { lab=vss}
+N -890 2010 -870 2010 { lab=vdd}
+N -870 2010 -690 2010 { lab=vdd}
+N -470 2410 -450 2410 { lab=vss}
+N -760 2410 -470 2410 { lab=vss}
+N -690 2100 -690 2130 { lab=#net30}
+N -1230 2410 -1150 2410 { lab=vss}
+N -1230 2010 -890 2010 { lab=vdd}
+N -930 2210 -910 2210 { lab=vref}
+N -780 2070 -780 2130 { lab=#net29}
+N -870 2130 -780 2130 { lab=#net29}
+N -650 2210 -630 2210 { lab=vcm42}
+N -470 2210 -410 2210 { lab=vo42}
+N -570 1650 -570 1670 {
+lab=#net27}
+N -570 1730 -520 1730 {
+lab=#net31}
+N -520 1730 -520 1750 {
+lab=#net31}
+N -520 1810 -470 1810 {
+lab=vo41}
+N -570 2130 -570 2160 {
+lab=#net30}
+N -570 2220 -520 2220 {
+lab=#net32}
+N -520 2220 -520 2230 {
+lab=#net32}
+N -520 2290 -470 2290 {
+lab=vo42}
+N -1590 2030 -1590 2070 {
+lab=vss}
+N -1590 2030 -1480 2030 {
+lab=vss}
+N -1480 2030 -1480 2070 {
+lab=vss}
+N -1660 2090 -1620 2090 {
+lab=vd41}
+N -1560 2090 -1510 2090 {
+lab=vcm41}
+N -1450 2090 -1350 2090 {
+lab=vd42}
+N -1640 2030 -1590 2030 {
+lab=vss}
+N -1640 2030 -1640 2040 {
+lab=vss}
+N -1600 2260 -1600 2300 {
+lab=vss}
+N -1600 2260 -1490 2260 {
+lab=vss}
+N -1490 2260 -1490 2300 {
+lab=vss}
+N -1670 2320 -1630 2320 {
+lab=vout4p}
+N -1570 2320 -1520 2320 {
+lab=vcm42}
+N -1460 2320 -1360 2320 {
+lab=vout4n}
+N -1650 2260 -1600 2260 {
+lab=vss}
+N -1650 2260 -1650 2270 {
+lab=vss}
+N 510 1730 510 1760 { lab=#net33}
+N 600 1760 690 1760 { lab=#net33}
+N 690 1730 690 1760 { lab=#net33}
+N 600 1840 620 1840 { lab=vss}
+N 620 1840 620 1900 { lab=vss}
+N 600 1760 600 1810 { lab=#net33}
+N 620 1700 690 1700 { lab=vss}
+N 620 1700 620 1840 { lab=vss}
+N 830 1840 870 1840 { lab=Iref}
+N 830 1790 830 1840 { lab=Iref}
+N 530 1790 830 1790 { lab=Iref}
+N 530 1840 560 1840 { lab=Iref}
+N 530 1790 530 1840 { lab=Iref}
+N 600 1870 600 1900 { lab=vss}
+N 510 1590 510 1670 { lab=#net34}
+N 550 1560 650 1560 { lab=#net34}
+N 690 1620 690 1670 { lab=#net35}
+N 690 1560 710 1560 { lab=vdd}
+N 710 1500 710 1560 { lab=vdd}
+N 690 1500 710 1500 { lab=vdd}
+N 490 1500 490 1560 { lab=vdd}
+N 490 1560 510 1560 { lab=vdd}
+N 510 1500 510 1530 { lab=vdd}
+N 690 1500 690 1530 { lab=vdd}
+N 910 1500 910 1590 { lab=vdd}
+N 710 1500 910 1500 { lab=vdd}
+N 910 1650 910 1810 { lab=vo51}
+N 910 1620 930 1620 { lab=vdd}
+N 930 1500 930 1620 { lab=vdd}
+N 910 1500 930 1500 { lab=vdd}
+N 910 1840 930 1840 { lab=vss}
+N 930 1840 930 1900 { lab=vss}
+N 910 1870 910 1900 { lab=vss}
+N 690 1620 870 1620 { lab=#net35}
+N 600 1900 620 1900 { lab=vss}
+N 510 1760 600 1760 { lab=#net33}
+N 510 1700 620 1700 { lab=vss}
+N 330 1790 530 1790 { lab=Iref}
+N 230 1900 250 1900 { lab=vss}
+N 250 1900 600 1900 { lab=vss}
+N 490 1500 510 1500 { lab=vdd}
+N 510 1500 690 1500 { lab=vdd}
+N 910 1900 930 1900 { lab=vss}
+N 620 1900 910 1900 { lab=vss}
+N 690 1590 690 1620 { lab=#net35}
+N 150 1900 230 1900 { lab=vss}
+N 150 1500 490 1500 { lab=vdd}
+N 450 1700 470 1700 { lab=vref}
+N 600 1560 600 1620 { lab=#net34}
+N 510 1620 600 1620 { lab=#net34}
+N 730 1700 750 1700 { lab=vcm51}
+N 910 1700 970 1700 { lab=vo51}
+N 510 2210 510 2240 { lab=#net36}
+N 600 2240 690 2240 { lab=#net36}
+N 690 2210 690 2240 { lab=#net36}
+N 600 2320 620 2320 { lab=vss}
+N 620 2320 620 2380 { lab=vss}
+N 600 2240 600 2290 { lab=#net36}
+N 620 2180 690 2180 { lab=vss}
+N 620 2180 620 2320 { lab=vss}
+N 830 2320 870 2320 { lab=Iref}
+N 830 2270 830 2320 { lab=Iref}
+N 530 2270 830 2270 { lab=Iref}
+N 530 2320 560 2320 { lab=Iref}
+N 530 2270 530 2320 { lab=Iref}
+N 600 2350 600 2380 { lab=vss}
+N 510 2070 510 2150 { lab=#net37}
+N 550 2040 650 2040 { lab=#net37}
+N 690 2100 690 2150 { lab=#net38}
+N 690 2040 710 2040 { lab=vdd}
+N 710 1980 710 2040 { lab=vdd}
+N 690 1980 710 1980 { lab=vdd}
+N 490 1980 490 2040 { lab=vdd}
+N 490 2040 510 2040 { lab=vdd}
+N 510 1980 510 2010 { lab=vdd}
+N 690 1980 690 2010 { lab=vdd}
+N 910 1980 910 2070 { lab=vdd}
+N 710 1980 910 1980 { lab=vdd}
+N 910 2130 910 2290 { lab=vo52}
+N 910 2100 930 2100 { lab=vdd}
+N 930 1980 930 2100 { lab=vdd}
+N 910 1980 930 1980 { lab=vdd}
+N 910 2320 930 2320 { lab=vss}
+N 930 2320 930 2380 { lab=vss}
+N 910 2350 910 2380 { lab=vss}
+N 690 2100 870 2100 { lab=#net38}
+N 600 2380 620 2380 { lab=vss}
+N 510 2240 600 2240 { lab=#net36}
+N 510 2180 620 2180 { lab=vss}
+N 330 2270 530 2270 { lab=Iref}
+N 230 2380 250 2380 { lab=vss}
+N 250 2380 600 2380 { lab=vss}
+N 490 1980 510 1980 { lab=vdd}
+N 510 1980 690 1980 { lab=vdd}
+N 910 2380 930 2380 { lab=vss}
+N 620 2380 910 2380 { lab=vss}
+N 690 2070 690 2100 { lab=#net38}
+N 150 2380 230 2380 { lab=vss}
+N 150 1980 490 1980 { lab=vdd}
+N 450 2180 470 2180 { lab=vref}
+N 600 2040 600 2100 { lab=#net37}
+N 510 2100 600 2100 { lab=#net37}
+N 730 2180 750 2180 { lab=vcm52}
+N 910 2180 970 2180 { lab=vo52}
+N 810 1620 810 1640 {
+lab=#net35}
+N 810 1700 860 1700 {
+lab=#net39}
+N 860 1700 860 1720 {
+lab=#net39}
+N 860 1780 910 1780 {
+lab=vo51}
+N 810 2100 810 2130 {
+lab=#net38}
+N 810 2190 860 2190 {
+lab=#net40}
+N 860 2190 860 2200 {
+lab=#net40}
+N 860 2260 910 2260 {
+lab=vo52}
+N -210 2000 -210 2040 {
+lab=vss}
+N -210 2000 -100 2000 {
+lab=vss}
+N -100 2000 -100 2040 {
+lab=vss}
+N -280 2060 -240 2060 {
+lab=vd51}
+N -180 2060 -130 2060 {
+lab=vcm51}
+N -70 2060 30 2060 {
+lab=vd52}
+N -260 2000 -210 2000 {
+lab=vss}
+N -260 2000 -260 2010 {
+lab=vss}
+N -220 2230 -220 2270 {
+lab=vss}
+N -220 2230 -110 2230 {
+lab=vss}
+N -110 2230 -110 2270 {
+lab=vss}
+N -290 2290 -250 2290 {
+lab=vout5p}
+N -190 2290 -140 2290 {
+lab=vcm52}
+N -80 2290 20 2290 {
+lab=vout5n}
+N -270 2230 -220 2230 {
+lab=vss}
+N -270 2230 -270 2240 {
+lab=vss}
+N -830 -1680 -830 -1670 {
+lab=vss}
+N -830 -1190 -830 -1180 {
+lab=vss}
+N 660 -1680 660 -1670 {
+lab=vss}
+N 660 -1190 660 -1180 {
+lab=vss}
+N -2000 1680 -2000 1690 {
+lab=vss}
+N -2000 2170 -2000 2180 {
+lab=vss}
+N -590 1700 -590 1710 {
+lab=vss}
+N -590 2190 -590 2200 {
+lab=vss}
+N 790 1670 790 1680 {
+lab=vss}
+N 790 2160 790 2170 {
+lab=vss}
+N 1580 280 1640 280 {
+lab=Iref}
+N 1580 220 1580 280 {
+lab=Iref}
+N 1580 220 1680 220 {
+lab=Iref}
+N 1680 220 1680 250 {
+lab=Iref}
+N 1680 310 1680 350 {
+lab=vss}
+N 1680 190 1680 220 {
+lab=Iref}
+N 1680 280 1750 280 {
+lab=vss}
+N 1680 330 1750 330 {
+lab=vss}
+N 1750 280 1750 330 {
+lab=vss}
+N 2490 1160 2530 1160 {
+lab=vss}
+N 2490 1160 2490 1310 {
+lab=vss}
+N 2490 1310 2550 1310 {
+lab=vss}
+N 2710 1160 2760 1160 {
+lab=vss}
+N 2710 1160 2710 1310 {
+lab=vss}
+N 2710 1310 2780 1310 {
+lab=vss}
+N 1470 1350 1530 1350 {
+lab=Iref}
+N 1470 1290 1470 1350 {
+lab=Iref}
+N 1470 1290 1570 1290 {
+lab=Iref}
+N 1570 1290 1570 1320 {
+lab=Iref}
+N 1570 1380 1570 1420 {
+lab=vss}
+N 1570 1260 1570 1290 {
+lab=Iref}
+N 1570 1350 1640 1350 {
+lab=vss}
+N 1570 1400 1640 1400 {
+lab=vss}
+N 1640 1350 1640 1400 {
+lab=vss}
+N 1420 1150 1420 1310 {
+lab=Iref}
+N 1420 1310 1470 1310 {
+lab=Iref}
+N 1480 110 1480 270 {
+lab=Iref}
+N 1480 270 1580 270 {
+lab=Iref}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1410 -500 0 0 {name=R1
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1180 -500 0 0 {name=R2
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1290 -450 1 0 {name=R3
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -780 -440 1 0 {name=R6
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1430 -160 0 0 {name=M1
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1200 -270 0 0 {name=M2
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -880 -270 0 1 {name=M3
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -650 -180 0 1 {name=M4
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1070 -150 0 0 {name=M5
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1070 60 0 0 {name=M6
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -1410 30 0 0 {name=l15 sig_type=std_logic lab=vs11}
+C {lab_pin.sym} -1410 -350 0 0 {name=l16 sig_type=std_logic lab=vd11}
+C {lab_pin.sym} -1180 -390 0 0 {name=l17 sig_type=std_logic lab=vout1p}
+C {lab_pin.sym} -1180 -200 0 0 {name=l18 sig_type=std_logic lab=vs12}
+C {lab_pin.sym} -900 -390 0 0 {name=l25 sig_type=std_logic lab=vout1n}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 0 -470 1 0 {name=R9
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 510 -460 1 0 {name=R12
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -140 -180 0 0 {name=M7
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 90 -290 0 0 {name=M8
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 410 -290 0 1 {name=M10
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 640 -200 0 1 {name=M11
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 220 -170 0 0 {name=M12
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 220 40 0 0 {name=M13
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -120 10 0 0 {name=l35 sig_type=std_logic lab=vs21}
+C {lab_pin.sym} -120 -370 0 0 {name=l36 sig_type=std_logic lab=vd21}
+C {lab_pin.sym} 110 -410 0 0 {name=l37 sig_type=std_logic lab=vout2p}
+C {lab_pin.sym} 110 -220 0 0 {name=l38 sig_type=std_logic lab=vs22}
+C {lab_pin.sym} 390 -410 0 0 {name=l39 sig_type=std_logic lab=vout2n}
+C {lab_pin.sym} -200 -180 0 0 {name=l40 sig_type=std_logic lab=vout1p}
+C {lab_pin.sym} 110 -170 0 0 {name=l41 sig_type=std_logic lab=vo22
+}
+C {lab_pin.sym} 90 40 0 0 {name=l42 sig_type=std_logic lab=vo21
+}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1290 600 1 0 {name=R15
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -780 610 1 0 {name=R18
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1430 890 0 0 {name=M14
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1200 780 0 0 {name=M15
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -880 780 0 1 {name=M16
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -650 870 0 1 {name=M17
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1070 900 0 0 {name=M18
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1070 1110 0 0 {name=M19
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -1410 1080 0 0 {name=l55 sig_type=std_logic lab=vs41}
+C {lab_pin.sym} -1410 700 0 0 {name=l56 sig_type=std_logic lab=vd41}
+C {lab_pin.sym} -1180 660 0 0 {name=l57 sig_type=std_logic lab=vout4p}
+C {lab_pin.sym} -1180 850 0 0 {name=l58 sig_type=std_logic lab=vs42}
+C {lab_pin.sym} -900 660 0 0 {name=l59 sig_type=std_logic lab=vout4n}
+C {lab_pin.sym} -1570 890 0 0 {name=l60 sig_type=std_logic lab=vout3p}
+C {lab_pin.sym} -1180 900 0 0 {name=l61 sig_type=std_logic lab=vo42
+}
+C {lab_pin.sym} -1200 1110 0 0 {name=l62 sig_type=std_logic lab=vo41
+}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -2460 610 1 0 {name=R27
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1950 620 1 0 {name=R30
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2600 900 0 0 {name=M26
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2370 790 0 0 {name=M27
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2050 790 0 1 {name=M28
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1820 880 0 1 {name=M29
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2240 910 0 0 {name=M30
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2240 1120 0 0 {name=M31
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -2580 1090 0 0 {name=l95 sig_type=std_logic lab=vs31}
+C {lab_pin.sym} -2580 710 0 0 {name=l96 sig_type=std_logic lab=vd31}
+C {lab_pin.sym} -2350 670 0 0 {name=l97 sig_type=std_logic lab=vout3p}
+C {lab_pin.sym} -2350 860 0 0 {name=l98 sig_type=std_logic lab=vs32}
+C {lab_pin.sym} -2070 670 0 0 {name=l99 sig_type=std_logic lab=vout3n}
+C {lab_pin.sym} -2350 910 0 0 {name=l101 sig_type=std_logic lab=vo32
+}
+C {lab_pin.sym} -2370 1120 0 0 {name=l102 sig_type=std_logic lab=vo31
+}
+C {lab_pin.sym} -670 -380 0 0 {name=l103 sig_type=std_logic lab=vd12}
+C {lab_pin.sym} 770 -200 0 0 {name=l22 sig_type=std_logic lab=vout1n}
+C {lab_pin.sym} 620 -380 0 0 {name=l104 sig_type=std_logic lab=vd22}
+C {lab_pin.sym} -1840 690 0 0 {name=l85 sig_type=std_logic lab=vd32}
+C {lab_pin.sym} -2740 900 0 0 {name=l86 sig_type=std_logic lab=vout2p}
+C {lab_pin.sym} -1680 880 0 0 {name=l100 sig_type=std_logic lab=vout2n}
+C {lab_pin.sym} -670 670 0 0 {name=l105 sig_type=std_logic lab=vd42}
+C {lab_pin.sym} -510 870 0 0 {name=l45 sig_type=std_logic lab=vout3n
+}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -30 620 1 0 {name=R21
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 480 630 1 0 {name=R24
+W=5.73
+L=1.5
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -170 910 0 0 {name=M20
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 60 800 0 0 {name=M21
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 380 800 0 1 {name=M22
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 610 890 0 1 {name=M23
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 190 920 0 0 {name=M24
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 190 1130 0 0 {name=M25
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -150 1100 0 0 {name=l72 sig_type=std_logic lab=vs51}
+C {lab_pin.sym} -150 720 0 0 {name=l73 sig_type=std_logic lab=vd51}
+C {lab_pin.sym} 80 680 0 0 {name=l74 sig_type=std_logic lab=vout5p}
+C {lab_pin.sym} 80 870 0 0 {name=l75 sig_type=std_logic lab=vs52}
+C {lab_pin.sym} 360 680 0 0 {name=l76 sig_type=std_logic lab=vout5n}
+C {lab_pin.sym} -310 910 0 0 {name=l77 sig_type=std_logic lab=vout4p}
+C {lab_pin.sym} 80 920 0 0 {name=l78 sig_type=std_logic lab=vo52
+}
+C {lab_pin.sym} 60 1130 0 0 {name=l79 sig_type=std_logic lab=vo51
+}
+C {lab_pin.sym} 590 690 0 0 {name=l80 sig_type=std_logic lab=vd52}
+C {lab_pin.sym} 750 890 0 0 {name=l81 sig_type=std_logic lab=vout4n
+}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 1210 590 0 0 {name=R36
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 1950 600 0 0 {name=R40
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1190 930 0 0 {name=M34
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1970 910 0 1 {name=M37
+L=0.15
+W=61
+nf=61
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1550 1150 0 0 {name=M39
+L=0.15
+W=172
+nf=172
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 1210 1120 0 0 {name=l131 sig_type=std_logic lab=vst}
+C {lab_pin.sym} 1210 760 0 0 {name=l26 sig_type=std_logic lab=vfbn}
+C {lab_pin.sym} 1950 750 0 0 {name=l109 sig_type=std_logic lab=vfbp}
+C {lab_pin.sym} 2100 910 0 0 {name=l110 sig_type=std_logic lab=vout5n}
+C {lab_pin.sym} 1050 930 0 0 {name=l113 sig_type=std_logic lab=vout5p}
+C {lab_pin.sym} -1530 -160 0 0 {name=l6 sig_type=std_logic lab=vinp}
+C {lab_pin.sym} -550 -180 0 0 {name=l108 sig_type=std_logic lab=vinn}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1460 0 0 0 {name=M41
+L=0.15
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1680 0 0 1 {name=M42
+L=0.15
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1550 110 0 0 {name=M43
+L=0.15
+W=1
+nf=1
+mult=28
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 1480 -90 0 0 {name=l114 sig_type=std_logic lab=vd11}
+C {lab_pin.sym} 1660 -90 0 0 {name=l115 sig_type=std_logic lab=vd12}
+C {lab_pin.sym} 1390 0 0 0 {name=l136 sig_type=std_logic lab=vin0p}
+C {lab_pin.sym} 1770 0 0 0 {name=l139 sig_type=std_logic lab=vin0n}
+C {lab_pin.sym} 2780 1100 0 0 {name=l125 sig_type=std_logic lab=vfbp}
+C {lab_pin.sym} 2550 1100 0 0 {name=l122 sig_type=std_logic lab=vfbn}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} 0 -400 3 0 {name=M32
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} 510 -410 3 0 {name=M33
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 0 -360 0 0 {name=l116 sig_type=std_logic lab=vc}
+C {lab_pin.sym} 510 -370 0 0 {name=l117 sig_type=std_logic lab=vc}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} -30 680 3 0 {name=M35
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} 480 690 3 0 {name=M36
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -30 720 0 0 {name=l118 sig_type=std_logic lab=vc}
+C {lab_pin.sym} 480 740 0 0 {name=l129 sig_type=std_logic lab=vc}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} -1290 650 3 0 {name=M38
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} -770 660 3 0 {name=M40
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -1290 700 0 0 {name=l132 sig_type=std_logic lab=vc}
+C {lab_pin.sym} -770 700 0 0 {name=l133 sig_type=std_logic lab=vc}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} -2460 670 3 0 {name=M44
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet3_01v8_lvt.sym} -1950 680 3 0 {name=M45
+L=0.15
+W=1
+nf=1
+mult=1
+body=vss
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -2460 710 0 0 {name=l134 sig_type=std_logic lab=vc}
+C {lab_pin.sym} -1950 720 0 0 {name=l135 sig_type=std_logic lab=vc}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1350 -1510 0 1 {name=M9
+L=2
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1130 -1650 0 0 {name=M46
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -910 -1650 0 1 {name=M47
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1040 -1510 0 0 {name=M48
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -730 -1510 0 0 {name=M49
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -950 -1790 0 0 {name=M50
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -1090 -1790 0 1 {name=M51
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -730 -1730 0 0 {name=M52
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -660 -1650 0 0 {name=l147 sig_type=std_logic lab=vo}
+C {lab_pin.sym} -1170 -1650 0 0 {name=l148 sig_type=std_logic lab=vref}
+C {lab_pin.sym} -870 -1650 1 1 {name=l149 sig_type=std_logic lab=vcm}
+C {sky130_fd_pr/cap_mim_m3_1.sym} -760 -1600 0 0 {name=C3 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1130 -1170 0 0 {name=M54
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -910 -1170 0 1 {name=M55
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1040 -1030 0 0 {name=M56
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -730 -1030 0 0 {name=M57
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -950 -1310 0 0 {name=M58
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -1090 -1310 0 1 {name=M59
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -730 -1250 0 0 {name=M60
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -660 -1170 0 0 {name=l154 sig_type=std_logic lab=vo2}
+C {lab_pin.sym} -1170 -1170 0 0 {name=l155 sig_type=std_logic lab=vref}
+C {lab_pin.sym} -870 -1170 1 1 {name=l156 sig_type=std_logic lab=vcm2}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1830 -1290 1 0 {name=R35
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1720 -1290 1 0 {name=R37
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -1900 -1290 0 0 {name=l158 sig_type=std_logic lab=vd11}
+C {lab_pin.sym} -1590 -1290 0 0 {name=l159 sig_type=std_logic lab=vd12}
+C {lab_pin.sym} -1770 -1290 1 1 {name=l160 sig_type=std_logic lab=vcm}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1840 -1060 1 0 {name=R38
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1730 -1060 1 0 {name=R39
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -1780 -1060 1 1 {name=l164 sig_type=std_logic lab=vcm2}
+C {lab_pin.sym} -1910 -1060 0 0 {name=l141 sig_type=std_logic lab=vout1p}
+C {lab_pin.sym} -1610 -1060 0 0 {name=l142 sig_type=std_logic lab=vout1n}
+C {lab_pin.sym} -1200 60 0 0 {name=l1 sig_type=std_logic lab=vo}
+C {lab_pin.sym} -1180 -150 0 0 {name=l4 sig_type=std_logic lab=vo2}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 360 -1650 0 0 {name=M62
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 580 -1650 0 1 {name=M63
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 450 -1510 0 0 {name=M64
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 760 -1510 0 0 {name=M65
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 540 -1790 0 0 {name=M66
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 400 -1790 0 1 {name=M67
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 760 -1730 0 0 {name=M68
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 830 -1650 0 0 {name=l153 sig_type=std_logic lab=vo21}
+C {lab_pin.sym} 320 -1650 0 0 {name=l162 sig_type=std_logic lab=vref}
+C {lab_pin.sym} 620 -1650 1 1 {name=l163 sig_type=std_logic lab=vcm21}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 360 -1170 0 0 {name=M70
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 580 -1170 0 1 {name=M71
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 450 -1030 0 0 {name=M72
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 760 -1030 0 0 {name=M73
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 540 -1310 0 0 {name=M74
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 400 -1310 0 1 {name=M75
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 760 -1250 0 0 {name=M76
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 830 -1170 0 0 {name=l167 sig_type=std_logic lab=vo22}
+C {lab_pin.sym} 320 -1170 0 0 {name=l168 sig_type=std_logic lab=vref}
+C {lab_pin.sym} 620 -1170 1 1 {name=l169 sig_type=std_logic lab=vcm22}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -340 -1290 1 0 {name=R43
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -230 -1290 1 0 {name=R44
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -410 -1290 0 0 {name=l171 sig_type=std_logic lab=vd21}
+C {lab_pin.sym} -100 -1290 0 0 {name=l172 sig_type=std_logic lab=vd22}
+C {lab_pin.sym} -280 -1290 1 1 {name=l173 sig_type=std_logic lab=vcm21}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -350 -1060 1 0 {name=R45
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -240 -1060 1 0 {name=R46
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -290 -1060 1 1 {name=l175 sig_type=std_logic lab=vcm22}
+C {lab_pin.sym} -420 -1060 0 0 {name=l176 sig_type=std_logic lab=vout2p}
+C {lab_pin.sym} -120 -1060 0 0 {name=l177 sig_type=std_logic lab=vout2n}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2300 1710 0 0 {name=M78
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2080 1710 0 1 {name=M79
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2210 1850 0 0 {name=M80
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1900 1850 0 0 {name=M81
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -2120 1570 0 0 {name=M82
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -2260 1570 0 1 {name=M83
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -1900 1630 0 0 {name=M84
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -1830 1710 0 0 {name=l180 sig_type=std_logic lab=vo31}
+C {lab_pin.sym} -2340 1710 0 0 {name=l181 sig_type=std_logic lab=vref}
+C {lab_pin.sym} -2040 1710 1 1 {name=l182 sig_type=std_logic lab=vcm31}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2300 2190 0 0 {name=M86
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2080 2190 0 1 {name=M87
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -2210 2330 0 0 {name=M88
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -1900 2330 0 0 {name=M89
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -2120 2050 0 0 {name=M90
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -2260 2050 0 1 {name=M91
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -1900 2110 0 0 {name=M92
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -1830 2190 0 0 {name=l185 sig_type=std_logic lab=vo32}
+C {lab_pin.sym} -2340 2190 0 0 {name=l186 sig_type=std_logic lab=vref}
+C {lab_pin.sym} -2040 2190 1 1 {name=l187 sig_type=std_logic lab=vcm32}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -3000 2070 1 0 {name=R49
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -2890 2070 1 0 {name=R50
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -3070 2070 0 0 {name=l189 sig_type=std_logic lab=vd31}
+C {lab_pin.sym} -2760 2070 0 0 {name=l190 sig_type=std_logic lab=vd32}
+C {lab_pin.sym} -2940 2070 1 1 {name=l191 sig_type=std_logic lab=vcm31}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -3010 2300 1 0 {name=R51
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -2900 2300 1 0 {name=R52
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -2950 2300 1 1 {name=l193 sig_type=std_logic lab=vcm32}
+C {lab_pin.sym} -3080 2300 0 0 {name=l194 sig_type=std_logic lab=vout3p}
+C {lab_pin.sym} -2780 2300 0 0 {name=l195 sig_type=std_logic lab=vout3n}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -890 1730 0 0 {name=M94
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -670 1730 0 1 {name=M95
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -800 1870 0 0 {name=M96
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -490 1870 0 0 {name=M97
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -710 1590 0 0 {name=M98
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -850 1590 0 1 {name=M99
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -490 1650 0 0 {name=M100
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -420 1730 0 0 {name=l198 sig_type=std_logic lab=vo41}
+C {lab_pin.sym} -930 1730 0 0 {name=l199 sig_type=std_logic lab=vref}
+C {lab_pin.sym} -630 1730 1 1 {name=l200 sig_type=std_logic lab=vcm41}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -890 2210 0 0 {name=M102
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -670 2210 0 1 {name=M103
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -800 2350 0 0 {name=M104
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} -490 2350 0 0 {name=M105
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -710 2070 0 0 {name=M106
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -850 2070 0 1 {name=M107
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} -490 2130 0 0 {name=M108
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} -420 2210 0 0 {name=l203 sig_type=std_logic lab=vo42}
+C {lab_pin.sym} -930 2210 0 0 {name=l204 sig_type=std_logic lab=vref}
+C {lab_pin.sym} -630 2210 1 1 {name=l205 sig_type=std_logic lab=vcm42}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1590 2090 1 0 {name=R55
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1480 2090 1 0 {name=R56
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -1660 2090 0 0 {name=l207 sig_type=std_logic lab=vd41}
+C {lab_pin.sym} -1350 2090 0 0 {name=l208 sig_type=std_logic lab=vd42}
+C {lab_pin.sym} -1530 2090 1 1 {name=l209 sig_type=std_logic lab=vcm41}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1600 2320 1 0 {name=R57
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1490 2320 1 0 {name=R58
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -1540 2320 1 1 {name=l211 sig_type=std_logic lab=vcm42}
+C {lab_pin.sym} -1670 2320 0 0 {name=l212 sig_type=std_logic lab=vout4p}
+C {lab_pin.sym} -1370 2320 0 0 {name=l213 sig_type=std_logic lab=vout4n}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 490 1700 0 0 {name=M110
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 710 1700 0 1 {name=M111
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 580 1840 0 0 {name=M112
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 890 1840 0 0 {name=M113
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 670 1560 0 0 {name=M114
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 530 1560 0 1 {name=M115
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 890 1620 0 0 {name=M116
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 960 1700 0 0 {name=l216 sig_type=std_logic lab=vo51}
+C {lab_pin.sym} 450 1700 0 0 {name=l217 sig_type=std_logic lab=vref}
+C {lab_pin.sym} 750 1700 1 1 {name=l218 sig_type=std_logic lab=vcm51}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 490 2180 0 0 {name=M118
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 710 2180 0 1 {name=M119
+L=0.25
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 580 2320 0 0 {name=M120
+L=2
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 890 2320 0 0 {name=M121
+L=2
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 670 2040 0 0 {name=M122
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 530 2040 0 1 {name=M123
+L=0.5
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 890 2100 0 0 {name=M124
+L=0.5
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_pin.sym} 960 2180 0 0 {name=l221 sig_type=std_logic lab=vo52}
+C {lab_pin.sym} 450 2180 0 0 {name=l222 sig_type=std_logic lab=vref}
+C {lab_pin.sym} 750 2180 1 1 {name=l223 sig_type=std_logic lab=vcm52}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -210 2060 1 0 {name=R61
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -100 2060 1 0 {name=R62
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -280 2060 0 0 {name=l225 sig_type=std_logic lab=vd51}
+C {lab_pin.sym} 30 2060 0 0 {name=l226 sig_type=std_logic lab=vd52}
+C {lab_pin.sym} -150 2060 1 1 {name=l227 sig_type=std_logic lab=vcm51}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -220 2290 1 0 {name=R63
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -110 2290 1 0 {name=R64
+W=5.73
+L=5.92
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -160 2290 1 1 {name=l229 sig_type=std_logic lab=vcm52}
+C {lab_pin.sym} -290 2290 0 0 {name=l230 sig_type=std_logic lab=vout5p}
+C {lab_pin.sym} 10 2290 0 0 {name=l231 sig_type=std_logic lab=vout5n}
+C {sky130_fd_pr/res_high_po_0p35.sym} -810 -1680 0 0 {name=R33
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} -810 -1190 0 0 {name=R34
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} 680 -1680 0 0 {name=R41
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} 680 -1190 0 0 {name=R42
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} -1980 1680 0 0 {name=R47
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} -1980 2170 0 0 {name=R48
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} -570 1700 0 0 {name=R53
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} -570 2190 0 0 {name=R54
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} 810 1670 0 0 {name=R59
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} 810 2160 0 0 {name=R60
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} -1470 -1850 0 0 {name=l232 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -1470 -1370 0 0 {name=l150 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} 20 -1850 0 0 {name=l245 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} 20 -1370 0 0 {name=l246 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -1520 -730 0 0 {name=l247 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -230 -750 0 0 {name=l7 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -2690 330 0 0 {name=l23 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -1520 320 0 0 {name=l47 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -260 340 0 0 {name=l64 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} 1100 340 0 0 {name=l87 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -2640 1510 0 0 {name=l255 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -2640 1990 0 0 {name=l178 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -1230 1530 0 0 {name=l123 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} -1230 2010 0 0 {name=l201 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} 150 1500 0 0 {name=l214 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} 150 1980 0 0 {name=l219 sig_type=std_logic lab=vdd}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1660 280 0 0 {name=M53
+L=0.15
+W=1.28
+nf=2
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/res_high_po_0p35.sym} 2550 1160 0 0 {name=R31
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_high_po_0p35.sym} 2780 1160 0 0 {name=R32
+W=0.35
+L=4
+model=res_high_po_0p35
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1550 1350 0 0 {name=M61
+L=0.15
+W=0.64
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/cap_mim_m3_1.sym} -760 -1120 0 0 {name=C4 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 730 -1600 0 0 {name=C5 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 730 -1120 0 0 {name=C6 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} -1930 1760 0 0 {name=C13 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} -1930 2240 0 0 {name=C7 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} -520 1780 0 0 {name=C8 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} -520 2260 0 0 {name=C9 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 860 1750 0 0 {name=C10 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 860 2230 0 0 {name=C11 model=cap_mim_m3_1 W=25 L=25 MF=4 spiceprefix=X}
+C {lab_pin.sym} 1480 70 0 0 {name=l257 sig_type=std_logic lab=vsoc}
+C {lab_pin.sym} -1880 -1340 0 0 {name=l2 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1890 -1120 0 0 {name=l3 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1470 -1450 0 0 {name=l5 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1360 -970 0 0 {name=l8 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -830 -1670 0 0 {name=l9 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -830 -1180 0 0 {name=l10 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 20 -1450 0 0 {name=l11 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 20 -970 0 0 {name=l12 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 660 -1180 0 0 {name=l13 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 660 -1670 0 0 {name=l14 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 660 -1180 0 0 {name=l19 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -390 -1340 0 0 {name=l20 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -400 -1110 0 0 {name=l21 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1570 1420 0 0 {name=l24 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1680 350 0 0 {name=l27 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1050 110 0 0 {name=l28 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1050 -100 0 0 {name=l29 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1050 -230 0 0 {name=l30 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1250 -500 0 0 {name=l31 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1440 -500 0 0 {name=l33 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1350 -160 0 0 {name=l34 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -720 -180 0 0 {name=l43 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -940 -490 0 0 {name=l44 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -730 -480 0 0 {name=l46 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -50 -180 0 0 {name=l48 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 240 90 0 0 {name=l49 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 240 -120 0 0 {name=l50 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 240 -260 0 0 {name=l51 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 40 -520 0 0 {name=l52 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -150 -520 0 0 {name=l53 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 350 -510 0 0 {name=l54 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 560 -510 0 0 {name=l63 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 570 -200 0 0 {name=l65 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1570 160 0 0 {name=l66 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2220 1180 0 0 {name=l67 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2510 900 0 0 {name=l68 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2220 820 0 0 {name=l69 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2220 960 0 0 {name=l70 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2420 560 0 0 {name=l71 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2610 560 0 0 {name=l82 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2110 570 0 0 {name=l83 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1900 570 0 0 {name=l84 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1890 880 0 0 {name=l88 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1050 1160 0 0 {name=l89 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1340 890 0 0 {name=l90 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1440 550 0 0 {name=l91 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1250 550 0 0 {name=l92 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -940 560 0 0 {name=l93 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -730 560 0 0 {name=l94 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1050 810 0 0 {name=l107 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1050 940 0 0 {name=l111 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -720 870 0 0 {name=l120 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -90 910 0 0 {name=l121 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 210 1180 0 0 {name=l124 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 210 970 0 0 {name=l128 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 210 830 0 0 {name=l130 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -180 570 0 0 {name=l137 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 10 580 0 0 {name=l140 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 320 580 0 0 {name=l144 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 530 590 0 0 {name=l145 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 540 890 0 0 {name=l146 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1180 590 0 0 {name=l119 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1890 600 0 0 {name=l152 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1280 930 0 0 {name=l157 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1900 910 0 0 {name=l161 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 1570 1210 0 0 {name=l166 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 160 1900 0 0 {name=l170 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 160 2380 0 0 {name=l174 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 790 2170 0 0 {name=l179 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 790 1680 0 0 {name=l184 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -260 2010 0 0 {name=l188 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -270 2240 0 0 {name=l192 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1230 2410 0 0 {name=l197 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1230 1930 0 0 {name=l202 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -590 1710 0 0 {name=l206 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -590 2200 0 0 {name=l210 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1640 2040 0 0 {name=l215 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1650 2270 0 0 {name=l220 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2000 2180 0 0 {name=l224 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2640 2390 0 0 {name=l228 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2640 1910 0 0 {name=l233 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -2000 1690 0 0 {name=l234 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -3050 2020 0 0 {name=l235 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -3060 2250 0 0 {name=l236 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 2550 1210 0 0 {name=l126 sig_type=std_logic lab=vin0p
+}
+C {lab_pin.sym} 2780 1210 0 0 {name=l237 sig_type=std_logic lab=vin0n
+}
+C {lab_pin.sym} 1570 1270 0 0 {name=l239 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} 1680 200 0 0 {name=l240 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} -1370 -1600 0 0 {name=l241 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} 2550 1330 0 0 {name=l242 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} 2780 1320 0 0 {name=l251 sig_type=std_logic lab=vss
+}
+C {lab_pin.sym} -1220 -1560 0 0 {name=l32 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} -1250 -1080 1 0 {name=l112 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} 310 -1560 1 0 {name=l127 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} 260 -1080 1 0 {name=l238 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} 390 2270 1 0 {name=l243 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} 380 1790 1 0 {name=l253 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} -1010 2300 1 0 {name=l256 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} -1010 1820 1 0 {name=l258 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} -2410 2280 1 0 {name=l259 sig_type=std_logic lab=Iref}
+C {lab_pin.sym} -2400 1800 1 0 {name=l260 sig_type=std_logic lab=Iref}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -900 -490 0 0 {name=R4
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -670 -490 0 0 {name=R5
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -120 -520 0 0 {name=R7
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 110 -520 0 0 {name=R8
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 390 -510 0 0 {name=R10
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 620 -510 0 0 {name=R11
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 590 580 0 0 {name=R13
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 360 580 0 0 {name=R14
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} 80 570 0 0 {name=R16
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -150 570 0 0 {name=R17
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -670 560 0 0 {name=R19
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -900 560 0 0 {name=R20
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1180 550 0 0 {name=R22
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1410 550 0 0 {name=R23
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -1840 570 0 0 {name=R25
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -2070 570 0 0 {name=R26
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -2350 560 0 0 {name=R28
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/res_xhigh_po_5p73.sym} -2580 560 0 0 {name=R29
+W=5.73
+L=0.592
+model=res_xhigh_po_5p73
+spiceprefix=X
+mult=1}
+C {lab_pin.sym} 1570 30 0 0 {name=l106 sig_type=std_logic lab=vss
+}
+C {ipin.sym} -2680 -370 0 0 {name=p1 lab=vss}
+C {ipin.sym} -2680 -320 0 0 {name=p12 lab=Iref}
+C {ipin.sym} -2680 -280 0 0 {name=p13 lab=vref}
+C {ipin.sym} -2680 -240 0 0 {name=p14 lab=vc}
+C {ipin.sym} -2680 -150 0 0 {name=p15 lab=vinp}
+C {ipin.sym} -2680 -120 0 0 {name=p16 lab=vinn}
+C {ipin.sym} -2680 -70 0 0 {name=p17 lab=vin0p}
+C {ipin.sym} -2680 -40 0 0 {name=p18 lab=vin0n}
+C {opin.sym} -2690 -210 0 0 {name=p19 lab=vout5p}
+C {opin.sym} -2690 -180 0 0 {name=p20 lab=vout5n}
+C {ipin.sym} -2680 -350 0 0 {name=p2 lab=vdd}
diff --git a/xschem/topLevel.spice b/xschem/topLevel.spice
new file mode 100644
index 0000000..684ed05
--- /dev/null
+++ b/xschem/topLevel.spice
@@ -0,0 +1,460 @@
+** sch_path: /foss/designs/finalcircuit/simulations/topLevel.sch
+.subckt topLevel vdd vss Iref vref vc vout5p vout5n vinp vinn vin0p vin0n
+*.PININFO vdd:B vss:B Iref:B vref:B vc:B vout5p:B vout5n:B vinp:B vinn:B vin0p:B vin0n:B
+XR1 vd11 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR2 vout1p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR3 vd11 vout1p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR6 vout1n vd12 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM1 vd11 vinp vs11 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM2 vout1p vd11 vs12 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM3 vout1n vd12 vs12 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM4 vd12 vinn vs11 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM5 vs12 vo2 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM6 vs11 vo vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR9 vd21 vout2p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR12 vout2n vd22 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM7 vd21 vout1p vs21 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM8 vout2p vd21 vs22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM10 vout2n vd22 vs22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM11 vd22 vout1n vs21 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM12 vs22 vo22 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM13 vs21 vo21 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR15 vd41 vout4p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR18 vout4n vd42 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM14 vd41 vout3p vs41 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM15 vout4p vd41 vs42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM16 vout4n vd42 vs42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM17 vd42 vout3n vs41 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM18 vs42 vo42 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM19 vs41 vo41 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR27 vd31 vout3p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR30 vout3n vd32 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM26 vd31 vout2p vs31 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM27 vout3p vd31 vs32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM28 vout3n vd32 vs32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM29 vd32 vout2n vs31 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM30 vs32 vo32 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM31 vs31 vo31 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR21 vd51 vout5p vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XR24 vout5n vd52 vss sky130_fd_pr__res_xhigh_po_5p73 L=1.5 mult=1 m=1
+XM20 vd51 vout4p vs51 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM21 vout5p vd51 vs52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM22 vout5n vd52 vs52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM23 vd52 vout4n vs51 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM24 vs52 vo52 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM25 vs51 vo51 vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR36 vfbn vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR40 vfbp vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XM34 vfbn vout5p vst vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM37 vfbp vout5n vst vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=61 nf=61 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM39 vst Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=172 nf=172 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM41 vd11 vin0p vsoc vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM42 vd12 vin0n vsoc vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM43 vsoc Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=28 m=28
+XM32 vd21 vc vout2p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM33 vout2n vc vd22 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM35 vd51 vc vout5p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM36 vout5n vc vd52 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM38 vd41 vc vout4p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM40 vout4n vc vd42 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM44 vd31 vc vout3p vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM45 vout3n vc vd32 vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM9 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM46 net2 vref net1 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM47 net3 vcm net1 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM48 net1 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM49 vo Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM50 net3 net2 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM51 net2 net2 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM52 vo net3 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XC3 net7 vo sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XM54 net5 vref net4 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM55 net6 vcm2 net4 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM56 net4 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM57 vo2 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM58 net6 net5 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM59 net5 net5 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1
+XM60 vo2 net6 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR35 vd11 vcm vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR37 vcm vd12 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR38 vout1p vcm2 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR39 vcm2 vout1n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM62 net10 vref net9 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM63 net11 vcm21 net9 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM64 net9 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM65 vo21 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM66 net11 net10 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM67 net10 net10 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM68 vo21 net11 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM70 net13 vref net12 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM71 net14 vcm22 net12 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM72 net12 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM73 vo22 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM74 net14 net13 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM75 net13 net13 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM76 vo22 net14 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR43 vd21 vcm21 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR44 vcm21 vd22 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR45 vout2p vcm22 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR46 vcm22 vout2n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM78 net18 vref net17 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM79 net19 vcm31 net17 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM80 net17 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM81 vo31 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM82 net19 net18 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM83 net18 net18 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM84 vo31 net19 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM86 net21 vref net20 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM87 net22 vcm32 net20 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM88 net20 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM89 vo32 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM90 net22 net21 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM91 net21 net21 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM92 vo32 net22 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XR49 vd31 vcm31 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR50 vcm31 vd32 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR51 vout3p vcm32 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR52 vcm32 vout3n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM94 net26 vref net25 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM95 net27 vcm41 net25 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM96 net25 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM97 vo41 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM98 net27 net26 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM99 net26 net26 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM100 vo41 net27 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM102 net29 vref net28 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM103 net30 vcm42 net28 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM104 net28 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM105 vo42 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM106 net30 net29 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM107 net29 net29 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM108 vo42 net30 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XR55 vd41 vcm41 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR56 vcm41 vd42 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR57 vout4p vcm42 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR58 vcm42 vout4n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XM110 net34 vref net33 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM111 net35 vcm51 net33 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM112 net33 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM113 vo51 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM114 net35 net34 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM115 net34 net34 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM116 vo51 net35 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XM118 net37 vref net36 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM119 net38 vcm52 net36 vss sky130_fd_pr__nfet_01v8_lvt L=0.25 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM120 net36 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2
+XM121 vo52 Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=2 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10
+XM122 net38 net37 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM123 net37 net37 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XM124 vo52 net38 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.5 W=1 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10
+XR61 vd51 vcm51 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR62 vcm51 vd52 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR63 vout5p vcm52 vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR64 vcm52 vout5n vss sky130_fd_pr__res_xhigh_po_5p73 L=5.92 mult=1 m=1
+XR33 net7 net3 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR34 net8 net6 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR41 net15 net11 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR42 net16 net14 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR47 net23 net19 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR48 net24 net22 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR53 net31 net27 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR54 net32 net30 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR59 net39 net35 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR60 net40 net38 vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XM53 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=1.28 nf=2 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1
+XR31 vin0p vfbn vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XR32 vin0n vfbp vss sky130_fd_pr__res_high_po_0p35 L=4 mult=1 m=1
+XM61 Iref Iref vss vss sky130_fd_pr__nfet_01v8_lvt L=0.15 W=0.64 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=2 m=2
+XC4 net8 vo2 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC5 net15 vo21 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC6 net16 vo22 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC13 net23 vo31 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC7 net24 vo32 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC8 net31 vo41 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC9 net32 vo42 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC10 net39 vo51 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XC11 net40 vo52 sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=4 m=4
+XR4 vout1n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR5 vd12 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR7 vd21 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR8 vout2p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR10 vout2n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR11 vd22 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR13 vd52 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR14 vout5n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR16 vout5p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR17 vd51 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR19 vd42 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR20 vout4n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR22 vout4p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR23 vd41 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR25 vd32 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR26 vout3n vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR28 vout3p vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+XR29 vd31 vdd vss sky130_fd_pr__res_xhigh_po_5p73 L=0.592 mult=1 m=1
+**** begin user architecture code
+
+** opencircuitdesign pdks install
+.lib /foss/pdks/sky130A/libs.tech/ngspice/sky130.lib.spice tt
+
+
+
+
+.control
+ set wr_vecnames
+ set wr_singlescale
+
+ let mc_runs = 1
+ let run = 1
+ dowhile run <= mc_runs
+  dc V4 1.25 1.251 0.0001
+  *tran 0.01ns 10ns
+  *ac dec 30 10 30000000000
+  options savecurrents
+  save all
+  plot vout5p-vout5n
+
+  reset
+  let run = run + 1
+ end
+.endc
+
+
+
+**** end user architecture code
+.ends
+.end
diff --git a/xschem/topLevel.sym b/xschem/topLevel.sym
new file mode 100644
index 0000000..2f22d6b
--- /dev/null
+++ b/xschem/topLevel.sym
@@ -0,0 +1,55 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+formate ="@name @pinlist @symname"
+template="name=x1"}
+V {}
+S {}
+E {}
+L 4 30 -60 30 -0 {}
+L 4 30 0 100 -30 {}
+L 4 30 -60 100 -30 {}
+L 4 20 -40 30 -40 {}
+L 4 20 -20 30 -20 {}
+L 4 80 -40 110 -40 {}
+L 4 80 -20 110 -20 {}
+L 4 40 -70 40 -60 {}
+L 4 40 -0 40 10 {}
+L 4 50 -70 50 -60 {}
+L 4 60 -70 60 -60 {}
+L 4 20 -30 30 -30 {}
+L 4 50 0 50 10 {}
+L 4 60 0 60 10 {}
+B 5 17.5 -42.5 22.5 -37.5 {name=vinp
+dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=vc
+dir=in}
+B 5 17.5 -22.5 22.5 -17.5 {name=vinn
+dir=in}
+B 5 37.5 -72.5 42.5 -67.5 {name=vdd
+dir=in}
+B 5 47.5 -72.5 52.5 -67.5 {name=Iref
+dir=in}
+B 5 57.5 -72.5 62.5 -67.5 {name=vref
+dir=in}
+B 5 37.5 7.5 42.5 12.5 {name=vss
+dir=in}
+B 5 47.5 7.5 52.5 12.5 {name=vin0p
+dir=in}
+B 5 107.5 -42.5 112.5 -37.5 {name=vout5p
+dir=out}
+B 5 107.5 -22.5 112.5 -17.5 {name=vout5n
+dir=out}
+B 5 57.5 7.5 62.5 12.5 {name=vin0n
+dir=in}
+T {vinp} 0 -40 0 0 0.1 0.1 {}
+T {vc} 0 -30 0 0 0.1 0.1 {}
+T {vinn} 0 -20 0 0 0.1 0.1 {}
+T {vss} 40 10 0 0 0.1 0.1 {}
+T {vin0p} 50 10 0 0 0.1 0.1 {}
+T {vin0n} 60 10 0 0 0.1 0.1 {}
+T {vdd} 30 -70 0 0 0.1 0.1 {}
+T {Iref} 40 -70 0 0 0.1 0.1 {}
+T {vref} 60 -70 0 0 0.1 0.1 {}
+T {vout5p} 110 -40 0 0 0.1 0.1 {}
+T {vout5n} 110 -20 0 0 0.1 0.1 {}
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index f69667a..804251e 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -1,4 +1,5 @@
-v {xschem version=3.0.0 file_version=1.2 }
+v {xschem version=3.1.0 file_version=1.2
+}
 G {}
 K {}
 V {}
@@ -25,15 +26,15 @@
 N 3630 -460 3730 -460 {
 lab=REF}
 N 3690 -380 3730 -380 {
-lab=io_in[14]}
-N 3690 -360 3730 -360 {
 lab=io_in[15]}
-N 3690 -340 3730 -340 {
+N 3690 -360 3730 -360 {
 lab=io_in[16]}
-N 3690 -320 3730 -320 {
+N 3690 -340 3730 -340 {
 lab=io_in[17]}
-N 3690 -300 3730 -300 {
+N 3690 -320 3730 -320 {
 lab=io_in[18]}
+N 3690 -300 3730 -300 {
+lab=io_in[19]}
 N 3670 -240 3730 -240 {
 lab=io_analog[10]}
 N 3280 -190 3350 -190 {
@@ -93,19 +94,123 @@
 N 3290 150 3370 150 {
 lab=la_data_in[127:0]}
 N 4920 -380 4980 -380 {
-lab=REF}
+lab=REF2}
 N 4830 -150 4830 -110 {
 lab=vssa2}
 N 4920 -370 4980 -370 {
-lab=io_analog[7]}
+lab=REF}
 N 4830 -510 4830 -430 {
 lab=vccd2}
 N 4980 -370 5030 -370 {
-lab=io_analog[7]}
+lab=REF}
 N 4720 -250 4780 -250 {
-lab=gpio_analog[12]}
+lab=gpio_analog[7]}
 N 4920 -400 4980 -400 {
 lab=io_analog[6]}
+N 3750 80 3850 80 {
+lab=REF2}
+N 3810 160 3850 160 {
+lab=io_in[15]}
+N 3810 180 3850 180 {
+lab=io_in[16]}
+N 3810 200 3850 200 {
+lab=io_in[17]}
+N 3810 220 3850 220 {
+lab=io_in[18]}
+N 3810 240 3850 240 {
+lab=io_in[19]}
+N 3790 300 3850 300 {
+lab=io_analog[10]}
+N 4020 440 4110 440 {
+lab=vssa2}
+N 4020 -40 4090 -40 {
+lab=vdda2}
+N 4390 160 4520 160 {
+lab=txina}
+N 4390 220 4520 220 {
+lab=txinb}
+N 4520 180 4520 220 {
+lab=txinb}
+N 4670 160 4720 160 {
+lab=#net9}
+N 4670 180 4720 180 {
+lab=#net10}
+N 4920 -360 4980 -360 {
+lab=io_analog[7]}
+N 4920 -350 4980 -350 {
+lab=REF3}
+N 4720 160 4820 160 {
+lab=#net9}
+N 4720 180 4820 180 {
+lab=#net10}
+N 5340 170 5400 170 {
+lab=io_analog[2]}
+N 5340 150 5400 150 {
+lab=io_analog[3]}
+N 5270 100 5270 120 {
+lab=vccd1}
+N 5280 100 5280 120 {
+lab=REF3}
+N 5290 100 5290 120 {
+lab=io_analog[6]}
+N 5270 200 5270 220 {
+lab=vssa1}
+N 5280 200 5280 220 {
+lab=io_analog[0]}
+N 5290 200 5290 220 {
+lab=io_analog[1]}
+N 5210 150 5250 150 {
+lab=io_analog[4]}
+N 5210 160 5250 160 {
+lab=io_in[13]}
+N 5210 170 5250 170 {
+lab=io_analog[5]}
+N 4780 170 4820 170 {
+lab=io_in[13]}
+N 5280 80 5280 100 {
+lab=REF3}
+N 5290 50 5290 100 {
+lab=io_analog[6]}
+N 5280 220 5280 250 {
+lab=io_analog[0]}
+N 5290 220 5290 290 {
+lab=io_analog[1]}
+N 5210 140 5210 150 {
+lab=io_analog[4]}
+N 5200 140 5210 140 {
+lab=io_analog[4]}
+N 5210 170 5210 180 {
+lab=io_analog[5]}
+N 5200 180 5210 180 {
+lab=io_analog[5]}
+N 4920 -340 4980 -340 {
+lab=REF4}
+N 4980 -340 4980 -330 {
+lab=REF4}
+N 4840 110 4840 130 {
+lab=vccd1}
+N 4850 110 4850 130 {
+lab=REF4}
+N 4860 110 4860 130 {
+lab=io_analog[6]}
+N 4850 90 4850 110 {
+lab=REF4}
+N 4860 60 4860 110 {
+lab=io_analog[6]}
+N 4840 210 4840 230 {
+lab=vssa1}
+N 4850 210 4850 230 {
+lab=io_analog[0]}
+N 4860 210 4860 230 {
+lab=io_analog[1]}
+N 4850 230 4850 260 {
+lab=io_analog[0]}
+N 4860 230 4860 300 {
+lab=io_analog[1]}
+N 4910 180 4970 180 {
+lab=io_analog[2]}
+N 4910 160 4970 160 {
+lab=io_analog[3]}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -144,11 +249,11 @@
 C {devices/lab_pin.sym} 4340 -380 2 0 {name=l3 sig_type=std_logic lab=io_analog[9]}
 C {devices/lab_pin.sym} 4340 -320 2 0 {name=l4 sig_type=std_logic lab=io_analog[8]}
 C {devices/lab_pin.sym} 3660 -460 0 0 {name=l5 sig_type=std_logic lab=REF}
-C {devices/lab_pin.sym} 3690 -380 0 0 {name=l6 sig_type=std_logic lab=io_in[14]}
-C {devices/lab_pin.sym} 3690 -360 0 0 {name=l7 sig_type=std_logic lab=io_in[15]}
-C {devices/lab_pin.sym} 3690 -340 0 0 {name=l8 sig_type=std_logic lab=io_in[16]}
-C {devices/lab_pin.sym} 3690 -320 0 0 {name=l9 sig_type=std_logic lab=io_in[17]}
-C {devices/lab_pin.sym} 3690 -300 0 0 {name=l10 sig_type=std_logic lab=io_in[18]}
+C {devices/lab_pin.sym} 3690 -380 0 0 {name=l6 sig_type=std_logic lab=io_in[15]}
+C {devices/lab_pin.sym} 3690 -360 0 0 {name=l7 sig_type=std_logic lab=io_in[16]}
+C {devices/lab_pin.sym} 3690 -340 0 0 {name=l8 sig_type=std_logic lab=io_in[17]}
+C {devices/lab_pin.sym} 3690 -320 0 0 {name=l9 sig_type=std_logic lab=io_in[18]}
+C {devices/lab_pin.sym} 3690 -300 0 0 {name=l10 sig_type=std_logic lab=io_in[19]}
 C {devices/lab_pin.sym} 3670 -240 0 0 {name=l11 sig_type=std_logic lab=io_analog[10]}
 C {devices/lab_pin.sym} 3670 -580 0 0 {name=l12 sig_type=std_logic lab=vdda2}
 C {devices/lab_pin.sym} 3370 210 2 0 {name=l13 sig_type=std_logic lab=la_oenb[127:0]}
@@ -175,8 +280,52 @@
 C {devices/lab_pin.sym} 3350 20 2 0 {name=l34 sig_type=std_logic lab=wbs_adr_i[31:0]}
 C {BGR_lvs.sym} 4610 -130 0 0 {name=X2}
 C {lab_wire.sym} 4830 -110 0 0 {name=l35 sig_type=std_logic lab=vssa2}
-C {lab_wire.sym} 4980 -380 0 1 {name=l36 sig_type=std_logic lab=REF}
-C {lab_wire.sym} 5030 -370 0 1 {name=l37 sig_type=std_logic lab=io_analog[7]}
+C {lab_wire.sym} 4980 -380 0 1 {name=l36 sig_type=std_logic lab=REF2}
+C {lab_wire.sym} 5030 -370 0 1 {name=l37 sig_type=std_logic lab=REF}
 C {lab_wire.sym} 4980 -400 0 1 {name=l38 sig_type=std_logic lab=io_analog[6]}
-C {lab_wire.sym} 4720 -250 0 0 {name=l39 sig_type=std_logic lab=gpio_analog[12]}
+C {lab_wire.sym} 4720 -250 0 0 {name=l39 sig_type=std_logic lab=gpio_analog[7]}
 C {lab_wire.sym} 4830 -490 0 0 {name=l40 sig_type=std_logic lab=vccd2}
+C {VCO.sym} 2270 680 0 0 {name=X3}
+C {devices/lab_pin.sym} 3780 80 0 0 {name=l41 sig_type=std_logic lab=REF2}
+C {devices/lab_pin.sym} 3810 160 0 0 {name=l42 sig_type=std_logic lab=io_in[15]}
+C {devices/lab_pin.sym} 3810 180 0 0 {name=l43 sig_type=std_logic lab=io_in[16]}
+C {devices/lab_pin.sym} 3810 200 0 0 {name=l44 sig_type=std_logic lab=io_in[17]}
+C {devices/lab_pin.sym} 3810 220 0 0 {name=l45 sig_type=std_logic lab=io_in[18]}
+C {devices/lab_pin.sym} 3810 240 0 0 {name=l46 sig_type=std_logic lab=io_in[19]}
+C {devices/lab_pin.sym} 3790 300 0 0 {name=l47 sig_type=std_logic lab=io_analog[10]}
+C {devices/lab_pin.sym} 4020 -40 0 0 {name=l48 sig_type=std_logic lab=vdda2
+}
+C {devices/lab_pin.sym} 4020 440 0 0 {name=l49 sig_type=std_logic lab=vssa2}
+C {Tx_line.sym} 4470 260 0 0 {name=X4}
+C {devices/lab_pin.sym} 4490 160 0 0 {name=l50 sig_type=std_logic lab=txina
+}
+C {devices/lab_pin.sym} 4490 220 0 0 {name=l51 sig_type=std_logic lab=txinb
+}
+C {lab_wire.sym} 4980 -360 0 1 {name=l53 sig_type=std_logic lab=io_analog[7]}
+C {noconn.sym} 4920 -330 2 0 {name=l54}
+C {noconn.sym} 4920 -320 2 0 {name=l55}
+C {topLevel.sym} 4800 200 0 0 {name=x5}
+C {topLevel.sym} 5230 190 0 0 {name=x5}
+C {devices/lab_pin.sym} 5270 210 0 0 {name=l56 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 5270 110 0 0 {name=l57 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 4780 170 0 0 {name=l58 sig_type=std_logic lab=io_in[13]}
+C {devices/lab_pin.sym} 5210 160 0 0 {name=l59 sig_type=std_logic lab=io_in[13]}
+C {devices/lab_pin.sym} 5200 140 0 0 {name=l60 sig_type=std_logic lab=io_analog[4]}
+C {devices/lab_pin.sym} 5200 180 0 0 {name=l61 sig_type=std_logic lab=io_analog[5]}
+C {lab_wire.sym} 4980 -380 0 1 {name=l52 sig_type=std_logic lab=REF2}
+C {devices/lab_pin.sym} 4980 -350 2 0 {name=l62 sig_type=std_logic lab=REF3}
+C {devices/lab_pin.sym} 4980 -330 2 0 {name=l63 sig_type=std_logic lab=REF4}
+C {devices/lab_pin.sym} 5280 90 0 0 {name=l64 sig_type=std_logic lab=REF3}
+C {devices/lab_pin.sym} 5290 60 0 0 {name=l65 sig_type=std_logic lab=io_analog[6]}
+C {devices/lab_pin.sym} 5280 230 0 0 {name=l66 sig_type=std_logic lab=io_analog[0]}
+C {devices/lab_pin.sym} 5290 270 0 0 {name=l67 sig_type=std_logic lab=io_analog[1]}
+C {devices/lab_pin.sym} 5400 150 2 0 {name=l68 sig_type=std_logic lab=io_analog[3]}
+C {devices/lab_pin.sym} 5400 170 2 0 {name=l69 sig_type=std_logic lab=io_analog[2]}
+C {devices/lab_pin.sym} 4840 120 0 0 {name=l70 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 4850 100 0 0 {name=l71 sig_type=std_logic lab=REF4}
+C {devices/lab_pin.sym} 4860 70 0 0 {name=l72 sig_type=std_logic lab=io_analog[6]}
+C {devices/lab_pin.sym} 4840 220 0 0 {name=l73 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 4850 240 0 0 {name=l74 sig_type=std_logic lab=io_analog[0]}
+C {devices/lab_pin.sym} 4860 280 0 0 {name=l75 sig_type=std_logic lab=io_analog[1]}
+C {devices/lab_pin.sym} 4970 160 2 0 {name=l76 sig_type=std_logic lab=io_analog[3]}
+C {devices/lab_pin.sym} 4970 180 2 0 {name=l77 sig_type=std_logic lab=io_analog[2]}