blob: 80cb0cd4ce1546df6472da470bc763acee4c5ae0 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 510 STEP 6900 ;
VIAS 5 ;
- via5_6_3100_3100_2_2_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 190 310 350 + ROWCOL 2 2 ;
- via5_6_3100_2810_1_2_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 190 310 1005 + ROWCOL 1 2 ;
- via5_6_3100_2190_1_2_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 190 310 695 + ROWCOL 1 2 ;
- via5_6_1600_3100_2_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 310 350 + ROWCOL 2 1 ;
- via5_6_1740_3100_2_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 350 310 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 6 ;
- merge_memory_inst merge_memory + FIXED ( 500000 2375000 ) N ;
- sky130_sram_2kbyte_1rw1r_32x512_8_inst0 sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 100000 1500000 ) N ;
- sky130_sram_2kbyte_1rw1r_32x512_8_inst1 sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 900000 1500000 ) N ;
- wb_memory_inst wb_memory + FIXED ( 500000 850000 ) N ;
- wb_mux_inst wb_mux + FIXED ( 1250000 200000 ) N ;
- wfg_top_inst wfg_top + FIXED ( 1900000 2200000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1429160 -3474150 ) ( 1432260 122070 )
+ LAYER met4 ( 1249160 -3474150 ) ( 1252260 122070 )
+ LAYER met4 ( 1069160 -688555 ) ( 1072260 122070 )
+ LAYER met4 ( 1069160 -3474150 ) ( 1072260 -1233765 )
+ LAYER met4 ( 889160 -688555 ) ( 892260 122070 )
+ LAYER met4 ( 889160 -3474150 ) ( 892260 -1233765 )
+ LAYER met4 ( 709160 -688555 ) ( 712260 122070 )
+ LAYER met4 ( 709160 -3474150 ) ( 712260 -1233765 )
+ LAYER met4 ( 529160 -688555 ) ( 532260 122070 )
+ LAYER met4 ( 529160 -3474150 ) ( 532260 -1233765 )
+ LAYER met4 ( 349160 -3474150 ) ( 352260 122070 )
+ LAYER met4 ( 169160 -3474150 ) ( 172260 122070 )
+ LAYER met4 ( -10840 -1509660 ) ( -7740 122070 )
+ LAYER met4 ( -10840 -3474150 ) ( -7740 -1945560 )
+ LAYER met4 ( -190840 -1509660 ) ( -187740 122070 )
+ LAYER met4 ( -190840 -2836380 ) ( -187740 -1945560 )
+ LAYER met4 ( -190840 -3474150 ) ( -187740 -3235540 )
+ LAYER met4 ( -370840 -1509660 ) ( -367740 122070 )
+ LAYER met4 ( -370840 -3474150 ) ( -367740 -1946180 )
+ LAYER met4 ( -550840 -1509660 ) ( -547740 122070 )
+ LAYER met4 ( -550840 -3474150 ) ( -547740 -1945560 )
+ LAYER met4 ( -730840 -1509660 ) ( -727740 122070 )
+ LAYER met4 ( -730840 -3474150 ) ( -727740 -1945560 )
+ LAYER met4 ( -910840 -1509660 ) ( -907740 122070 )
+ LAYER met4 ( -910840 -3474150 ) ( -907740 -1945560 )
+ LAYER met4 ( -1090840 -1509660 ) ( -1087740 122070 )
+ LAYER met4 ( -1090840 -3474150 ) ( -1087740 -1946180 )
+ LAYER met4 ( -1270840 -1509660 ) ( -1267740 122070 )
+ LAYER met4 ( -1270840 -3474150 ) ( -1267740 -1946180 )
+ LAYER met4 ( -1450840 -3474150 ) ( -1447740 122070 )
+ LAYER met4 ( 1466740 -3440550 ) ( 1469840 88470 )
+ LAYER met5 ( -1469840 85370 ) ( 1469840 88470 )
+ LAYER met5 ( -1469840 -3440550 ) ( 1469840 -3437450 )
+ LAYER met4 ( -1469840 -3440550 ) ( -1466740 88470 )
+ FIXED ( 1459810 3435880 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1286360 -3511350 ) ( 1289460 84870 )
+ LAYER met4 ( 1106360 -3511350 ) ( 1109460 84870 )
+ LAYER met4 ( 926360 -725755 ) ( 929460 84870 )
+ LAYER met4 ( 926360 -3511350 ) ( 929460 -1270965 )
+ LAYER met4 ( 746360 -725755 ) ( 749460 84870 )
+ LAYER met4 ( 746360 -3511350 ) ( 749460 -1270965 )
+ LAYER met4 ( 566360 -725755 ) ( 569460 84870 )
+ LAYER met4 ( 566360 -3511350 ) ( 569460 -1270965 )
+ LAYER met4 ( 386360 -3511350 ) ( 389460 84870 )
+ LAYER met4 ( 206360 -3511350 ) ( 209460 84870 )
+ LAYER met4 ( 26360 -1546860 ) ( 29460 84870 )
+ LAYER met4 ( 26360 -3511350 ) ( 29460 -1982760 )
+ LAYER met4 ( -153640 -1546860 ) ( -150540 84870 )
+ LAYER met4 ( -153640 -3511350 ) ( -150540 -1982760 )
+ LAYER met4 ( -333640 -1546860 ) ( -330540 84870 )
+ LAYER met4 ( -333640 -3511350 ) ( -330540 -1983380 )
+ LAYER met4 ( -513640 -1546860 ) ( -510540 84870 )
+ LAYER met4 ( -513640 -3511350 ) ( -510540 -1982760 )
+ LAYER met4 ( -693640 -1546860 ) ( -690540 84870 )
+ LAYER met4 ( -693640 -3511350 ) ( -690540 -1982760 )
+ LAYER met4 ( -873640 -1546860 ) ( -870540 84870 )
+ LAYER met4 ( -873640 -3511350 ) ( -870540 -1982760 )
+ LAYER met4 ( -1053640 -1546860 ) ( -1050540 84870 )
+ LAYER met4 ( -1053640 -3511350 ) ( -1050540 -1982760 )
+ LAYER met4 ( -1233640 -1546860 ) ( -1230540 84870 )
+ LAYER met4 ( -1233640 -3511350 ) ( -1230540 -1983380 )
+ LAYER met4 ( -1413640 -3511350 ) ( -1410540 84870 )
+ LAYER met4 ( 1476340 -3487350 ) ( 1479440 60870 )
+ LAYER met5 ( -1479440 57770 ) ( 1479440 60870 )
+ LAYER met5 ( -1479440 -3487350 ) ( 1479440 -3484250 )
+ LAYER met4 ( -1479440 -3487350 ) ( -1476340 60870 )
+ FIXED ( 1459810 3473080 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1323560 -3368550 ) ( 1326660 227670 )
+ LAYER met4 ( 1143560 -3368550 ) ( 1146660 227670 )
+ LAYER met4 ( 963560 -582955 ) ( 966660 227670 )
+ LAYER met4 ( 963560 -3368550 ) ( 966660 -1128165 )
+ LAYER met4 ( 783560 -582955 ) ( 786660 227670 )
+ LAYER met4 ( 783560 -3368550 ) ( 786660 -1128165 )
+ LAYER met4 ( 603560 -582955 ) ( 606660 227670 )
+ LAYER met4 ( 603560 -3368550 ) ( 606660 -1128165 )
+ LAYER met4 ( 423560 -3368550 ) ( 426660 227670 )
+ LAYER met4 ( 243560 -3368550 ) ( 246660 227670 )
+ LAYER met4 ( 63560 -1404060 ) ( 66660 227670 )
+ LAYER met4 ( 63560 -3368550 ) ( 66660 -1839960 )
+ LAYER met4 ( -116440 -1404060 ) ( -113340 227670 )
+ LAYER met4 ( -116440 -3368550 ) ( -113340 -1839960 )
+ LAYER met4 ( -296440 -1404060 ) ( -293340 227670 )
+ LAYER met4 ( -296440 -3368550 ) ( -293340 -1840580 )
+ LAYER met4 ( -476440 -757060 ) ( -473340 227670 )
+ LAYER met4 ( -476440 -1404060 ) ( -473340 -954940 )
+ LAYER met4 ( -476440 -2282060 ) ( -473340 -1840580 )
+ LAYER met4 ( -476440 -3368550 ) ( -473340 -2479940 )
+ LAYER met4 ( -656440 -3368550 ) ( -653340 227670 )
+ LAYER met4 ( -836440 -1404060 ) ( -833340 227670 )
+ LAYER met4 ( -836440 -3368550 ) ( -833340 -1839960 )
+ LAYER met4 ( -1016440 -1403440 ) ( -1013340 227670 )
+ LAYER met4 ( -1016440 -3368550 ) ( -1013340 -1839960 )
+ LAYER met4 ( -1196440 -1404060 ) ( -1193340 227670 )
+ LAYER met4 ( -1196440 -3368550 ) ( -1193340 -1839960 )
+ LAYER met4 ( -1376440 -3368550 ) ( -1373340 227670 )
+ LAYER met4 ( 1485940 -3354150 ) ( 1489040 213270 )
+ LAYER met5 ( -1489040 210170 ) ( 1489040 213270 )
+ LAYER met5 ( -1489040 -3354150 ) ( 1489040 -3351050 )
+ LAYER met4 ( -1489040 -3354150 ) ( -1485940 213270 )
+ FIXED ( 1459810 3330280 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1360760 -3405750 ) ( 1363860 190470 )
+ LAYER met4 ( 1180760 -3405750 ) ( 1183860 190470 )
+ LAYER met4 ( 1000760 -620155 ) ( 1003860 190470 )
+ LAYER met4 ( 1000760 -3405750 ) ( 1003860 -1165365 )
+ LAYER met4 ( 820760 -620155 ) ( 823860 190470 )
+ LAYER met4 ( 820760 -3405750 ) ( 823860 -1165365 )
+ LAYER met4 ( 640760 -620155 ) ( 643860 190470 )
+ LAYER met4 ( 640760 -3405750 ) ( 643860 -1165365 )
+ LAYER met4 ( 460760 -618380 ) ( 463860 190470 )
+ LAYER met4 ( 460760 -3405750 ) ( 463860 -1167140 )
+ LAYER met4 ( 280760 -3405750 ) ( 283860 190470 )
+ LAYER met4 ( 100760 -1441260 ) ( 103860 190470 )
+ LAYER met4 ( 100760 -3405750 ) ( 103860 -1877160 )
+ LAYER met4 ( -79240 -1440640 ) ( -76140 190470 )
+ LAYER met4 ( -79240 -3405750 ) ( -76140 -1877160 )
+ LAYER met4 ( -259240 -1441260 ) ( -256140 190470 )
+ LAYER met4 ( -259240 -3405750 ) ( -256140 -1877160 )
+ LAYER met4 ( -439240 -1441260 ) ( -436140 190470 )
+ LAYER met4 ( -439240 -3405750 ) ( -436140 -1877780 )
+ LAYER met4 ( -619240 -3405750 ) ( -616140 190470 )
+ LAYER met4 ( -799240 -1441260 ) ( -796140 190470 )
+ LAYER met4 ( -799240 -3405750 ) ( -796140 -1877160 )
+ LAYER met4 ( -979240 -1441260 ) ( -976140 190470 )
+ LAYER met4 ( -979240 -3405750 ) ( -976140 -1877160 )
+ LAYER met4 ( -1159240 -1441260 ) ( -1156140 190470 )
+ LAYER met4 ( -1159240 -3405750 ) ( -1156140 -1877780 )
+ LAYER met4 ( -1339240 -1441260 ) ( -1336140 190470 )
+ LAYER met4 ( -1339240 -3405750 ) ( -1336140 -1877160 )
+ LAYER met4 ( 1495540 -3400950 ) ( 1498640 185670 )
+ LAYER met5 ( -1498640 182570 ) ( 1498640 185670 )
+ LAYER met5 ( -1498640 -3400950 ) ( 1498640 -3397850 )
+ LAYER met4 ( -1498640 -3400950 ) ( -1495540 185670 )
+ FIXED ( 1459810 3367480 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1342160 -3387150 ) ( 1345260 209070 )
+ LAYER met4 ( 1162160 -3387150 ) ( 1165260 209070 )
+ LAYER met4 ( 982160 -601555 ) ( 985260 209070 )
+ LAYER met4 ( 982160 -3387150 ) ( 985260 -1146765 )
+ LAYER met4 ( 802160 -601555 ) ( 805260 209070 )
+ LAYER met4 ( 802160 -3387150 ) ( 805260 -1146765 )
+ LAYER met4 ( 622160 -601555 ) ( 625260 209070 )
+ LAYER met4 ( 622160 -3387150 ) ( 625260 -1146765 )
+ LAYER met4 ( 442160 -601555 ) ( 445260 209070 )
+ LAYER met4 ( 442160 -3387150 ) ( 445260 -1146765 )
+ LAYER met4 ( 262160 -3387150 ) ( 265260 209070 )
+ LAYER met4 ( 82160 -1422660 ) ( 85260 209070 )
+ LAYER met4 ( 82160 -3387150 ) ( 85260 -1858560 )
+ LAYER met4 ( -97840 -1422660 ) ( -94740 209070 )
+ LAYER met4 ( -97840 -3387150 ) ( -94740 -1858560 )
+ LAYER met4 ( -277840 -1422660 ) ( -274740 209070 )
+ LAYER met4 ( -277840 -3387150 ) ( -274740 -1859180 )
+ LAYER met4 ( -457840 -1422660 ) ( -454740 209070 )
+ LAYER met4 ( -457840 -3387150 ) ( -454740 -1859180 )
+ LAYER met4 ( -637840 -3387150 ) ( -634740 209070 )
+ LAYER met4 ( -817840 -1422660 ) ( -814740 209070 )
+ LAYER met4 ( -817840 -3387150 ) ( -814740 -1858560 )
+ LAYER met4 ( -997840 -1422660 ) ( -994740 209070 )
+ LAYER met4 ( -997840 -3387150 ) ( -994740 -1858560 )
+ LAYER met4 ( -1177840 -1422660 ) ( -1174740 209070 )
+ LAYER met4 ( -1177840 -3387150 ) ( -1174740 -1859180 )
+ LAYER met4 ( -1357840 -1422660 ) ( -1354740 209070 )
+ LAYER met4 ( -1357840 -3387150 ) ( -1354740 -1858560 )
+ LAYER met4 ( 1490740 -3377550 ) ( 1493840 199470 )
+ LAYER met5 ( -1493840 196370 ) ( 1493840 199470 )
+ LAYER met5 ( -1493840 -3377550 ) ( 1493840 -3374450 )
+ LAYER met4 ( -1493840 -3377550 ) ( -1490740 199470 )
+ FIXED ( 1459810 3348880 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met4 ( 1379360 -3424350 ) ( 1382460 171870 )
+ LAYER met4 ( 1199360 -3424350 ) ( 1202460 171870 )
+ LAYER met4 ( 1019360 -638755 ) ( 1022460 171870 )
+ LAYER met4 ( 1019360 -3424350 ) ( 1022460 -1183965 )
+ LAYER met4 ( 839360 -638755 ) ( 842460 171870 )
+ LAYER met4 ( 839360 -3424350 ) ( 842460 -1183965 )
+ LAYER met4 ( 659360 -638755 ) ( 662460 171870 )
+ LAYER met4 ( 659360 -3424350 ) ( 662460 -1183965 )
+ LAYER met4 ( 479360 -638755 ) ( 482460 171870 )
+ LAYER met4 ( 479360 -3424350 ) ( 482460 -1183965 )
+ LAYER met4 ( 299360 -3424350 ) ( 302460 171870 )
+ LAYER met4 ( 119360 -1459860 ) ( 122460 171870 )
+ LAYER met4 ( 119360 -2786580 ) ( 122460 -1895760 )
+ LAYER met4 ( 119360 -3424350 ) ( 122460 -3185740 )
+ LAYER met4 ( -60640 -1459860 ) ( -57540 171870 )
+ LAYER met4 ( -60640 -3424350 ) ( -57540 -1895760 )
+ LAYER met4 ( -240640 -1459860 ) ( -237540 171870 )
+ LAYER met4 ( -240640 -3424350 ) ( -237540 -1895760 )
+ LAYER met4 ( -420640 -1459860 ) ( -417540 171870 )
+ LAYER met4 ( -420640 -3424350 ) ( -417540 -1896380 )
+ LAYER met4 ( -600640 -3424350 ) ( -597540 171870 )
+ LAYER met4 ( -780640 -1459860 ) ( -777540 171870 )
+ LAYER met4 ( -780640 -3424350 ) ( -777540 -1895760 )
+ LAYER met4 ( -960640 -1459860 ) ( -957540 171870 )
+ LAYER met4 ( -960640 -3424350 ) ( -957540 -1895760 )
+ LAYER met4 ( -1140640 -1459860 ) ( -1137540 171870 )
+ LAYER met4 ( -1140640 -3424350 ) ( -1137540 -1896380 )
+ LAYER met4 ( -1320640 -1459860 ) ( -1317540 171870 )
+ LAYER met4 ( -1320640 -3424350 ) ( -1317540 -1895760 )
+ LAYER met4 ( 1500340 -3424350 ) ( 1503440 171870 )
+ LAYER met5 ( -1503440 168770 ) ( 1503440 171870 )
+ LAYER met5 ( -1503440 -3424350 ) ( 1503440 -3421250 )
+ LAYER met4 ( -1503440 -3424350 ) ( -1500340 171870 )
+ FIXED ( 1459810 3386080 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1447760 -3492750 ) ( 1450860 103470 )
+ LAYER met4 ( 1267760 -3492750 ) ( 1270860 103470 )
+ LAYER met4 ( 1087760 -707155 ) ( 1090860 103470 )
+ LAYER met4 ( 1087760 -3492750 ) ( 1090860 -1252365 )
+ LAYER met4 ( 907760 -707155 ) ( 910860 103470 )
+ LAYER met4 ( 907760 -3492750 ) ( 910860 -1252365 )
+ LAYER met4 ( 727760 -707155 ) ( 730860 103470 )
+ LAYER met4 ( 727760 -3492750 ) ( 730860 -1252365 )
+ LAYER met4 ( 547760 -707155 ) ( 550860 103470 )
+ LAYER met4 ( 547760 -3492750 ) ( 550860 -1252365 )
+ LAYER met4 ( 367760 -3492750 ) ( 370860 103470 )
+ LAYER met4 ( 187760 -3492750 ) ( 190860 103470 )
+ LAYER met4 ( 7760 -1528260 ) ( 10860 103470 )
+ LAYER met4 ( 7760 -3492750 ) ( 10860 -1964160 )
+ LAYER met4 ( -172240 -1528260 ) ( -169140 103470 )
+ LAYER met4 ( -172240 -3492750 ) ( -169140 -1964160 )
+ LAYER met4 ( -352240 -1528260 ) ( -349140 103470 )
+ LAYER met4 ( -352240 -3492750 ) ( -349140 -1964780 )
+ LAYER met4 ( -532240 -1528260 ) ( -529140 103470 )
+ LAYER met4 ( -532240 -3492750 ) ( -529140 -1964160 )
+ LAYER met4 ( -712240 -1528260 ) ( -709140 103470 )
+ LAYER met4 ( -712240 -3492750 ) ( -709140 -1964160 )
+ LAYER met4 ( -892240 -1527640 ) ( -889140 103470 )
+ LAYER met4 ( -892240 -3492750 ) ( -889140 -1964780 )
+ LAYER met4 ( -1072240 -1528260 ) ( -1069140 103470 )
+ LAYER met4 ( -1072240 -3492750 ) ( -1069140 -1964780 )
+ LAYER met4 ( -1252240 -1528260 ) ( -1249140 103470 )
+ LAYER met4 ( -1252240 -3492750 ) ( -1249140 -1964780 )
+ LAYER met4 ( -1432240 -3492750 ) ( -1429140 103470 )
+ LAYER met4 ( 1471540 -3463950 ) ( 1474640 74670 )
+ LAYER met5 ( -1474640 71570 ) ( 1474640 74670 )
+ LAYER met5 ( -1474640 -3463950 ) ( 1474640 -3460850 )
+ LAYER met4 ( -1474640 -3463950 ) ( -1471540 74670 )
+ FIXED ( 1459810 3454480 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -1503440 -1550 ) ( 1503440 1550 )
+ LAYER met5 ( -1503440 -181550 ) ( 1503440 -178450 )
+ LAYER met5 ( -1503440 -361550 ) ( 1503440 -358450 )
+ LAYER met5 ( -1503440 -541550 ) ( 1503440 -538450 )
+ LAYER met5 ( -1503440 -721550 ) ( 1503440 -718450 )
+ LAYER met5 ( -1503440 -901550 ) ( 1503440 -898450 )
+ LAYER met5 ( -1503440 -1081550 ) ( 1503440 -1078450 )
+ LAYER met5 ( -1503440 -1261550 ) ( 1503440 -1258450 )
+ LAYER met5 ( -1503440 -1441550 ) ( 1503440 -1438450 )
+ LAYER met5 ( -1503440 -1621550 ) ( 1503440 -1618450 )
+ LAYER met5 ( -1503440 -1801550 ) ( 1503440 -1798450 )
+ LAYER met5 ( -1503440 -1981550 ) ( 1503440 -1978450 )
+ LAYER met5 ( -1503440 -2161550 ) ( 1503440 -2158450 )
+ LAYER met5 ( -1503440 -2341550 ) ( 1503440 -2338450 )
+ LAYER met5 ( -1503440 -2521550 ) ( 1503440 -2518450 )
+ LAYER met5 ( -1503440 -2701550 ) ( 1503440 -2698450 )
+ LAYER met5 ( -1503440 -2881550 ) ( 1503440 -2878450 )
+ LAYER met5 ( -1503440 -3061550 ) ( 1503440 -3058450 )
+ LAYER met5 ( -1503440 -3241550 ) ( 1503440 -3238450 )
+ LAYER met5 ( -1503440 -3421550 ) ( 1503440 -3418450 )
+ LAYER met4 ( 1304960 -3529950 ) ( 1308060 66270 )
+ LAYER met4 ( 1124960 -3529950 ) ( 1128060 66270 )
+ LAYER met4 ( 944960 -744355 ) ( 948060 66270 )
+ LAYER met4 ( 944960 -3529950 ) ( 948060 -1289565 )
+ LAYER met4 ( 764960 -744355 ) ( 768060 66270 )
+ LAYER met4 ( 764960 -3529950 ) ( 768060 -1289565 )
+ LAYER met4 ( 584960 -744355 ) ( 588060 66270 )
+ LAYER met4 ( 584960 -3529950 ) ( 588060 -1289565 )
+ LAYER met4 ( 404960 -3529950 ) ( 408060 66270 )
+ LAYER met4 ( 224960 -3529950 ) ( 228060 66270 )
+ LAYER met4 ( 44960 -1565460 ) ( 48060 66270 )
+ LAYER met4 ( 44960 -3529950 ) ( 48060 -2001360 )
+ LAYER met4 ( -135040 -1565460 ) ( -131940 66270 )
+ LAYER met4 ( -135040 -3529950 ) ( -131940 -2001360 )
+ LAYER met4 ( -315040 -1565460 ) ( -311940 66270 )
+ LAYER met4 ( -315040 -3529950 ) ( -311940 -2001360 )
+ LAYER met4 ( -495040 -1565460 ) ( -491940 66270 )
+ LAYER met4 ( -495040 -3529950 ) ( -491940 -2001360 )
+ LAYER met4 ( -675040 -1565460 ) ( -671940 66270 )
+ LAYER met4 ( -675040 -3529950 ) ( -671940 -2001360 )
+ LAYER met4 ( -855040 -1564840 ) ( -851940 66270 )
+ LAYER met4 ( -855040 -3529950 ) ( -851940 -2001980 )
+ LAYER met4 ( -1035040 -1565460 ) ( -1031940 66270 )
+ LAYER met4 ( -1035040 -3529950 ) ( -1031940 -2001360 )
+ LAYER met4 ( -1215040 -1565460 ) ( -1211940 66270 )
+ LAYER met4 ( -1215040 -3529950 ) ( -1211940 -2001360 )
+ LAYER met4 ( -1395040 -3529950 ) ( -1391940 66270 )
+ LAYER met4 ( 1481140 -3510750 ) ( 1484240 47070 )
+ LAYER met5 ( -1484240 43970 ) ( 1484240 47070 )
+ LAYER met5 ( -1484240 -3510750 ) ( 1484240 -3507650 )
+ LAYER met4 ( -1484240 -3510750 ) ( -1481140 47070 )
+ FIXED ( 1459810 3491680 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) ( * vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2536240 2715880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2536240 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2536240 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2382640 2715880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2382640 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2382640 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2229040 2715880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2229040 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2229040 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2075440 2715880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2075440 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2075440 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1921840 2715880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1921840 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1921840 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1579040 555880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1579040 375880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1425440 555880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1425440 375880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1271840 555880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1271840 375880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 915880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 915880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 915880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 915880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 915880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1577470 1815880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1577470 1635880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 905630 1815880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 905630 1635880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 777470 1815880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 777470 1635880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 105630 1815880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 105630 1635880 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3435880 ) ( 2963250 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3255880 ) ( 2963250 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3075880 ) ( 2963250 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2895880 ) ( 2963250 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2715880 ) ( 2963250 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2535880 ) ( 2963250 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2355880 ) ( 2963250 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2175880 ) ( 2963250 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1995880 ) ( 2963250 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1815880 ) ( 2963250 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1635880 ) ( 2963250 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1455880 ) ( 2963250 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1275880 ) ( 2963250 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1095880 ) ( 2963250 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 915880 ) ( 2963250 915880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 735880 ) ( 2963250 735880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 555880 ) ( 2963250 555880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 375880 ) ( 2963250 375880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 195880 ) ( 2963250 195880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 15880 ) ( 2963250 15880 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -38270 ) ( 2890520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -38270 ) ( 2710520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 2747325 ) ( 2530520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -38270 ) ( 2530520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 2747325 ) ( 2350520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -38270 ) ( 2350520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 2747325 ) ( 2170520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -38270 ) ( 2170520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 2747325 ) ( 1990520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -38270 ) ( 1990520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -38270 ) ( 1810520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -38270 ) ( 1630520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 1926220 ) ( 1450520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -38270 ) ( 1450520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 1926220 ) ( 1270520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 599500 ) ( 1270520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -38270 ) ( 1270520 200340 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 1926220 ) ( 1090520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -38270 ) ( 1090520 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 910520 1926220 ) ( 910520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -38270 ) ( 910520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 730520 1926220 ) ( 730520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -38270 ) ( 730520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1926220 ) ( 550520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -38270 ) ( 550520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1926220 ) ( 370520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -38270 ) ( 370520 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 190520 1926220 ) ( 190520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -38270 ) ( 190520 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -38270 ) ( 10520 3557950 )
NEW met4 3100 + SHAPE RING ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met5 3100 + SHAPE RING ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE RING ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE RING ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 0 + SHAPE RING ( 2928100 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2928100 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -8480 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -8480 -3120 ) via5_6_3100_3100_2_2_1600_1600 ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3473080 ) ( 2963250 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3293080 ) ( 2963250 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3113080 ) ( 2963250 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2933080 ) ( 2963250 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2753080 ) ( 2963250 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2573080 ) ( 2963250 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2393080 ) ( 2963250 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2213080 ) ( 2963250 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2033080 ) ( 2963250 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1853080 ) ( 2963250 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1673080 ) ( 2963250 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1493080 ) ( 2963250 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1313080 ) ( 2963250 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1133080 ) ( 2963250 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 953080 ) ( 2963250 953080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 773080 ) ( 2963250 773080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 593080 ) ( 2963250 593080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 413080 ) ( 2963250 413080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 233080 ) ( 2963250 233080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 53080 ) ( 2963250 53080 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -38270 ) ( 2747720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -38270 ) ( 2567720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 2747325 ) ( 2387720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -38270 ) ( 2387720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 2747325 ) ( 2207720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -38270 ) ( 2207720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 2747325 ) ( 2027720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -38270 ) ( 2027720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -38270 ) ( 1847720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -38270 ) ( 1667720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 1926220 ) ( 1487720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -38270 ) ( 1487720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 1926220 ) ( 1307720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -38270 ) ( 1307720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 1926220 ) ( 1127720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -38270 ) ( 1127720 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 947720 1926220 ) ( 947720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -38270 ) ( 947720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 767720 1926220 ) ( 767720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -38270 ) ( 767720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1926220 ) ( 587720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -38270 ) ( 587720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1926220 ) ( 407720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -38270 ) ( 407720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 227720 1926220 ) ( 227720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -38270 ) ( 227720 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -38270 ) ( 47720 3557950 )
NEW met4 3100 + SHAPE RING ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met5 3100 + SHAPE RING ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE RING ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE RING ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 0 + SHAPE RING ( 2937700 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2937700 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -18080 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -18080 -12720 ) via5_6_3100_3100_2_2_1600_1600 ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2604920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3330280 ) ( 2963250 3330280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3150280 ) ( 2963250 3150280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2970280 ) ( 2963250 2970280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2790280 ) ( 2963250 2790280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2610280 ) ( 2963250 2610280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2430280 ) ( 2963250 2430280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2250280 ) ( 2963250 2250280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2070280 ) ( 2963250 2070280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1890280 ) ( 2963250 1890280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1710280 ) ( 2963250 1710280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1530280 ) ( 2963250 1530280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1350280 ) ( 2963250 1350280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1170280 ) ( 2963250 1170280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 990280 ) ( 2963250 990280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 810280 ) ( 2963250 810280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 630280 ) ( 2963250 630280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 450280 ) ( 2963250 450280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 270280 ) ( 2963250 270280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 90280 ) ( 2963250 90280 )
NEW met4 3100 + SHAPE STRIPE ( 2784920 -38270 ) ( 2784920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2604920 -38270 ) ( 2604920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2424920 2747325 ) ( 2424920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2424920 -38270 ) ( 2424920 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2244920 2747325 ) ( 2244920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2244920 -38270 ) ( 2244920 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2064920 2747325 ) ( 2064920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2064920 -38270 ) ( 2064920 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1884920 -38270 ) ( 1884920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1704920 -38270 ) ( 1704920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1524920 1926220 ) ( 1524920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1524920 -38270 ) ( 1524920 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1344920 1926220 ) ( 1344920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1344920 -38270 ) ( 1344920 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1164920 1926220 ) ( 1164920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1164920 -38270 ) ( 1164920 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 984920 2573220 ) ( 984920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 984920 1926220 ) ( 984920 2375340 )
NEW met4 3100 + SHAPE STRIPE ( 984920 1048220 ) ( 984920 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 984920 -38270 ) ( 984920 850340 )
NEW met4 3100 + SHAPE STRIPE ( 804920 -38270 ) ( 804920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 624920 1926220 ) ( 624920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 624920 -38270 ) ( 624920 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 444920 1926840 ) ( 444920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 444920 -38270 ) ( 444920 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 264920 1926220 ) ( 264920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 264920 -38270 ) ( 264920 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 84920 -38270 ) ( 84920 3557950 )
NEW met4 3100 + SHAPE RING ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met5 3100 + SHAPE RING ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE RING ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE RING ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 0 + SHAPE RING ( 2947300 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2947300 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -27680 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -27680 -22320 ) via5_6_3100_3100_2_2_1600_1600 ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2642120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3367480 ) ( 2963250 3367480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3187480 ) ( 2963250 3187480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3007480 ) ( 2963250 3007480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2827480 ) ( 2963250 2827480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2647480 ) ( 2963250 2647480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2467480 ) ( 2963250 2467480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2287480 ) ( 2963250 2287480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2107480 ) ( 2963250 2107480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1927480 ) ( 2963250 1927480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1747480 ) ( 2963250 1747480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1567480 ) ( 2963250 1567480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1387480 ) ( 2963250 1387480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1207480 ) ( 2963250 1207480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1027480 ) ( 2963250 1027480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 847480 ) ( 2963250 847480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 667480 ) ( 2963250 667480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 487480 ) ( 2963250 487480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 307480 ) ( 2963250 307480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 127480 ) ( 2963250 127480 )
NEW met4 3100 + SHAPE STRIPE ( 2822120 -38270 ) ( 2822120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2642120 -38270 ) ( 2642120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2462120 2747325 ) ( 2462120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2462120 -38270 ) ( 2462120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2282120 2747325 ) ( 2282120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2282120 -38270 ) ( 2282120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2102120 2747325 ) ( 2102120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2102120 -38270 ) ( 2102120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1922120 2749100 ) ( 1922120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1922120 -38270 ) ( 1922120 2200340 )
NEW met4 3100 + SHAPE STRIPE ( 1742120 -38270 ) ( 1742120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1562120 1926220 ) ( 1562120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1562120 -38270 ) ( 1562120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1382120 1926840 ) ( 1382120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1382120 -38270 ) ( 1382120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1202120 1926220 ) ( 1202120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1202120 -38270 ) ( 1202120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1022120 1926220 ) ( 1022120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1022120 -38270 ) ( 1022120 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 842120 -38270 ) ( 842120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 662120 1926220 ) ( 662120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 662120 -38270 ) ( 662120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 482120 1926220 ) ( 482120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 482120 -38270 ) ( 482120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 302120 1926220 ) ( 302120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 302120 -38270 ) ( 302120 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 122120 1926220 ) ( 122120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 122120 -38270 ) ( 122120 1490320 )
NEW met4 3100 + SHAPE RING ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met5 3100 + SHAPE RING ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE RING ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE RING ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 0 + SHAPE RING ( 2956900 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2956900 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 1927935 ) via5_6_3100_2190_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1927625 ) via5_6_3100_2810_1_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -37280 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -37280 -31920 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2623520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3348880 ) ( 2963250 3348880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3168880 ) ( 2963250 3168880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2988880 ) ( 2963250 2988880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2808880 ) ( 2963250 2808880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2628880 ) ( 2963250 2628880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2448880 ) ( 2963250 2448880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2268880 ) ( 2963250 2268880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2088880 ) ( 2963250 2088880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1908880 ) ( 2963250 1908880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1728880 ) ( 2963250 1728880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1548880 ) ( 2963250 1548880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1368880 ) ( 2963250 1368880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1188880 ) ( 2963250 1188880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1008880 ) ( 2963250 1008880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 828880 ) ( 2963250 828880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 648880 ) ( 2963250 648880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 468880 ) ( 2963250 468880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 288880 ) ( 2963250 288880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 108880 ) ( 2963250 108880 )
NEW met4 3100 + SHAPE STRIPE ( 2803520 -38270 ) ( 2803520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2623520 -38270 ) ( 2623520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2443520 2747325 ) ( 2443520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2443520 -38270 ) ( 2443520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2263520 2747325 ) ( 2263520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2263520 -38270 ) ( 2263520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2083520 2747325 ) ( 2083520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2083520 -38270 ) ( 2083520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1903520 2747325 ) ( 1903520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1903520 -38270 ) ( 1903520 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1723520 -38270 ) ( 1723520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1543520 1926220 ) ( 1543520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1543520 -38270 ) ( 1543520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1363520 1926220 ) ( 1363520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1363520 -38270 ) ( 1363520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1183520 1926220 ) ( 1183520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1183520 -38270 ) ( 1183520 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 1003520 1926220 ) ( 1003520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1003520 -38270 ) ( 1003520 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 823520 -38270 ) ( 823520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 643520 1926220 ) ( 643520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 643520 -38270 ) ( 643520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 463520 1926220 ) ( 463520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 463520 -38270 ) ( 463520 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 283520 1926220 ) ( 283520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 283520 -38270 ) ( 283520 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 103520 1926220 ) ( 103520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 103520 -38270 ) ( 103520 1490320 )
NEW met4 3100 + SHAPE RING ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met5 3100 + SHAPE RING ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE RING ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE RING ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 0 + SHAPE RING ( 2952100 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2952100 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -32480 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -32480 -27120 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 1400720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3386080 ) ( 2963250 3386080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3206080 ) ( 2963250 3206080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3026080 ) ( 2963250 3026080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2846080 ) ( 2963250 2846080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2666080 ) ( 2963250 2666080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2486080 ) ( 2963250 2486080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2306080 ) ( 2963250 2306080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2126080 ) ( 2963250 2126080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1946080 ) ( 2963250 1946080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1766080 ) ( 2963250 1766080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1586080 ) ( 2963250 1586080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1406080 ) ( 2963250 1406080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1226080 ) ( 2963250 1226080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1046080 ) ( 2963250 1046080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 866080 ) ( 2963250 866080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 686080 ) ( 2963250 686080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 506080 ) ( 2963250 506080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 326080 ) ( 2963250 326080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 146080 ) ( 2963250 146080 )
NEW met4 3100 + SHAPE STRIPE ( 2840720 -38270 ) ( 2840720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2660720 -38270 ) ( 2660720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2480720 2747325 ) ( 2480720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2480720 -38270 ) ( 2480720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2300720 2747325 ) ( 2300720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2300720 -38270 ) ( 2300720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2120720 2747325 ) ( 2120720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2120720 -38270 ) ( 2120720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1940720 2747325 ) ( 1940720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1940720 -38270 ) ( 1940720 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1760720 -38270 ) ( 1760720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1580720 1926220 ) ( 1580720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1580720 599500 ) ( 1580720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1580720 -38270 ) ( 1580720 200340 )
NEW met4 3100 + SHAPE STRIPE ( 1400720 1926220 ) ( 1400720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1400720 -38270 ) ( 1400720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1220720 1926220 ) ( 1220720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1220720 -38270 ) ( 1220720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1040720 1926220 ) ( 1040720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1040720 -38270 ) ( 1040720 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 860720 -38270 ) ( 860720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 680720 1926220 ) ( 680720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 680720 -38270 ) ( 680720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 500720 1926220 ) ( 500720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 500720 -38270 ) ( 500720 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 320720 1926220 ) ( 320720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 320720 -38270 ) ( 320720 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 140720 1926220 ) ( 140720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 140720 -38270 ) ( 140720 1490320 )
NEW met4 3100 + SHAPE RING ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met5 3100 + SHAPE RING ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE RING ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE RING ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 0 + SHAPE RING ( 2961700 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2961700 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -42080 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -42080 -36720 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssd1 ( PIN vssd1 ) ( * vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2613040 2734480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2613040 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2613040 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2459440 2734480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2459440 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2459440 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305840 2734480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305840 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2305840 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2152240 2734480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2152240 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2152240 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1998640 2734480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1998640 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1998640 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1502240 574480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1502240 394480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1502240 214480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1348640 574480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1348640 394480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1348640 214480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1213040 934480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1059440 934480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 905840 934480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 752240 934480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 598640 934480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580870 1834480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580870 1654480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 902230 1834480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 902230 1654480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 780870 1834480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 780870 1654480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 102230 1834480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 102230 1654480 ) via5_6_1740_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1213040 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1059440 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 905840 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 752240 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 598640 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3454480 ) ( 2963250 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3274480 ) ( 2963250 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3094480 ) ( 2963250 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2914480 ) ( 2963250 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2734480 ) ( 2963250 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2554480 ) ( 2963250 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2374480 ) ( 2963250 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2194480 ) ( 2963250 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2014480 ) ( 2963250 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1834480 ) ( 2963250 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1654480 ) ( 2963250 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1474480 ) ( 2963250 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1294480 ) ( 2963250 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1114480 ) ( 2963250 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 934480 ) ( 2963250 934480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 754480 ) ( 2963250 754480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 574480 ) ( 2963250 574480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 394480 ) ( 2963250 394480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 214480 ) ( 2963250 214480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 34480 ) ( 2963250 34480 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -38270 ) ( 2909120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -38270 ) ( 2729120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 2747325 ) ( 2549120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -38270 ) ( 2549120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 2747325 ) ( 2369120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -38270 ) ( 2369120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 2747325 ) ( 2189120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -38270 ) ( 2189120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 2747325 ) ( 2009120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -38270 ) ( 2009120 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -38270 ) ( 1829120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -38270 ) ( 1649120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 1926220 ) ( 1469120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -38270 ) ( 1469120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 1926220 ) ( 1289120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -38270 ) ( 1289120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 1926220 ) ( 1109120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -38270 ) ( 1109120 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 929120 1926220 ) ( 929120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -38270 ) ( 929120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 749120 1926220 ) ( 749120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -38270 ) ( 749120 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1926840 ) ( 569120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -38270 ) ( 569120 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1926220 ) ( 389120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -38270 ) ( 389120 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 209120 1926220 ) ( 209120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -38270 ) ( 209120 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -38270 ) ( 29120 3557950 )
NEW met4 3100 + SHAPE RING ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met5 3100 + SHAPE RING ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE RING ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE RING ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 0 + SHAPE RING ( 2932900 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2932900 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -13280 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -13280 -7920 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 2747325 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 2747325 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 2747325 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 2202115 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 1926220 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 1926220 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 1926220 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 966320 1926220 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 786320 1926220 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1926840 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 1489700 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1926220 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 246320 1926220 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 1490320 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE RING ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met5 3100 + SHAPE RING ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE RING ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE RING ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 0 + SHAPE RING ( 2942500 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2942500 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -22880 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -22880 -17520 ) via5_6_3100_3100_2_2_1600_1600 ;
END SPECIALNETS
NETS 1150 ;
- addr0_mem0\[0\] ( wb_memory_inst addr_mem0[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[0] ) + USE SIGNAL
+ ROUTED met4 ( 180430 1497700 ) ( * 1500530 0 )
NEW met4 ( 180430 1497700 ) ( 180780 * )
NEW met4 ( 180780 1489540 ) ( * 1497700 )
NEW met3 ( 180780 1489540 ) ( 185610 * )
NEW met2 ( 185610 1072530 ) ( * 1489540 )
NEW met2 ( 522790 1049580 0 ) ( * 1072530 )
NEW met1 ( 185610 1072530 ) ( 522790 * )
NEW met1 ( 185610 1072530 ) M1M2_PR
NEW met3 ( 180780 1489540 ) M3M4_PR
NEW met2 ( 185610 1489540 ) M2M3_PR
NEW met1 ( 522790 1072530 ) M1M2_PR ;
- addr0_mem0\[1\] ( wb_memory_inst addr_mem0[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[1] ) + USE SIGNAL
+ ROUTED met4 ( 185870 1497700 ) ( * 1500530 0 )
NEW met4 ( 185870 1497700 ) ( 186300 * )
NEW met4 ( 186300 1490220 ) ( * 1497700 )
NEW met3 ( 186070 1490220 ) ( 186300 * )
NEW met2 ( 186070 1076270 ) ( * 1490220 )
NEW met2 ( 541190 1049580 0 ) ( * 1076270 )
NEW met1 ( 186070 1076270 ) ( 541190 * )
NEW met1 ( 186070 1076270 ) M1M2_PR
NEW met3 ( 186300 1490220 ) M3M4_PR
NEW met2 ( 186070 1490220 ) M2M3_PR
NEW met1 ( 541190 1076270 ) M1M2_PR
NEW met3 ( 186300 1490220 ) RECT ( 0 -150 390 150 ) ;
- addr0_mem0\[2\] ( wb_memory_inst addr_mem0[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1640950 ) ( 100280 * 0 )
NEW met3 ( 97060 1640950 ) ( * 1641180 )
NEW met3 ( 87630 1641180 ) ( 97060 * )
NEW met2 ( 87630 1059100 ) ( * 1641180 )
NEW met2 ( 559590 1049580 0 ) ( * 1059100 )
NEW met3 ( 87630 1059100 ) ( 559590 * )
NEW met2 ( 87630 1059100 ) M2M3_PR
NEW met2 ( 87630 1641180 ) M2M3_PR
NEW met2 ( 559590 1059100 ) M2M3_PR ;
- addr0_mem0\[3\] ( wb_memory_inst addr_mem0[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[3] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1649790 ) ( 100280 * 0 )
NEW met3 ( 97060 1649790 ) ( * 1650020 )
NEW met3 ( 85330 1650020 ) ( 97060 * )
NEW met2 ( 577990 1049580 0 ) ( * 1073210 )
NEW met2 ( 85330 1073210 ) ( * 1650020 )
NEW met1 ( 85330 1073210 ) ( 577990 * )
NEW met1 ( 85330 1073210 ) M1M2_PR
NEW met2 ( 85330 1650020 ) M2M3_PR
NEW met1 ( 577990 1073210 ) M1M2_PR ;
- addr0_mem0\[4\] ( wb_memory_inst addr_mem0[4] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1655230 ) ( 100280 * 0 )
NEW met3 ( 97060 1655230 ) ( * 1655460 )
NEW met3 ( 86710 1655460 ) ( 97060 * )
NEW met2 ( 596390 1049580 0 ) ( * 1072870 )
NEW met2 ( 86710 1072870 ) ( * 1655460 )
NEW met1 ( 86710 1072870 ) ( 596390 * )
NEW met1 ( 86710 1072870 ) M1M2_PR
NEW met2 ( 86710 1655460 ) M2M3_PR
NEW met1 ( 596390 1072870 ) M1M2_PR ;
- addr0_mem0\[5\] ( wb_memory_inst addr_mem0[5] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[5] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1664070 ) ( 100280 * 0 )
NEW met3 ( 97060 1664070 ) ( * 1664300 )
NEW met3 ( 88090 1664300 ) ( 97060 * )
NEW met2 ( 610190 1049580 0 ) ( * 1066750 )
NEW met2 ( 88090 1066750 ) ( * 1664300 )
NEW met1 ( 88090 1066750 ) ( 610190 * )
NEW met1 ( 88090 1066750 ) M1M2_PR
NEW met2 ( 88090 1664300 ) M2M3_PR
NEW met1 ( 610190 1066750 ) M1M2_PR ;
- addr0_mem0\[6\] ( wb_memory_inst addr_mem0[6] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[6] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1668830 ) ( 100280 * 0 )
NEW met3 ( 97060 1668830 ) ( * 1669060 )
NEW met3 ( 87170 1669060 ) ( 97060 * )
NEW met2 ( 87170 1066410 ) ( * 1669060 )
NEW met2 ( 623990 1049580 0 ) ( * 1066410 )
NEW met1 ( 87170 1066410 ) ( 623990 * )
NEW met1 ( 87170 1066410 ) M1M2_PR
NEW met2 ( 87170 1669060 ) M2M3_PR
NEW met1 ( 623990 1066410 ) M1M2_PR ;
- addr0_mem0\[7\] ( wb_memory_inst addr_mem0[7] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[7] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1679710 ) ( 100280 * 0 )
NEW met3 ( 97060 1679710 ) ( * 1679940 )
NEW met3 ( 89010 1679940 ) ( 97060 * )
NEW met2 ( 89010 1066070 ) ( * 1679940 )
NEW met2 ( 637790 1049580 0 ) ( * 1066070 )
NEW met1 ( 89010 1066070 ) ( 637790 * )
NEW met1 ( 89010 1066070 ) M1M2_PR
NEW met2 ( 89010 1679940 ) M2M3_PR
NEW met1 ( 637790 1066070 ) M1M2_PR ;
- addr0_mem0\[8\] ( wb_memory_inst addr_mem0[8] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr0[8] ) + USE SIGNAL
+ ROUTED met3 ( 97060 1684470 ) ( 100280 * 0 )
NEW met3 ( 97060 1684470 ) ( * 1684700 )
NEW met3 ( 89470 1684700 ) ( 97060 * )
NEW met2 ( 89470 1065900 ) ( * 1684700 )
NEW met2 ( 651590 1049580 0 ) ( * 1065900 )
NEW met3 ( 89470 1065900 ) ( 651590 * )
NEW met2 ( 89470 1065900 ) M2M3_PR
NEW met2 ( 89470 1684700 ) M2M3_PR
NEW met2 ( 651590 1065900 ) M2M3_PR ;
- addr0_mem1\[0\] ( wb_memory_inst addr_mem1[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[0] ) + USE SIGNAL
+ ROUTED met2 ( 886190 1049580 0 ) ( * 1061990 )
NEW met4 ( 980430 1497700 ) ( * 1500530 0 )
NEW met4 ( 980260 1497700 ) ( 980430 * )
NEW met4 ( 980260 1490220 ) ( * 1497700 )
NEW met3 ( 980030 1490220 ) ( 980260 * )
NEW met2 ( 980030 1061990 ) ( * 1490220 )
NEW met1 ( 886190 1061990 ) ( 980030 * )
NEW met1 ( 886190 1061990 ) M1M2_PR
NEW met1 ( 980030 1061990 ) M1M2_PR
NEW met3 ( 980260 1490220 ) M3M4_PR
NEW met2 ( 980030 1490220 ) M2M3_PR
NEW met3 ( 980260 1490220 ) RECT ( 0 -150 390 150 ) ;
- addr0_mem1\[1\] ( wb_memory_inst addr_mem1[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[1] ) + USE SIGNAL
+ ROUTED met4 ( 985870 1497700 ) ( * 1500530 0 )
NEW met4 ( 985780 1497700 ) ( 985870 * )
NEW met4 ( 985780 1490900 ) ( * 1497700 )
NEW met3 ( 985780 1489540 ) ( * 1490900 )
NEW met3 ( 980490 1489540 ) ( 985780 * )
NEW met2 ( 980490 1058250 ) ( * 1489540 )
NEW met2 ( 904590 1049580 0 ) ( * 1058250 )
NEW met1 ( 904590 1058250 ) ( 980490 * )
NEW met1 ( 980490 1058250 ) M1M2_PR
NEW met3 ( 985780 1490900 ) M3M4_PR
NEW met2 ( 980490 1489540 ) M2M3_PR
NEW met1 ( 904590 1058250 ) M1M2_PR ;
- addr0_mem1\[2\] ( wb_memory_inst addr_mem1[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 887110 1637780 ) ( 900160 * )
NEW met3 ( 900160 1637780 ) ( * 1640950 0 )
NEW met2 ( 887110 1061650 ) ( * 1637780 )
NEW met2 ( 922990 1049580 0 ) ( * 1061650 )
NEW met1 ( 887110 1061650 ) ( 922990 * )
NEW met1 ( 887110 1061650 ) M1M2_PR
NEW met2 ( 887110 1637780 ) M2M3_PR
NEW met1 ( 922990 1061650 ) M1M2_PR ;
- addr0_mem1\[3\] ( wb_memory_inst addr_mem1[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[3] ) + USE SIGNAL
+ ROUTED met3 ( 887570 1650020 ) ( 900160 * )
NEW met3 ( 900160 1649790 0 ) ( * 1650020 )
NEW met2 ( 887570 1059270 ) ( * 1650020 )
NEW met2 ( 941390 1049580 0 ) ( * 1059270 )
NEW met1 ( 887570 1059270 ) ( 941390 * )
NEW met1 ( 887570 1059270 ) M1M2_PR
NEW met2 ( 887570 1650020 ) M2M3_PR
NEW met1 ( 941390 1059270 ) M1M2_PR ;
- addr0_mem1\[4\] ( wb_memory_inst addr_mem1[4] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 886190 1652060 ) ( 900160 * )
NEW met3 ( 900160 1652060 ) ( * 1655230 0 )
NEW met2 ( 959790 1049580 0 ) ( * 1057910 )
NEW met2 ( 886190 1145400 ) ( 886650 * )
NEW met2 ( 886650 1057910 ) ( * 1145400 )
NEW met2 ( 886190 1145400 ) ( * 1652060 )
NEW met1 ( 886650 1057910 ) ( 959790 * )
NEW met1 ( 886650 1057910 ) M1M2_PR
NEW met2 ( 886190 1652060 ) M2M3_PR
NEW met1 ( 959790 1057910 ) M1M2_PR ;
- addr0_mem1\[5\] ( wb_memory_inst addr_mem1[5] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[5] ) + USE SIGNAL
+ ROUTED met3 ( 888490 1662940 ) ( 900160 * )
NEW met3 ( 900160 1662940 ) ( * 1664070 0 )
NEW met2 ( 973590 1049580 0 ) ( * 1059950 )
NEW met2 ( 888490 1059950 ) ( * 1662940 )
NEW met1 ( 888490 1059950 ) ( 973590 * )
NEW met1 ( 888490 1059950 ) M1M2_PR
NEW met2 ( 888490 1662940 ) M2M3_PR
NEW met1 ( 973590 1059950 ) M1M2_PR ;
- addr0_mem1\[6\] ( wb_memory_inst addr_mem1[6] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[6] ) + USE SIGNAL
+ ROUTED met3 ( 885270 1665660 ) ( 900160 * )
NEW met3 ( 900160 1665660 ) ( * 1668830 0 )
NEW met2 ( 987390 1049580 0 ) ( * 1061310 )
NEW met2 ( 885270 1061310 ) ( * 1665660 )
NEW met1 ( 885270 1061310 ) ( 987390 * )
NEW met1 ( 885270 1061310 ) M1M2_PR
NEW met2 ( 885270 1665660 ) M2M3_PR
NEW met1 ( 987390 1061310 ) M1M2_PR ;
- addr0_mem1\[7\] ( wb_memory_inst addr_mem1[7] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[7] ) + USE SIGNAL
+ ROUTED met3 ( 889410 1677900 ) ( 900160 * )
NEW met3 ( 900160 1677900 ) ( * 1679710 0 )
NEW met2 ( 889410 1060970 ) ( * 1677900 )
NEW met2 ( 1001190 1049580 0 ) ( * 1060970 )
NEW met1 ( 889410 1060970 ) ( 1001190 * )
NEW met1 ( 889410 1060970 ) M1M2_PR
NEW met2 ( 889410 1677900 ) M2M3_PR
NEW met1 ( 1001190 1060970 ) M1M2_PR ;
- addr0_mem1\[8\] ( wb_memory_inst addr_mem1[8] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr0[8] ) + USE SIGNAL
+ ROUTED met3 ( 889870 1684700 ) ( 900160 * )
NEW met3 ( 900160 1684470 0 ) ( * 1684700 )
NEW met2 ( 889870 1059610 ) ( * 1684700 )
NEW met2 ( 1014990 1049580 0 ) ( * 1059610 )
NEW met1 ( 889870 1059610 ) ( 1014990 * )
NEW met1 ( 889870 1059610 ) M1M2_PR
NEW met2 ( 889870 1684700 ) M2M3_PR
NEW met1 ( 1014990 1059610 ) M1M2_PR ;
- addr1\[0\] ( wfg_top_inst addr1[0] ) ( merge_memory_inst addr[0] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2390540 ) ( * 2393260 0 )
NEW met3 ( 1249820 2390540 ) ( 1259710 * )
NEW met2 ( 1259710 2235330 ) ( * 2390540 )
NEW met2 ( 1884850 2230060 ) ( * 2235330 )
NEW met3 ( 1884850 2230060 ) ( 1900260 * 0 )
NEW met1 ( 1259710 2235330 ) ( 1884850 * )
NEW met1 ( 1259710 2235330 ) M1M2_PR
NEW met2 ( 1259710 2390540 ) M2M3_PR
NEW met1 ( 1884850 2235330 ) M1M2_PR
NEW met2 ( 1884850 2230060 ) M2M3_PR ;
- addr1\[1\] ( wfg_top_inst addr1[1] ) ( merge_memory_inst addr[1] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2394620 ) ( * 2397340 0 )
NEW met3 ( 1249820 2394620 ) ( 1259940 * )
NEW met4 ( 1259940 2236180 ) ( * 2394620 )
NEW met3 ( 1900260 2236180 ) ( * 2242300 0 )
NEW met3 ( 1259940 2236180 ) ( 1900260 * )
NEW met3 ( 1259940 2236180 ) M3M4_PR
NEW met3 ( 1259940 2394620 ) M3M4_PR ;
- addr1\[2\] ( wfg_top_inst addr1[2] ) ( merge_memory_inst addr[2] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2401420 0 ) ( * 2402100 )
NEW met3 ( 1249820 2402100 ) ( 1259250 * )
NEW met2 ( 1259250 2256070 ) ( * 2402100 )
NEW met2 ( 1884850 2254540 ) ( * 2256070 )
NEW met3 ( 1884850 2254540 ) ( 1900260 * 0 )
NEW met1 ( 1259250 2256070 ) ( 1884850 * )
NEW met1 ( 1259250 2256070 ) M1M2_PR
NEW met2 ( 1259250 2402100 ) M2M3_PR
NEW met1 ( 1884850 2256070 ) M1M2_PR
NEW met2 ( 1884850 2254540 ) M2M3_PR ;
- addr1\[3\] ( wfg_top_inst addr1[3] ) ( merge_memory_inst addr[3] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2403460 ) ( * 2405500 0 )
NEW met3 ( 1249820 2403460 ) ( 1260170 * )
NEW met2 ( 1260170 2270010 ) ( * 2403460 )
NEW met2 ( 1884850 2266780 ) ( * 2270010 )
NEW met3 ( 1884850 2266780 ) ( 1900260 * 0 )
NEW met1 ( 1260170 2270010 ) ( 1884850 * )
NEW met2 ( 1260170 2403460 ) M2M3_PR
NEW met1 ( 1260170 2270010 ) M1M2_PR
NEW met1 ( 1884850 2270010 ) M1M2_PR
NEW met2 ( 1884850 2266780 ) M2M3_PR ;
- addr1\[4\] ( wfg_top_inst addr1[4] ) ( merge_memory_inst addr[4] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2408220 ) ( * 2409580 0 )
NEW met3 ( 1249820 2408220 ) ( 1260630 * )
NEW met2 ( 1260630 2283610 ) ( * 2408220 )
NEW met2 ( 1884850 2279020 ) ( * 2283610 )
NEW met3 ( 1884850 2279020 ) ( 1900260 * 0 )
NEW met1 ( 1260630 2283610 ) ( 1884850 * )
NEW met2 ( 1260630 2408220 ) M2M3_PR
NEW met1 ( 1260630 2283610 ) M1M2_PR
NEW met1 ( 1884850 2283610 ) M1M2_PR
NEW met2 ( 1884850 2279020 ) M2M3_PR ;
- addr1\[5\] ( wfg_top_inst addr1[5] ) ( merge_memory_inst addr[5] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2410940 ) ( * 2413660 0 )
NEW met3 ( 1249820 2410940 ) ( 1261550 * )
NEW met2 ( 1261550 2297550 ) ( * 2410940 )
NEW met2 ( 1884850 2291260 ) ( * 2297550 )
NEW met3 ( 1884850 2291260 ) ( 1900260 * 0 )
NEW met1 ( 1261550 2297550 ) ( 1884850 * )
NEW met2 ( 1261550 2410940 ) M2M3_PR
NEW met1 ( 1261550 2297550 ) M1M2_PR
NEW met1 ( 1884850 2297550 ) M1M2_PR
NEW met2 ( 1884850 2291260 ) M2M3_PR ;
- addr1\[6\] ( wfg_top_inst addr1[6] ) ( merge_memory_inst addr[6] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2415020 ) ( * 2417740 0 )
NEW met3 ( 1249820 2415020 ) ( 1261090 * )
NEW met2 ( 1261090 2304350 ) ( * 2415020 )
NEW met2 ( 1884850 2303500 ) ( * 2304350 )
NEW met3 ( 1884850 2303500 ) ( 1900260 * 0 )
NEW met1 ( 1261090 2304350 ) ( 1884850 * )
NEW met2 ( 1261090 2415020 ) M2M3_PR
NEW met1 ( 1261090 2304350 ) M1M2_PR
NEW met1 ( 1884850 2304350 ) M1M2_PR
NEW met2 ( 1884850 2303500 ) M2M3_PR ;
- addr1\[7\] ( wfg_top_inst addr1[7] ) ( merge_memory_inst addr[7] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2419100 ) ( * 2421820 0 )
NEW met3 ( 1249820 2419100 ) ( 1262010 * )
NEW met2 ( 1262010 2318290 ) ( * 2419100 )
NEW met2 ( 1884850 2315740 ) ( * 2318290 )
NEW met3 ( 1884850 2315740 ) ( 1900260 * 0 )
NEW met1 ( 1262010 2318290 ) ( 1884850 * )
NEW met1 ( 1262010 2318290 ) M1M2_PR
NEW met2 ( 1262010 2419100 ) M2M3_PR
NEW met1 ( 1884850 2318290 ) M1M2_PR
NEW met2 ( 1884850 2315740 ) M2M3_PR ;
- addr1\[8\] ( wfg_top_inst addr1[8] ) ( merge_memory_inst addr[8] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2423180 ) ( * 2425900 0 )
NEW met3 ( 1249820 2423180 ) ( 1262470 * )
NEW met2 ( 1262470 2331890 ) ( * 2423180 )
NEW met2 ( 1884850 2327980 ) ( * 2331890 )
NEW met3 ( 1884850 2327980 ) ( 1900260 * 0 )
NEW met1 ( 1262470 2331890 ) ( 1884850 * )
NEW met1 ( 1262470 2331890 ) M1M2_PR
NEW met2 ( 1262470 2423180 ) M2M3_PR
NEW met1 ( 1884850 2331890 ) M1M2_PR
NEW met2 ( 1884850 2327980 ) M2M3_PR ;
- addr1\[9\] ( wfg_top_inst addr1[9] ) ( merge_memory_inst addr[9] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2429300 ) ( * 2429980 0 )
NEW met3 ( 1249820 2429300 ) ( 1258790 * )
NEW met2 ( 1258790 2345830 ) ( * 2429300 )
NEW met2 ( 1884850 2340220 ) ( * 2345830 )
NEW met3 ( 1884850 2340220 ) ( 1900260 * 0 )
NEW met1 ( 1258790 2345830 ) ( 1884850 * )
NEW met1 ( 1258790 2345830 ) M1M2_PR
NEW met2 ( 1258790 2429300 ) M2M3_PR
NEW met1 ( 1884850 2345830 ) M1M2_PR
NEW met2 ( 1884850 2340220 ) M2M3_PR ;
- addr1_mem0\[0\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[0] ) ( merge_memory_inst addr_mem0[0] ) + USE SIGNAL
+ ROUTED met2 ( 697130 1928820 ) ( * 1935790 )
NEW met3 ( 697130 1928820 ) ( 698740 * )
NEW met4 ( 698740 1918200 ) ( * 1928820 )
NEW met4 ( 698590 1916010 0 ) ( * 1918200 )
NEW met4 ( 698590 1918200 ) ( 698740 * )
NEW met1 ( 517730 1935790 ) ( 697130 * )
NEW met2 ( 517730 2375580 ) ( 520950 * 0 )
NEW met2 ( 517730 1935790 ) ( * 2375580 )
NEW met1 ( 697130 1935790 ) M1M2_PR
NEW met2 ( 697130 1928820 ) M2M3_PR
NEW met3 ( 698740 1928820 ) M3M4_PR
NEW met1 ( 517730 1935790 ) M1M2_PR ;
- addr1_mem0\[1\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[1] ) ( merge_memory_inst addr_mem0[1] ) + USE SIGNAL
+ ROUTED met2 ( 690690 1929500 ) ( * 1936130 )
NEW met3 ( 690690 1929500 ) ( 692300 * )
NEW met4 ( 692300 1918200 ) ( * 1929500 )
NEW met4 ( 692470 1916010 0 ) ( * 1918200 )
NEW met4 ( 692300 1918200 ) ( 692470 * )
NEW met1 ( 524630 1936130 ) ( 690690 * )
NEW met2 ( 524630 2375580 ) ( 529690 * 0 )
NEW met2 ( 524630 1936130 ) ( * 2375580 )
NEW met1 ( 690690 1936130 ) M1M2_PR
NEW met2 ( 690690 1929500 ) M2M3_PR
NEW met3 ( 692300 1929500 ) M3M4_PR
NEW met1 ( 524630 1936130 ) M1M2_PR ;
- addr1_mem0\[2\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[2] ) ( merge_memory_inst addr_mem0[2] ) + USE SIGNAL
+ ROUTED met3 ( 782940 1599700 ) ( 795570 * )
NEW met3 ( 782940 1596750 0 ) ( * 1599700 )
NEW met2 ( 795570 1599700 ) ( * 1921510 )
NEW met1 ( 538430 1921510 ) ( 795570 * )
NEW met2 ( 538430 1921510 ) ( * 2375580 0 )
NEW met1 ( 795570 1921510 ) M1M2_PR
NEW met2 ( 795570 1599700 ) M2M3_PR
NEW met1 ( 538430 1921510 ) M1M2_PR ;
- addr1_mem0\[3\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[3] ) ( merge_memory_inst addr_mem0[3] ) + USE SIGNAL
+ ROUTED met3 ( 782940 1591540 ) ( 795110 * )
NEW met3 ( 782940 1588590 0 ) ( * 1591540 )
NEW met2 ( 795110 1591540 ) ( * 1921850 )
NEW met1 ( 545330 1921850 ) ( 795110 * )
NEW met2 ( 545330 2375580 ) ( 547170 * 0 )
NEW met2 ( 545330 1921850 ) ( * 2375580 )
NEW met1 ( 795110 1921850 ) M1M2_PR
NEW met2 ( 795110 1591540 ) M2M3_PR
NEW met1 ( 545330 1921850 ) M1M2_PR ;
- addr1_mem0\[4\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[4] ) ( merge_memory_inst addr_mem0[4] ) + USE SIGNAL
+ ROUTED met3 ( 782940 1584740 ) ( 794650 * )
NEW met3 ( 782940 1581790 0 ) ( * 1584740 )
NEW met2 ( 794650 1584740 ) ( * 1922190 )
NEW met1 ( 552230 1922190 ) ( 794650 * )
NEW met2 ( 552230 2375580 ) ( 555910 * 0 )
NEW met2 ( 552230 1922190 ) ( * 2375580 )
NEW met1 ( 794650 1922190 ) M1M2_PR
NEW met2 ( 794650 1584740 ) M2M3_PR
NEW met1 ( 552230 1922190 ) M1M2_PR ;
- addr1_mem0\[5\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[5] ) ( merge_memory_inst addr_mem0[5] ) + USE SIGNAL
+ ROUTED met3 ( 782940 1577260 ) ( 794190 * )
NEW met3 ( 782940 1574310 0 ) ( * 1577260 )
NEW met2 ( 794190 1577260 ) ( * 1922530 )
NEW met1 ( 559130 1922530 ) ( 794190 * )
NEW met2 ( 559130 2375580 ) ( 564650 * 0 )
NEW met2 ( 559130 1922530 ) ( * 2375580 )
NEW met2 ( 794190 1577260 ) M2M3_PR
NEW met1 ( 794190 1922530 ) M1M2_PR
NEW met1 ( 559130 1922530 ) M1M2_PR ;
- addr1_mem0\[6\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[6] ) ( merge_memory_inst addr_mem0[6] ) + USE SIGNAL
+ ROUTED met3 ( 782940 1571140 ) ( 793730 * )
NEW met3 ( 782940 1568190 0 ) ( * 1571140 )
NEW met2 ( 572930 2375580 ) ( 573390 * 0 )
NEW met2 ( 572930 1922870 ) ( * 2375580 )
NEW met2 ( 793730 1571140 ) ( * 1922870 )
NEW met1 ( 572930 1922870 ) ( 793730 * )
NEW met1 ( 572930 1922870 ) M1M2_PR
NEW met2 ( 793730 1571140 ) M2M3_PR
NEW met1 ( 793730 1922870 ) M1M2_PR ;
- addr1_mem0\[7\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[7] ) ( merge_memory_inst addr_mem0[7] ) + USE SIGNAL
+ ROUTED met2 ( 579830 2375580 ) ( 582130 * 0 )
NEW met2 ( 579830 1923210 ) ( * 2375580 )
NEW met2 ( 787290 1490390 ) ( * 1923210 )
NEW met1 ( 579830 1923210 ) ( 787290 * )
NEW met4 ( 716270 1497700 ) ( * 1500530 0 )
NEW met4 ( 716220 1497700 ) ( 716270 * )
NEW met4 ( 716220 1490220 ) ( * 1497700 )
NEW met3 ( 716220 1490220 ) ( 716450 * )
NEW met2 ( 716450 1490220 ) ( * 1490390 )
NEW met1 ( 716450 1490390 ) ( 787290 * )
NEW met1 ( 579830 1923210 ) M1M2_PR
NEW met1 ( 787290 1923210 ) M1M2_PR
NEW met1 ( 787290 1490390 ) M1M2_PR
NEW met3 ( 716220 1490220 ) M3M4_PR
NEW met2 ( 716450 1490220 ) M2M3_PR
NEW met1 ( 716450 1490390 ) M1M2_PR
NEW met3 ( 716220 1490220 ) RECT ( -390 -150 0 150 ) ;
- addr1_mem0\[8\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 addr1[8] ) ( merge_memory_inst addr_mem0[8] ) + USE SIGNAL
+ ROUTED met2 ( 586730 2375580 ) ( 590870 * 0 )
NEW met2 ( 586730 1923550 ) ( * 2375580 )
NEW met2 ( 786830 1490050 ) ( * 1923550 )
NEW met1 ( 586730 1923550 ) ( 786830 * )
NEW met4 ( 716950 1497700 ) ( * 1500530 0 )
NEW met4 ( 716950 1497700 ) ( 717140 * )
NEW met4 ( 717140 1490220 ) ( * 1497700 )
NEW met3 ( 717140 1490220 ) ( 717370 * )
NEW met2 ( 717370 1490050 ) ( * 1490220 )
NEW met1 ( 717370 1490050 ) ( 786830 * )
NEW met1 ( 586730 1923550 ) M1M2_PR
NEW met1 ( 786830 1923550 ) M1M2_PR
NEW met1 ( 786830 1490050 ) M1M2_PR
NEW met3 ( 717140 1490220 ) M3M4_PR
NEW met2 ( 717370 1490220 ) M2M3_PR
NEW met1 ( 717370 1490050 ) M1M2_PR
NEW met3 ( 717370 1490220 ) RECT ( 0 -150 390 150 ) ;
- addr1_mem1\[0\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[0] ) ( merge_memory_inst addr_mem1[0] ) + USE SIGNAL
+ ROUTED met2 ( 888030 2365210 ) ( * 2375580 0 )
NEW met2 ( 1279950 1931370 ) ( * 2365210 )
NEW met2 ( 1497530 1930860 ) ( * 1931370 )
NEW met3 ( 1497530 1930860 ) ( 1498220 * )
NEW met1 ( 1279950 1931370 ) ( 1497530 * )
NEW met1 ( 888030 2365210 ) ( 1279950 * )
NEW met4 ( 1498220 1918200 ) ( * 1930860 )
NEW met4 ( 1498590 1916010 0 ) ( * 1918200 )
NEW met4 ( 1498220 1918200 ) ( 1498590 * )
NEW met1 ( 1279950 1931370 ) M1M2_PR
NEW met1 ( 888030 2365210 ) M1M2_PR
NEW met1 ( 1279950 2365210 ) M1M2_PR
NEW met1 ( 1497530 1931370 ) M1M2_PR
NEW met2 ( 1497530 1930860 ) M2M3_PR
NEW met3 ( 1498220 1930860 ) M3M4_PR ;
- addr1_mem1\[1\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[1] ) ( merge_memory_inst addr_mem1[1] ) + USE SIGNAL
+ ROUTED met2 ( 896770 2365550 ) ( * 2375580 0 )
NEW met2 ( 1266150 1931710 ) ( * 2365550 )
NEW met2 ( 1490630 1928140 ) ( * 1931710 )
NEW met3 ( 1490630 1928140 ) ( 1492700 * )
NEW met1 ( 1266150 1931710 ) ( 1490630 * )
NEW met1 ( 896770 2365550 ) ( 1266150 * )
NEW met4 ( 1492700 1918200 ) ( * 1928140 )
NEW met4 ( 1492470 1916010 0 ) ( * 1918200 )
NEW met4 ( 1492470 1918200 ) ( 1492700 * )
NEW met1 ( 1266150 1931710 ) M1M2_PR
NEW met1 ( 896770 2365550 ) M1M2_PR
NEW met1 ( 1266150 2365550 ) M1M2_PR
NEW met1 ( 1490630 1931710 ) M1M2_PR
NEW met2 ( 1490630 1928140 ) M2M3_PR
NEW met3 ( 1492700 1928140 ) M3M4_PR ;
- addr1_mem1\[2\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[2] ) ( merge_memory_inst addr_mem1[2] ) + USE SIGNAL
+ ROUTED met2 ( 905510 2363510 ) ( * 2375580 0 )
NEW met2 ( 1584930 1628400 ) ( 1585390 * )
NEW met2 ( 1585390 1596750 ) ( * 1628400 )
NEW met3 ( 1582860 1596750 0 ) ( 1585390 * )
NEW met1 ( 905510 2363510 ) ( 1584930 * )
NEW met2 ( 1584930 1628400 ) ( * 2363510 )
NEW met1 ( 905510 2363510 ) M1M2_PR
NEW met2 ( 1585390 1596750 ) M2M3_PR
NEW met1 ( 1584930 2363510 ) M1M2_PR ;
- addr1_mem1\[3\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[3] ) ( merge_memory_inst addr_mem1[3] ) + USE SIGNAL
+ ROUTED met2 ( 914250 2363850 ) ( * 2375580 0 )
NEW met2 ( 1584470 1610580 ) ( 1584930 * )
NEW met2 ( 1584930 1588590 ) ( * 1610580 )
NEW met3 ( 1582860 1588590 0 ) ( 1584930 * )
NEW met1 ( 914250 2363850 ) ( 1584470 * )
NEW met2 ( 1584470 1610580 ) ( * 2363850 )
NEW met1 ( 914250 2363850 ) M1M2_PR
NEW met2 ( 1584930 1588590 ) M2M3_PR
NEW met1 ( 1584470 2363850 ) M1M2_PR ;
- addr1_mem1\[4\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[4] ) ( merge_memory_inst addr_mem1[4] ) + USE SIGNAL
+ ROUTED met2 ( 922990 2364190 ) ( * 2375580 0 )
NEW met2 ( 1584010 1609900 ) ( 1584470 * )
NEW met2 ( 1584470 1581790 ) ( * 1609900 )
NEW met3 ( 1582860 1581790 0 ) ( 1584470 * )
NEW met1 ( 922990 2364190 ) ( 1584010 * )
NEW met2 ( 1584010 1609900 ) ( * 2364190 )
NEW met1 ( 922990 2364190 ) M1M2_PR
NEW met2 ( 1584470 1581790 ) M2M3_PR
NEW met1 ( 1584010 2364190 ) M1M2_PR ;
- addr1_mem1\[5\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[5] ) ( merge_memory_inst addr_mem1[5] ) + USE SIGNAL
+ ROUTED met3 ( 1582860 1574310 0 ) ( 1584010 * )
NEW met2 ( 931730 2364530 ) ( * 2375580 0 )
NEW met2 ( 1583550 1604460 ) ( 1584010 * )
NEW met2 ( 1584010 1574310 ) ( * 1604460 )
NEW met1 ( 931730 2364530 ) ( 1583550 * )
NEW met2 ( 1583550 1604460 ) ( * 2364530 )
NEW met2 ( 1584010 1574310 ) M2M3_PR
NEW met1 ( 931730 2364530 ) M1M2_PR
NEW met1 ( 1583550 2364530 ) M1M2_PR ;
- addr1_mem1\[6\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[6] ) ( merge_memory_inst addr_mem1[6] ) + USE SIGNAL
+ ROUTED met3 ( 1583550 1568190 ) ( * 1569100 )
NEW met3 ( 1582860 1568190 0 ) ( 1583550 * )
NEW met2 ( 940470 2364870 ) ( * 2375580 0 )
NEW met2 ( 1583550 1569100 ) ( * 1580100 )
NEW met2 ( 1583090 1580100 ) ( 1583550 * )
NEW met1 ( 940470 2364870 ) ( 1583090 * )
NEW met2 ( 1583090 1580100 ) ( * 2364870 )
NEW met2 ( 1583550 1569100 ) M2M3_PR
NEW met1 ( 940470 2364870 ) M1M2_PR
NEW met1 ( 1583090 2364870 ) M1M2_PR ;
- addr1_mem1\[7\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[7] ) ( merge_memory_inst addr_mem1[7] ) + USE SIGNAL
+ ROUTED met2 ( 896310 1490390 ) ( * 1921850 )
NEW met1 ( 896310 1921850 ) ( 945530 * )
NEW met2 ( 945530 2375580 ) ( 949210 * 0 )
NEW met2 ( 945530 1921850 ) ( * 2375580 )
NEW met4 ( 1516270 1497700 ) ( * 1500530 0 )
NEW met4 ( 1515700 1497700 ) ( 1516270 * )
NEW met4 ( 1515700 1490220 ) ( * 1497700 )
NEW met3 ( 1515470 1490220 ) ( 1515700 * )
NEW met2 ( 1515470 1490220 ) ( * 1490390 )
NEW met1 ( 896310 1490390 ) ( 1515470 * )
NEW met1 ( 896310 1921850 ) M1M2_PR
NEW met1 ( 896310 1490390 ) M1M2_PR
NEW met1 ( 945530 1921850 ) M1M2_PR
NEW met3 ( 1515700 1490220 ) M3M4_PR
NEW met2 ( 1515470 1490220 ) M2M3_PR
NEW met1 ( 1515470 1490390 ) M1M2_PR
NEW met3 ( 1515700 1490220 ) RECT ( 0 -150 390 150 ) ;
- addr1_mem1\[8\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 addr1[8] ) ( merge_memory_inst addr_mem1[8] ) + USE SIGNAL
+ ROUTED met2 ( 896770 1490050 ) ( * 1921510 )
NEW met2 ( 952430 2375580 ) ( 957950 * 0 )
NEW met2 ( 952430 1921510 ) ( * 2375580 )
NEW met1 ( 896770 1921510 ) ( 952430 * )
NEW met4 ( 1516950 1497700 ) ( * 1500530 0 )
NEW met4 ( 1516950 1497700 ) ( 1517540 * )
NEW met4 ( 1517540 1490220 ) ( * 1497700 )
NEW met3 ( 1517310 1490220 ) ( 1517540 * )
NEW met2 ( 1517310 1490050 ) ( * 1490220 )
NEW met1 ( 896770 1490050 ) ( 1517310 * )
NEW met1 ( 896770 1921510 ) M1M2_PR
NEW met1 ( 952430 1921510 ) M1M2_PR
NEW met1 ( 896770 1490050 ) M1M2_PR
NEW met3 ( 1517540 1490220 ) M3M4_PR
NEW met2 ( 1517310 1490220 ) M2M3_PR
NEW met1 ( 1517310 1490050 ) M1M2_PR
NEW met3 ( 1517540 1490220 ) RECT ( 0 -150 390 150 ) ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- csb0_mem0 ( wb_memory_inst csb_mem0 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 csb0 ) + USE SIGNAL
+ ROUTED met3 ( 97060 1540310 ) ( 100280 * 0 )
NEW met3 ( 97060 1540310 ) ( * 1540540 )
NEW met3 ( 85790 1540540 ) ( 97060 * )
NEW met2 ( 513590 1049580 0 ) ( * 1059270 )
NEW met2 ( 85790 1059270 ) ( * 1540540 )
NEW met1 ( 85790 1059270 ) ( 513590 * )
NEW met1 ( 85790 1059270 ) M1M2_PR
NEW met2 ( 85790 1540540 ) M2M3_PR
NEW met1 ( 513590 1059270 ) M1M2_PR ;
- csb0_mem1 ( wb_memory_inst csb_mem1 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 csb0 ) + USE SIGNAL
+ ROUTED met1 ( 876990 1539010 ) ( 884810 * )
NEW met2 ( 884810 1539010 ) ( * 1539180 )
NEW met3 ( 884810 1539180 ) ( 900160 * )
NEW met3 ( 900160 1539180 ) ( * 1540310 0 )
NEW met2 ( 876990 1049580 0 ) ( * 1539010 )
NEW met1 ( 876990 1539010 ) M1M2_PR
NEW met1 ( 884810 1539010 ) M1M2_PR
NEW met2 ( 884810 1539180 ) M2M3_PR ;
- csb1 ( wfg_top_inst csb1 ) ( merge_memory_inst csb ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2387820 ) ( * 2389180 0 )
NEW met3 ( 1249820 2387820 ) ( 1259020 * )
NEW met4 ( 1259020 2215100 ) ( * 2387820 )
NEW met3 ( 1900260 2215100 ) ( * 2217820 0 )
NEW met3 ( 1259020 2215100 ) ( 1900260 * )
NEW met3 ( 1259020 2215100 ) M3M4_PR
NEW met3 ( 1259020 2387820 ) M3M4_PR ;
- csb1_mem0 ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 csb1 ) ( merge_memory_inst csb_mem0 ) + USE SIGNAL
+ ROUTED met2 ( 512210 2362830 ) ( * 2375580 0 )
NEW met3 ( 782940 1896860 ) ( 783150 * )
NEW met3 ( 782940 1895950 0 ) ( * 1896860 )
NEW met2 ( 783150 1896860 ) ( * 2362830 )
NEW met1 ( 512210 2362830 ) ( 783150 * )
NEW met1 ( 512210 2362830 ) M1M2_PR
NEW met2 ( 783150 1896860 ) M2M3_PR
NEW met1 ( 783150 2362830 ) M1M2_PR ;
- csb1_mem1 ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 csb1 ) ( merge_memory_inst csb_mem1 ) + USE SIGNAL
+ ROUTED met2 ( 879290 2363170 ) ( * 2375580 0 )
NEW met3 ( 1582860 1895950 0 ) ( 1585390 * )
NEW met1 ( 879290 2363170 ) ( 1585390 * )
NEW met2 ( 1585390 1895950 ) ( * 2363170 )
NEW met1 ( 879290 2363170 ) M1M2_PR
NEW met2 ( 1585390 1895950 ) M2M3_PR
NEW met1 ( 1585390 2363170 ) M1M2_PR ;
- din0_mem0\[0\] ( wb_memory_inst din_mem0[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[0] ) + USE SIGNAL
+ ROUTED met4 ( 215790 1497700 ) ( * 1500530 0 )
NEW met4 ( 215740 1497700 ) ( 215790 * )
NEW met4 ( 215740 1490220 ) ( * 1497700 )
NEW met3 ( 215740 1490220 ) ( 220570 * )
NEW met2 ( 220570 1065730 ) ( * 1490220 )
NEW met2 ( 527390 1049580 0 ) ( * 1065730 )
NEW met1 ( 220570 1065730 ) ( 527390 * )
NEW met1 ( 220570 1065730 ) M1M2_PR
NEW met3 ( 215740 1490220 ) M3M4_PR
NEW met2 ( 220570 1490220 ) M2M3_PR
NEW met1 ( 527390 1065730 ) M1M2_PR ;
- din0_mem0\[10\] ( wb_memory_inst din_mem0[10] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[10] ) + USE SIGNAL
+ ROUTED met2 ( 674590 1049580 0 ) ( * 1069470 )
NEW met1 ( 275770 1069470 ) ( 674590 * )
NEW met4 ( 272910 1497700 ) ( * 1500530 0 )
NEW met4 ( 272780 1497700 ) ( 272910 * )
NEW met4 ( 272780 1490220 ) ( * 1497700 )
NEW met3 ( 272780 1490220 ) ( 275770 * )
NEW met2 ( 275770 1069470 ) ( * 1490220 )
NEW met1 ( 674590 1069470 ) M1M2_PR
NEW met1 ( 275770 1069470 ) M1M2_PR
NEW met3 ( 272780 1490220 ) M3M4_PR
NEW met2 ( 275770 1490220 ) M2M3_PR ;
- din0_mem0\[11\] ( wb_memory_inst din_mem0[11] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[11] ) + USE SIGNAL
+ ROUTED met2 ( 683790 1049580 0 ) ( * 1068790 )
NEW met4 ( 279710 1497700 ) ( * 1500530 0 )
NEW met4 ( 279220 1497700 ) ( 279710 * )
NEW met4 ( 279220 1489540 ) ( * 1497700 )
NEW met3 ( 279220 1489540 ) ( 282210 * )
NEW met2 ( 282210 1068790 ) ( * 1489540 )
NEW met1 ( 282210 1068790 ) ( 683790 * )
NEW met1 ( 282210 1068790 ) M1M2_PR
NEW met1 ( 683790 1068790 ) M1M2_PR
NEW met3 ( 279220 1489540 ) M3M4_PR
NEW met2 ( 282210 1489540 ) M2M3_PR ;
- din0_mem0\[12\] ( wb_memory_inst din_mem0[12] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[12] ) + USE SIGNAL
+ ROUTED met2 ( 692990 1049580 0 ) ( * 1069130 )
NEW met4 ( 285150 1497700 ) ( * 1500530 0 )
NEW met4 ( 285150 1497700 ) ( 285660 * )
NEW met4 ( 285660 1490220 ) ( * 1497700 )
NEW met3 ( 285660 1490220 ) ( 289570 * )
NEW met2 ( 289570 1069130 ) ( * 1490220 )
NEW met1 ( 289570 1069130 ) ( 692990 * )
NEW met1 ( 289570 1069130 ) M1M2_PR
NEW met1 ( 692990 1069130 ) M1M2_PR
NEW met3 ( 285660 1490220 ) M3M4_PR
NEW met2 ( 289570 1490220 ) M2M3_PR ;
- din0_mem0\[13\] ( wb_memory_inst din_mem0[13] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[13] ) + USE SIGNAL
+ ROUTED met2 ( 702190 1049580 0 ) ( * 1068450 )
NEW met4 ( 290590 1497700 ) ( * 1500530 0 )
NEW met4 ( 290590 1497700 ) ( 291180 * )
NEW met4 ( 291180 1489540 ) ( * 1497700 )
NEW met3 ( 291180 1489540 ) ( 296010 * )
NEW met2 ( 296010 1068450 ) ( * 1489540 )
NEW met1 ( 296010 1068450 ) ( 702190 * )
NEW met1 ( 296010 1068450 ) M1M2_PR
NEW met1 ( 702190 1068450 ) M1M2_PR
NEW met3 ( 291180 1489540 ) M3M4_PR
NEW met2 ( 296010 1489540 ) M2M3_PR ;
- din0_mem0\[14\] ( wb_memory_inst din_mem0[14] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[14] ) + USE SIGNAL
+ ROUTED met4 ( 297390 1497700 ) ( * 1500530 0 )
NEW met4 ( 297390 1497700 ) ( 297620 * )
NEW met4 ( 297620 1489540 ) ( * 1497700 )
NEW met3 ( 297620 1489540 ) ( 302910 * )
NEW met2 ( 302910 1068110 ) ( * 1489540 )
NEW met2 ( 711390 1049580 0 ) ( * 1068110 )
NEW met1 ( 302910 1068110 ) ( 711390 * )
NEW met1 ( 302910 1068110 ) M1M2_PR
NEW met3 ( 297620 1489540 ) M3M4_PR
NEW met2 ( 302910 1489540 ) M2M3_PR
NEW met1 ( 711390 1068110 ) M1M2_PR ;
- din0_mem0\[15\] ( wb_memory_inst din_mem0[15] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[15] ) + USE SIGNAL
+ ROUTED met4 ( 303510 1497700 ) ( * 1500530 0 )
NEW met4 ( 303140 1497700 ) ( 303510 * )
NEW met4 ( 303140 1490900 ) ( * 1497700 )
NEW met3 ( 303140 1490220 ) ( * 1490900 )
NEW met3 ( 303140 1490220 ) ( 303370 * )
NEW met2 ( 303370 1067430 ) ( * 1490220 )
NEW met2 ( 720590 1049580 0 ) ( * 1067430 )
NEW met1 ( 303370 1067430 ) ( 720590 * )
NEW met1 ( 303370 1067430 ) M1M2_PR
NEW met3 ( 303140 1490900 ) M3M4_PR
NEW met2 ( 303370 1490220 ) M2M3_PR
NEW met1 ( 720590 1067430 ) M1M2_PR ;
- din0_mem0\[16\] ( wb_memory_inst din_mem0[16] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[16] ) + USE SIGNAL
+ ROUTED met4 ( 308950 1497700 ) ( * 1500530 0 )
NEW met4 ( 308660 1497700 ) ( 308950 * )
NEW met4 ( 308660 1490220 ) ( * 1497700 )
NEW met3 ( 308660 1490220 ) ( 309810 * )
NEW met2 ( 309810 1067770 ) ( * 1490220 )
NEW met2 ( 729790 1049580 0 ) ( * 1067770 )
NEW met1 ( 309810 1067770 ) ( 729790 * )
NEW met1 ( 309810 1067770 ) M1M2_PR
NEW met3 ( 308660 1490220 ) M3M4_PR
NEW met2 ( 309810 1490220 ) M2M3_PR
NEW met1 ( 729790 1067770 ) M1M2_PR ;
- din0_mem0\[17\] ( wb_memory_inst din_mem0[17] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[17] ) + USE SIGNAL
+ ROUTED met4 ( 314390 1497700 ) ( * 1500530 0 )
NEW met4 ( 314180 1497700 ) ( 314390 * )
NEW met4 ( 314180 1490220 ) ( * 1497700 )
NEW met3 ( 314180 1490220 ) ( 317170 * )
NEW met2 ( 317170 1067090 ) ( * 1490220 )
NEW met2 ( 738990 1049580 0 ) ( * 1067090 )
NEW met1 ( 317170 1067090 ) ( 738990 * )
NEW met1 ( 317170 1067090 ) M1M2_PR
NEW met3 ( 314180 1490220 ) M3M4_PR
NEW met2 ( 317170 1490220 ) M2M3_PR
NEW met1 ( 738990 1067090 ) M1M2_PR ;
- din0_mem0\[18\] ( wb_memory_inst din_mem0[18] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[18] ) + USE SIGNAL
+ ROUTED met4 ( 319830 1497700 ) ( * 1500530 0 )
NEW met4 ( 319700 1497700 ) ( 319830 * )
NEW met4 ( 319700 1490900 ) ( * 1497700 )
NEW met3 ( 319700 1490220 ) ( * 1490900 )
NEW met3 ( 319700 1490220 ) ( 323610 * )
NEW met2 ( 323610 1075590 ) ( * 1490220 )
NEW met2 ( 748190 1049580 0 ) ( * 1075590 )
NEW met1 ( 323610 1075590 ) ( 748190 * )
NEW met1 ( 323610 1075590 ) M1M2_PR
NEW met3 ( 319700 1490900 ) M3M4_PR
NEW met2 ( 323610 1490220 ) M2M3_PR
NEW met1 ( 748190 1075590 ) M1M2_PR ;
- din0_mem0\[19\] ( wb_memory_inst din_mem0[19] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[19] ) + USE SIGNAL
+ ROUTED met4 ( 323380 1484100 ) ( * 1497300 )
NEW met2 ( 757390 1049580 0 ) ( * 1075930 )
NEW met1 ( 330510 1075930 ) ( 757390 * )
NEW met4 ( 323380 1484100 ) ( 324300 * )
NEW met3 ( 324300 1484100 ) ( 330510 * )
NEW met4 ( 326630 1497700 ) ( * 1500530 0 )
NEW met4 ( 326140 1497700 ) ( 326630 * )
NEW met4 ( 326140 1497300 ) ( * 1497700 )
NEW met4 ( 323380 1497300 ) ( 326140 * )
NEW met2 ( 330510 1075930 ) ( * 1484100 )
NEW met1 ( 330510 1075930 ) M1M2_PR
NEW met1 ( 757390 1075930 ) M1M2_PR
NEW met3 ( 324300 1484100 ) M3M4_PR
NEW met2 ( 330510 1484100 ) M2M3_PR ;
- din0_mem0\[1\] ( wb_memory_inst din_mem0[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[1] ) + USE SIGNAL
+ ROUTED met4 ( 221230 1497700 ) ( * 1500530 0 )
NEW met4 ( 221230 1497700 ) ( 221260 * )
NEW met4 ( 221260 1489540 ) ( * 1497700 )
NEW met3 ( 221260 1489540 ) ( 227470 * )
NEW met2 ( 227470 1072190 ) ( * 1489540 )
NEW met2 ( 545790 1049580 0 ) ( * 1072190 )
NEW met1 ( 227470 1072190 ) ( 545790 * )
NEW met1 ( 227470 1072190 ) M1M2_PR
NEW met3 ( 221260 1489540 ) M3M4_PR
NEW met2 ( 227470 1489540 ) M2M3_PR
NEW met1 ( 545790 1072190 ) M1M2_PR ;
- din0_mem0\[20\] ( wb_memory_inst din_mem0[20] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[20] ) + USE SIGNAL
+ ROUTED met2 ( 766590 1049580 0 ) ( * 1075250 )
NEW met1 ( 337870 1075250 ) ( 766590 * )
NEW met4 ( 332070 1497700 ) ( * 1500530 0 )
NEW met4 ( 332070 1497700 ) ( 332580 * )
NEW met4 ( 332580 1490220 ) ( * 1497700 )
NEW met3 ( 332580 1490220 ) ( 337870 * )
NEW met2 ( 337870 1075250 ) ( * 1490220 )
NEW met1 ( 766590 1075250 ) M1M2_PR
NEW met1 ( 337870 1075250 ) M1M2_PR
NEW met3 ( 332580 1490220 ) M3M4_PR
NEW met2 ( 337870 1490220 ) M2M3_PR ;
- din0_mem0\[21\] ( wb_memory_inst din_mem0[21] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[21] ) + USE SIGNAL
+ ROUTED met2 ( 775790 1049580 0 ) ( * 1074910 )
NEW met1 ( 344310 1074910 ) ( 775790 * )
NEW met4 ( 338190 1497700 ) ( * 1500530 0 )
NEW met4 ( 338100 1497700 ) ( 338190 * )
NEW met4 ( 338100 1488860 ) ( * 1497700 )
NEW met3 ( 338100 1488860 ) ( 344310 * )
NEW met2 ( 344310 1074910 ) ( * 1488860 )
NEW met1 ( 775790 1074910 ) M1M2_PR
NEW met1 ( 344310 1074910 ) M1M2_PR
NEW met3 ( 338100 1488860 ) M3M4_PR
NEW met2 ( 344310 1488860 ) M2M3_PR ;
- din0_mem0\[22\] ( wb_memory_inst din_mem0[22] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[22] ) + USE SIGNAL
+ ROUTED met2 ( 784990 1049580 0 ) ( * 1074570 )
NEW met1 ( 343850 1074570 ) ( 784990 * )
NEW met4 ( 343630 1497700 ) ( * 1500530 0 )
NEW met4 ( 343620 1497700 ) ( 343630 * )
NEW met4 ( 343620 1490220 ) ( * 1497700 )
NEW met3 ( 343620 1490220 ) ( 343850 * )
NEW met2 ( 343850 1074570 ) ( * 1490220 )
NEW met1 ( 784990 1074570 ) M1M2_PR
NEW met1 ( 343850 1074570 ) M1M2_PR
NEW met3 ( 343620 1490220 ) M3M4_PR
NEW met2 ( 343850 1490220 ) M2M3_PR
NEW met3 ( 343620 1490220 ) RECT ( -390 -150 0 150 ) ;
- din0_mem0\[23\] ( wb_memory_inst din_mem0[23] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[23] ) + USE SIGNAL
+ ROUTED met2 ( 794190 1049580 0 ) ( * 1073550 )
NEW met1 ( 351670 1073550 ) ( 794190 * )
NEW met4 ( 349070 1497700 ) ( * 1500530 0 )
NEW met4 ( 349070 1497700 ) ( 349140 * )
NEW met4 ( 349140 1490220 ) ( * 1497700 )
NEW met3 ( 349140 1490220 ) ( 351670 * )
NEW met2 ( 351670 1073550 ) ( * 1490220 )
NEW met1 ( 794190 1073550 ) M1M2_PR
NEW met1 ( 351670 1073550 ) M1M2_PR
NEW met3 ( 349140 1490220 ) M3M4_PR
NEW met2 ( 351670 1490220 ) M2M3_PR ;
- din0_mem0\[24\] ( wb_memory_inst din_mem0[24] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[24] ) + USE SIGNAL
+ ROUTED met2 ( 803390 1049580 0 ) ( * 1073890 )
NEW met1 ( 358110 1073890 ) ( 803390 * )
NEW met4 ( 355870 1497700 ) ( * 1500530 0 )
NEW met4 ( 355580 1497700 ) ( 355870 * )
NEW met4 ( 355580 1490220 ) ( * 1497700 )
NEW met3 ( 355580 1490220 ) ( 358110 * )
NEW met2 ( 358110 1073890 ) ( * 1490220 )
NEW met1 ( 803390 1073890 ) M1M2_PR
NEW met1 ( 358110 1073890 ) M1M2_PR
NEW met3 ( 355580 1490220 ) M3M4_PR
NEW met2 ( 358110 1490220 ) M2M3_PR ;
- din0_mem0\[25\] ( wb_memory_inst din_mem0[25] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[25] ) + USE SIGNAL
+ ROUTED met2 ( 812590 1049580 0 ) ( * 1074230 )
NEW met1 ( 365470 1074230 ) ( 812590 * )
NEW met4 ( 361310 1497700 ) ( * 1500530 0 )
NEW met4 ( 361100 1497700 ) ( 361310 * )
NEW met4 ( 361100 1490220 ) ( * 1497700 )
NEW met3 ( 361100 1490220 ) ( 365470 * )
NEW met2 ( 365470 1074230 ) ( * 1490220 )
NEW met1 ( 365470 1074230 ) M1M2_PR
NEW met1 ( 812590 1074230 ) M1M2_PR
NEW met3 ( 361100 1490220 ) M3M4_PR
NEW met2 ( 365470 1490220 ) M2M3_PR ;
- din0_mem0\[26\] ( wb_memory_inst din_mem0[26] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[26] ) + USE SIGNAL
+ ROUTED met2 ( 821790 1049580 0 ) ( * 1081370 )
NEW met1 ( 371910 1081370 ) ( 821790 * )
NEW met4 ( 366750 1497700 ) ( * 1500530 0 )
NEW met4 ( 366620 1497700 ) ( 366750 * )
NEW met4 ( 366620 1489540 ) ( * 1497700 )
NEW met3 ( 366620 1489540 ) ( 371910 * )
NEW met2 ( 371910 1081370 ) ( * 1489540 )
NEW met1 ( 371910 1081370 ) M1M2_PR
NEW met1 ( 821790 1081370 ) M1M2_PR
NEW met3 ( 366620 1489540 ) M3M4_PR
NEW met2 ( 371910 1489540 ) M2M3_PR ;
- din0_mem0\[27\] ( wb_memory_inst din_mem0[27] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[27] ) + USE SIGNAL
+ ROUTED met2 ( 830990 1049580 0 ) ( * 1080690 )
NEW met1 ( 371450 1080690 ) ( 830990 * )
NEW met4 ( 372190 1497700 ) ( * 1500530 0 )
NEW met4 ( 372140 1497700 ) ( 372190 * )
NEW met4 ( 372140 1490900 ) ( * 1497700 )
NEW met3 ( 372140 1490220 ) ( * 1490900 )
NEW met3 ( 371450 1490220 ) ( 372140 * )
NEW met2 ( 371450 1080690 ) ( * 1490220 )
NEW met1 ( 371450 1080690 ) M1M2_PR
NEW met1 ( 830990 1080690 ) M1M2_PR
NEW met3 ( 372140 1490900 ) M3M4_PR
NEW met2 ( 371450 1490220 ) M2M3_PR ;
- din0_mem0\[28\] ( wb_memory_inst din_mem0[28] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[28] ) + USE SIGNAL
+ ROUTED met4 ( 378990 1499060 ) ( * 1500530 0 )
NEW met4 ( 378990 1499060 ) ( 379500 * )
NEW met4 ( 379500 1490220 ) ( * 1499060 )
NEW met3 ( 379270 1490220 ) ( 379500 * )
NEW met2 ( 379270 1081030 ) ( * 1490220 )
NEW met2 ( 840190 1049580 0 ) ( * 1081030 )
NEW met1 ( 379270 1081030 ) ( 840190 * )
NEW met1 ( 379270 1081030 ) M1M2_PR
NEW met3 ( 379500 1490220 ) M3M4_PR
NEW met2 ( 379270 1490220 ) M2M3_PR
NEW met1 ( 840190 1081030 ) M1M2_PR
NEW met3 ( 379500 1490220 ) RECT ( 0 -150 390 150 ) ;
- din0_mem0\[29\] ( wb_memory_inst din_mem0[29] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[29] ) + USE SIGNAL
+ ROUTED met4 ( 385110 1497700 ) ( * 1500530 0 )
NEW met4 ( 385020 1497700 ) ( 385110 * )
NEW met4 ( 385020 1490220 ) ( * 1497700 )
NEW met3 ( 385020 1490220 ) ( 386170 * )
NEW met2 ( 386170 1080350 ) ( * 1490220 )
NEW met2 ( 849390 1049580 0 ) ( * 1080350 )
NEW met1 ( 386170 1080350 ) ( 849390 * )
NEW met1 ( 386170 1080350 ) M1M2_PR
NEW met3 ( 385020 1490220 ) M3M4_PR
NEW met2 ( 386170 1490220 ) M2M3_PR
NEW met1 ( 849390 1080350 ) M1M2_PR ;
- din0_mem0\[2\] ( wb_memory_inst din_mem0[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[2] ) + USE SIGNAL
+ ROUTED met4 ( 227350 1497700 ) ( * 1500530 0 )
NEW met4 ( 226780 1497700 ) ( 227350 * )
NEW met4 ( 226780 1490900 ) ( * 1497700 )
NEW met3 ( 226780 1490220 ) ( * 1490900 )
NEW met3 ( 226780 1490220 ) ( 227010 * )
NEW met2 ( 227010 1079330 ) ( * 1490220 )
NEW met2 ( 564190 1049580 0 ) ( * 1079330 )
NEW met1 ( 227010 1079330 ) ( 564190 * )
NEW met1 ( 227010 1079330 ) M1M2_PR
NEW met3 ( 226780 1490900 ) M3M4_PR
NEW met2 ( 227010 1490220 ) M2M3_PR
NEW met1 ( 564190 1079330 ) M1M2_PR ;
- din0_mem0\[30\] ( wb_memory_inst din_mem0[30] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[30] ) + USE SIGNAL
+ ROUTED met2 ( 858590 1049580 0 ) ( * 1079670 )
NEW met4 ( 390550 1497700 ) ( * 1500530 0 )
NEW met4 ( 390550 1497700 ) ( 391460 * )
NEW met4 ( 391460 1489540 ) ( * 1497700 )
NEW met3 ( 391460 1489540 ) ( 392610 * )
NEW met2 ( 392610 1079670 ) ( * 1489540 )
NEW met1 ( 392610 1079670 ) ( 858590 * )
NEW met1 ( 392610 1079670 ) M1M2_PR
NEW met1 ( 858590 1079670 ) M1M2_PR
NEW met3 ( 391460 1489540 ) M3M4_PR
NEW met2 ( 392610 1489540 ) M2M3_PR ;
- din0_mem0\[31\] ( wb_memory_inst din_mem0[31] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[31] ) + USE SIGNAL
+ ROUTED met2 ( 867790 1049580 0 ) ( * 1080010 )
NEW met4 ( 395990 1497700 ) ( * 1500530 0 )
NEW met4 ( 395990 1497700 ) ( 396060 * )
NEW met4 ( 396060 1490220 ) ( * 1497700 )
NEW met3 ( 396060 1490220 ) ( 399970 * )
NEW met2 ( 399970 1080010 ) ( * 1490220 )
NEW met1 ( 399970 1080010 ) ( 867790 * )
NEW met1 ( 399970 1080010 ) M1M2_PR
NEW met1 ( 867790 1080010 ) M1M2_PR
NEW met3 ( 396060 1490220 ) M3M4_PR
NEW met2 ( 399970 1490220 ) M2M3_PR ;
- din0_mem0\[3\] ( wb_memory_inst din_mem0[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[3] ) + USE SIGNAL
+ ROUTED met2 ( 582590 1049580 0 ) ( * 1083070 )
NEW met1 ( 234370 1083070 ) ( 582590 * )
NEW met4 ( 232790 1497700 ) ( * 1500530 0 )
NEW met4 ( 232790 1497700 ) ( 233220 * )
NEW met4 ( 233220 1490220 ) ( * 1497700 )
NEW met3 ( 233220 1490220 ) ( 234370 * )
NEW met2 ( 234370 1083070 ) ( * 1490220 )
NEW met1 ( 582590 1083070 ) M1M2_PR
NEW met1 ( 234370 1083070 ) M1M2_PR
NEW met3 ( 233220 1490220 ) M3M4_PR
NEW met2 ( 234370 1490220 ) M2M3_PR ;
- din0_mem0\[4\] ( wb_memory_inst din_mem0[4] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[4] ) + USE SIGNAL
+ ROUTED met2 ( 600990 1049580 0 ) ( * 1082730 )
NEW met1 ( 241270 1082730 ) ( 600990 * )
NEW met4 ( 238230 1497700 ) ( * 1500530 0 )
NEW met4 ( 238230 1497700 ) ( 238740 * )
NEW met4 ( 238740 1490220 ) ( * 1497700 )
NEW met3 ( 238740 1490220 ) ( 241270 * )
NEW met2 ( 241270 1082730 ) ( * 1490220 )
NEW met1 ( 600990 1082730 ) M1M2_PR
NEW met1 ( 241270 1082730 ) M1M2_PR
NEW met3 ( 238740 1490220 ) M3M4_PR
NEW met2 ( 241270 1490220 ) M2M3_PR ;
- din0_mem0\[5\] ( wb_memory_inst din_mem0[5] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[5] ) + USE SIGNAL
+ ROUTED met2 ( 614790 1049580 0 ) ( * 1082390 )
NEW met1 ( 247710 1082390 ) ( 614790 * )
NEW met4 ( 243670 1497700 ) ( * 1500530 0 )
NEW met4 ( 243340 1497700 ) ( 243670 * )
NEW met4 ( 243340 1490220 ) ( * 1497700 )
NEW met3 ( 243340 1490220 ) ( 247710 * )
NEW met2 ( 247710 1082390 ) ( * 1490220 )
NEW met1 ( 247710 1082390 ) M1M2_PR
NEW met1 ( 614790 1082390 ) M1M2_PR
NEW met3 ( 243340 1490220 ) M3M4_PR
NEW met2 ( 247710 1490220 ) M2M3_PR ;
- din0_mem0\[6\] ( wb_memory_inst din_mem0[6] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[6] ) + USE SIGNAL
+ ROUTED met2 ( 628590 1049580 0 ) ( * 1082050 )
NEW met1 ( 254610 1082050 ) ( 628590 * )
NEW met4 ( 250470 1497700 ) ( * 1500530 0 )
NEW met4 ( 250470 1497700 ) ( 250700 * )
NEW met4 ( 250700 1489540 ) ( * 1497700 )
NEW met3 ( 250700 1489540 ) ( 254610 * )
NEW met2 ( 254610 1082050 ) ( * 1489540 )
NEW met1 ( 254610 1082050 ) M1M2_PR
NEW met1 ( 628590 1082050 ) M1M2_PR
NEW met3 ( 250700 1489540 ) M3M4_PR
NEW met2 ( 254610 1489540 ) M2M3_PR ;
- din0_mem0\[7\] ( wb_memory_inst din_mem0[7] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[7] ) + USE SIGNAL
+ ROUTED met2 ( 500250 1065050 ) ( * 1485630 )
NEW met2 ( 642390 1049580 0 ) ( * 1065050 )
NEW met1 ( 500250 1065050 ) ( 642390 * )
NEW met4 ( 256590 1497700 ) ( * 1500530 0 )
NEW met4 ( 256590 1497700 ) ( 257140 * )
NEW met4 ( 257140 1490220 ) ( * 1497700 )
NEW met3 ( 257140 1490220 ) ( 260130 * )
NEW met2 ( 260130 1485630 ) ( * 1490220 )
NEW met1 ( 260130 1485630 ) ( 500250 * )
NEW met1 ( 500250 1065050 ) M1M2_PR
NEW met1 ( 500250 1485630 ) M1M2_PR
NEW met1 ( 642390 1065050 ) M1M2_PR
NEW met3 ( 257140 1490220 ) M3M4_PR
NEW met2 ( 260130 1490220 ) M2M3_PR
NEW met1 ( 260130 1485630 ) M1M2_PR ;
- din0_mem0\[8\] ( wb_memory_inst din_mem0[8] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[8] ) + USE SIGNAL
+ ROUTED met2 ( 656190 1049580 0 ) ( * 1081710 )
NEW met1 ( 261510 1081710 ) ( 656190 * )
NEW met4 ( 262030 1497700 ) ( * 1500530 0 )
NEW met4 ( 261740 1497700 ) ( 262030 * )
NEW met4 ( 261740 1490220 ) ( * 1497700 )
NEW met3 ( 261510 1490220 ) ( 261740 * )
NEW met2 ( 261510 1081710 ) ( * 1490220 )
NEW met1 ( 261510 1081710 ) M1M2_PR
NEW met1 ( 656190 1081710 ) M1M2_PR
NEW met3 ( 261740 1490220 ) M3M4_PR
NEW met2 ( 261510 1490220 ) M2M3_PR
NEW met3 ( 261740 1490220 ) RECT ( 0 -150 390 150 ) ;
- din0_mem0\[9\] ( wb_memory_inst din_mem0[9] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 din0[9] ) + USE SIGNAL
+ ROUTED met2 ( 665390 1049580 0 ) ( * 1065390 )
NEW met2 ( 514050 1065390 ) ( * 1484950 )
NEW met1 ( 514050 1065390 ) ( 665390 * )
NEW met4 ( 267470 1497700 ) ( * 1500530 0 )
NEW met4 ( 267260 1497700 ) ( 267470 * )
NEW met4 ( 267260 1489540 ) ( * 1497700 )
NEW met3 ( 267260 1489540 ) ( 267490 * )
NEW met2 ( 267490 1484950 ) ( * 1489540 )
NEW met1 ( 267490 1484950 ) ( 514050 * )
NEW met1 ( 514050 1065390 ) M1M2_PR
NEW met1 ( 665390 1065390 ) M1M2_PR
NEW met1 ( 514050 1484950 ) M1M2_PR
NEW met3 ( 267260 1489540 ) M3M4_PR
NEW met2 ( 267490 1489540 ) M2M3_PR
NEW met1 ( 267490 1484950 ) M1M2_PR
NEW met3 ( 267260 1489540 ) RECT ( -390 -150 0 150 ) ;
- din0_mem1\[0\] ( wb_memory_inst din_mem1[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[0] ) + USE SIGNAL
+ ROUTED met2 ( 890790 1049580 0 ) ( * 1060290 )
NEW met1 ( 890790 1060290 ) ( 1014530 * )
NEW met4 ( 1015790 1497700 ) ( * 1500530 0 )
NEW met4 ( 1015220 1497700 ) ( 1015790 * )
NEW met4 ( 1015220 1490220 ) ( * 1497700 )
NEW met3 ( 1014530 1490220 ) ( 1015220 * )
NEW met2 ( 1014530 1060290 ) ( * 1490220 )
NEW met1 ( 890790 1060290 ) M1M2_PR
NEW met1 ( 1014530 1060290 ) M1M2_PR
NEW met3 ( 1015220 1490220 ) M3M4_PR
NEW met2 ( 1014530 1490220 ) M2M3_PR ;
- din0_mem1\[10\] ( wb_memory_inst din_mem1[10] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[10] ) + USE SIGNAL
+ ROUTED met4 ( 1072910 1497700 ) ( * 1500530 0 )
NEW met4 ( 1072910 1497700 ) ( 1073180 * )
NEW met4 ( 1073180 1490220 ) ( * 1497700 )
NEW met3 ( 1069730 1490220 ) ( 1073180 * )
NEW met2 ( 1069730 1060290 ) ( * 1490220 )
NEW met2 ( 1037990 1049580 0 ) ( * 1060290 )
NEW met1 ( 1037990 1060290 ) ( 1069730 * )
NEW met1 ( 1069730 1060290 ) M1M2_PR
NEW met3 ( 1073180 1490220 ) M3M4_PR
NEW met2 ( 1069730 1490220 ) M2M3_PR
NEW met1 ( 1037990 1060290 ) M1M2_PR ;
- din0_mem1\[11\] ( wb_memory_inst din_mem1[11] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[11] ) + USE SIGNAL
+ ROUTED met4 ( 1079710 1497700 ) ( * 1500530 0 )
NEW met4 ( 1079620 1497700 ) ( 1079710 * )
NEW met4 ( 1079620 1490220 ) ( * 1497700 )
NEW met3 ( 1076630 1490220 ) ( 1079620 * )
NEW met2 ( 1047190 1049580 0 ) ( * 1060630 )
NEW met1 ( 1047190 1060630 ) ( 1076630 * )
NEW met2 ( 1076630 1060630 ) ( * 1490220 )
NEW met3 ( 1079620 1490220 ) M3M4_PR
NEW met2 ( 1076630 1490220 ) M2M3_PR
NEW met1 ( 1047190 1060630 ) M1M2_PR
NEW met1 ( 1076630 1060630 ) M1M2_PR ;
- din0_mem1\[12\] ( wb_memory_inst din_mem1[12] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[12] ) + USE SIGNAL
+ ROUTED met2 ( 1056390 1049580 0 ) ( * 1056210 )
NEW met4 ( 1085150 1497700 ) ( * 1500530 0 )
NEW met4 ( 1085140 1497700 ) ( 1085150 * )
NEW met4 ( 1085140 1490220 ) ( * 1497700 )
NEW met3 ( 1083530 1490220 ) ( 1085140 * )
NEW met1 ( 1056390 1056210 ) ( 1083530 * )
NEW met2 ( 1083530 1056210 ) ( * 1490220 )
NEW met1 ( 1056390 1056210 ) M1M2_PR
NEW met3 ( 1085140 1490220 ) M3M4_PR
NEW met2 ( 1083530 1490220 ) M2M3_PR
NEW met1 ( 1083530 1056210 ) M1M2_PR ;
- din0_mem1\[13\] ( wb_memory_inst din_mem1[13] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[13] ) + USE SIGNAL
+ ROUTED met2 ( 1065590 1049580 0 ) ( * 1062330 )
NEW met4 ( 1090590 1497700 ) ( * 1500530 0 )
NEW met4 ( 1090590 1497700 ) ( 1090660 * )
NEW met4 ( 1090660 1490900 ) ( * 1497700 )
NEW met3 ( 1090660 1490220 ) ( * 1490900 )
NEW met3 ( 1090660 1490220 ) ( 1090890 * )
NEW met1 ( 1065590 1062330 ) ( 1090890 * )
NEW met2 ( 1090890 1062330 ) ( * 1490220 )
NEW met1 ( 1065590 1062330 ) M1M2_PR
NEW met3 ( 1090660 1490900 ) M3M4_PR
NEW met2 ( 1090890 1490220 ) M2M3_PR
NEW met1 ( 1090890 1062330 ) M1M2_PR ;
- din0_mem1\[14\] ( wb_memory_inst din_mem1[14] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[14] ) + USE SIGNAL
+ ROUTED met2 ( 1074790 1049580 0 ) ( * 1056550 )
NEW met4 ( 1096180 1484100 ) ( * 1497700 )
NEW met4 ( 1096180 1484100 ) ( 1097100 * )
NEW met3 ( 1097100 1484100 ) ( 1097330 * )
NEW met4 ( 1097390 1497700 ) ( * 1500530 0 )
NEW met4 ( 1096180 1497700 ) ( 1097390 * )
NEW met1 ( 1074790 1056550 ) ( 1097330 * )
NEW met2 ( 1097330 1056550 ) ( * 1484100 )
NEW met1 ( 1074790 1056550 ) M1M2_PR
NEW met3 ( 1097100 1484100 ) M3M4_PR
NEW met2 ( 1097330 1484100 ) M2M3_PR
NEW met1 ( 1097330 1056550 ) M1M2_PR
NEW met3 ( 1097330 1484100 ) RECT ( 0 -150 390 150 ) ;
- din0_mem1\[15\] ( wb_memory_inst din_mem1[15] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[15] ) + USE SIGNAL
+ ROUTED met4 ( 1103510 1497700 ) ( * 1500530 0 )
NEW met4 ( 1103510 1497700 ) ( 1103540 * )
NEW met4 ( 1103540 1490220 ) ( * 1497700 )
NEW met3 ( 1097790 1490220 ) ( 1103540 * )
NEW met2 ( 1083990 1049580 0 ) ( * 1060970 )
NEW met1 ( 1083990 1060970 ) ( 1097790 * )
NEW met2 ( 1097790 1060970 ) ( * 1490220 )
NEW met3 ( 1103540 1490220 ) M3M4_PR
NEW met2 ( 1097790 1490220 ) M2M3_PR
NEW met1 ( 1083990 1060970 ) M1M2_PR
NEW met1 ( 1097790 1060970 ) M1M2_PR ;
- din0_mem1\[16\] ( wb_memory_inst din_mem1[16] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[16] ) + USE SIGNAL
+ ROUTED met4 ( 1108950 1497700 ) ( * 1500530 0 )
NEW met4 ( 1108950 1497700 ) ( 1109060 * )
NEW met4 ( 1109060 1490900 ) ( * 1497700 )
NEW met3 ( 1109060 1489540 ) ( * 1490900 )
NEW met3 ( 1104690 1489540 ) ( 1109060 * )
NEW met2 ( 1093190 1049580 0 ) ( * 1056210 )
NEW met1 ( 1093190 1056210 ) ( 1104690 * )
NEW met2 ( 1104690 1056210 ) ( * 1489540 )
NEW met3 ( 1109060 1490900 ) M3M4_PR
NEW met2 ( 1104690 1489540 ) M2M3_PR
NEW met1 ( 1093190 1056210 ) M1M2_PR
NEW met1 ( 1104690 1056210 ) M1M2_PR ;
- din0_mem1\[17\] ( wb_memory_inst din_mem1[17] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[17] ) + USE SIGNAL
+ ROUTED met4 ( 1114390 1497700 ) ( * 1500530 0 )
NEW met4 ( 1114390 1497700 ) ( 1114580 * )
NEW met4 ( 1114580 1490220 ) ( * 1497700 )
NEW met3 ( 1112050 1490220 ) ( 1114580 * )
NEW met2 ( 1102390 1049580 0 ) ( * 1060970 )
NEW met1 ( 1102390 1060970 ) ( 1112050 * )
NEW met2 ( 1112050 1060970 ) ( * 1490220 )
NEW met3 ( 1114580 1490220 ) M3M4_PR
NEW met2 ( 1112050 1490220 ) M2M3_PR
NEW met1 ( 1102390 1060970 ) M1M2_PR
NEW met1 ( 1112050 1060970 ) M1M2_PR ;
- din0_mem1\[18\] ( wb_memory_inst din_mem1[18] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[18] ) + USE SIGNAL
+ ROUTED met4 ( 1119830 1497700 ) ( * 1500530 0 )
NEW met4 ( 1119830 1497700 ) ( 1120100 * )
NEW met4 ( 1120100 1490220 ) ( * 1497700 )
NEW met3 ( 1118030 1490220 ) ( 1120100 * )
NEW met2 ( 1111590 1049580 0 ) ( * 1061310 )
NEW met1 ( 1111590 1061310 ) ( 1118030 * )
NEW met2 ( 1118030 1061310 ) ( * 1490220 )
NEW met3 ( 1120100 1490220 ) M3M4_PR
NEW met2 ( 1118030 1490220 ) M2M3_PR
NEW met1 ( 1111590 1061310 ) M1M2_PR
NEW met1 ( 1118030 1061310 ) M1M2_PR ;
- din0_mem1\[19\] ( wb_memory_inst din_mem1[19] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[19] ) + USE SIGNAL
+ ROUTED met4 ( 1126630 1497700 ) ( * 1500530 0 )
NEW met4 ( 1126540 1497700 ) ( 1126630 * )
NEW met4 ( 1126540 1490900 ) ( * 1497700 )
NEW met3 ( 1126540 1490220 ) ( * 1490900 )
NEW met3 ( 1125850 1490220 ) ( 1126540 * )
NEW met2 ( 1125850 1057910 ) ( * 1490220 )
NEW met2 ( 1120790 1049580 0 ) ( * 1057910 )
NEW met1 ( 1120790 1057910 ) ( 1125850 * )
NEW met1 ( 1125850 1057910 ) M1M2_PR
NEW met3 ( 1126540 1490900 ) M3M4_PR
NEW met2 ( 1125850 1490220 ) M2M3_PR
NEW met1 ( 1120790 1057910 ) M1M2_PR ;
- din0_mem1\[1\] ( wb_memory_inst din_mem1[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[1] ) + USE SIGNAL
+ ROUTED met2 ( 909190 1049580 0 ) ( * 1060630 )
NEW met1 ( 909190 1060630 ) ( 1021430 * )
NEW met4 ( 1021230 1497700 ) ( * 1500530 0 )
NEW met4 ( 1021230 1497700 ) ( 1021660 * )
NEW met4 ( 1021660 1490900 ) ( * 1497700 )
NEW met3 ( 1021660 1490220 ) ( * 1490900 )
NEW met3 ( 1021430 1490220 ) ( 1021660 * )
NEW met2 ( 1021430 1060630 ) ( * 1490220 )
NEW met1 ( 909190 1060630 ) M1M2_PR
NEW met1 ( 1021430 1060630 ) M1M2_PR
NEW met3 ( 1021660 1490900 ) M3M4_PR
NEW met2 ( 1021430 1490220 ) M2M3_PR ;
- din0_mem1\[20\] ( wb_memory_inst din_mem1[20] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[20] ) + USE SIGNAL
+ ROUTED met2 ( 1129990 1049580 0 ) ( 1131370 * )
NEW met2 ( 1131370 1049580 ) ( * 1055700 )
NEW met2 ( 1131370 1055700 ) ( 1131830 * )
NEW met4 ( 1132070 1497700 ) ( * 1500530 0 )
NEW met4 ( 1132060 1497700 ) ( 1132070 * )
NEW met4 ( 1132060 1490220 ) ( * 1497700 )
NEW met3 ( 1131830 1490220 ) ( 1132060 * )
NEW met2 ( 1131830 1055700 ) ( * 1490220 )
NEW met3 ( 1132060 1490220 ) M3M4_PR
NEW met2 ( 1131830 1490220 ) M2M3_PR
NEW met3 ( 1132060 1490220 ) RECT ( 0 -150 390 150 ) ;
- din0_mem1\[21\] ( wb_memory_inst din_mem1[21] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[21] ) + USE SIGNAL
+ ROUTED met2 ( 1138730 1049580 ) ( 1139190 * 0 )
NEW met2 ( 1138730 1049580 ) ( * 1055700 )
NEW met2 ( 1138270 1055700 ) ( 1138730 * )
NEW met4 ( 1138190 1497700 ) ( * 1500530 0 )
NEW met4 ( 1138190 1497700 ) ( 1138500 * )
NEW met4 ( 1138500 1490220 ) ( * 1497700 )
NEW met3 ( 1138270 1490220 ) ( 1138500 * )
NEW met2 ( 1138270 1055700 ) ( * 1490220 )
NEW met3 ( 1138500 1490220 ) M3M4_PR
NEW met2 ( 1138270 1490220 ) M2M3_PR
NEW met3 ( 1138500 1490220 ) RECT ( 0 -150 390 150 ) ;
- din0_mem1\[22\] ( wb_memory_inst din_mem1[22] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[22] ) + USE SIGNAL
+ ROUTED met2 ( 1146090 1049580 ) ( 1148390 * 0 )
NEW met2 ( 1146090 1049580 ) ( * 1062600 )
NEW met2 ( 1145170 1062600 ) ( 1146090 * )
NEW met4 ( 1143630 1497700 ) ( * 1500530 0 )
NEW met4 ( 1143630 1497700 ) ( 1144020 * )
NEW met4 ( 1144020 1490220 ) ( * 1497700 )
NEW met3 ( 1144020 1490220 ) ( 1145170 * )
NEW met2 ( 1145170 1062600 ) ( * 1490220 )
NEW met3 ( 1144020 1490220 ) M3M4_PR
NEW met2 ( 1145170 1490220 ) M2M3_PR ;
- din0_mem1\[23\] ( wb_memory_inst din_mem1[23] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[23] ) + USE SIGNAL
+ ROUTED met2 ( 1157590 1049580 0 ) ( * 1062330 )
NEW met1 ( 1152070 1062330 ) ( 1157590 * )
NEW met4 ( 1149070 1498380 ) ( * 1500530 0 )
NEW met4 ( 1149070 1498380 ) ( 1149540 * )
NEW met4 ( 1149540 1490220 ) ( * 1498380 )
NEW met3 ( 1149540 1490220 ) ( 1152070 * )
NEW met2 ( 1152070 1062330 ) ( * 1490220 )
NEW met1 ( 1157590 1062330 ) M1M2_PR
NEW met1 ( 1152070 1062330 ) M1M2_PR
NEW met3 ( 1149540 1490220 ) M3M4_PR
NEW met2 ( 1152070 1490220 ) M2M3_PR ;
- din0_mem1\[24\] ( wb_memory_inst din_mem1[24] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[24] ) + USE SIGNAL
+ ROUTED met2 ( 1166790 1049580 0 ) ( * 1062330 )
NEW met1 ( 1158970 1062330 ) ( 1166790 * )
NEW met4 ( 1155870 1497700 ) ( * 1500530 0 )
NEW met4 ( 1155870 1497700 ) ( 1155980 * )
NEW met4 ( 1155980 1490220 ) ( * 1497700 )
NEW met3 ( 1155980 1490220 ) ( 1158970 * )
NEW met2 ( 1158970 1062330 ) ( * 1490220 )
NEW met1 ( 1166790 1062330 ) M1M2_PR
NEW met1 ( 1158970 1062330 ) M1M2_PR
NEW met3 ( 1155980 1490220 ) M3M4_PR
NEW met2 ( 1158970 1490220 ) M2M3_PR ;
- din0_mem1\[25\] ( wb_memory_inst din_mem1[25] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[25] ) + USE SIGNAL
+ ROUTED met2 ( 1175990 1049580 0 ) ( * 1056890 )
NEW met1 ( 1165870 1056890 ) ( 1175990 * )
NEW met4 ( 1161310 1497700 ) ( * 1500530 0 )
NEW met4 ( 1161310 1497700 ) ( 1161500 * )
NEW met4 ( 1161500 1490220 ) ( * 1497700 )
NEW met3 ( 1161500 1490220 ) ( 1165870 * )
NEW met2 ( 1165870 1056890 ) ( * 1490220 )
NEW met1 ( 1175990 1056890 ) M1M2_PR
NEW met1 ( 1165870 1056890 ) M1M2_PR
NEW met3 ( 1161500 1490220 ) M3M4_PR
NEW met2 ( 1165870 1490220 ) M2M3_PR ;
- din0_mem1\[26\] ( wb_memory_inst din_mem1[26] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[26] ) + USE SIGNAL
+ ROUTED met2 ( 1185190 1049580 0 ) ( * 1062330 )
NEW met1 ( 1172310 1062330 ) ( 1185190 * )
NEW met4 ( 1166750 1497700 ) ( * 1500530 0 )
NEW met4 ( 1166750 1497700 ) ( 1167020 * )
NEW met4 ( 1167020 1487500 ) ( * 1497700 )
NEW met4 ( 1167020 1487500 ) ( 1167940 * )
NEW met3 ( 1167940 1487500 ) ( 1172310 * )
NEW met2 ( 1172310 1062330 ) ( * 1487500 )
NEW met1 ( 1185190 1062330 ) M1M2_PR
NEW met1 ( 1172310 1062330 ) M1M2_PR
NEW met3 ( 1167940 1487500 ) M3M4_PR
NEW met2 ( 1172310 1487500 ) M2M3_PR ;
- din0_mem1\[27\] ( wb_memory_inst din_mem1[27] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[27] ) + USE SIGNAL
+ ROUTED met4 ( 1172190 1498380 ) ( * 1500530 0 )
NEW met4 ( 1172190 1498380 ) ( 1172540 * )
NEW met4 ( 1172540 1490220 ) ( * 1498380 )
NEW met3 ( 1172540 1490220 ) ( 1172770 * )
NEW met2 ( 1172770 1056550 ) ( * 1490220 )
NEW met2 ( 1194390 1049580 0 ) ( * 1056550 )
NEW met1 ( 1172770 1056550 ) ( 1194390 * )
NEW met1 ( 1172770 1056550 ) M1M2_PR
NEW met3 ( 1172540 1490220 ) M3M4_PR
NEW met2 ( 1172770 1490220 ) M2M3_PR
NEW met1 ( 1194390 1056550 ) M1M2_PR
NEW met3 ( 1172540 1490220 ) RECT ( -390 -150 0 150 ) ;
- din0_mem1\[28\] ( wb_memory_inst din_mem1[28] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[28] ) + USE SIGNAL
+ ROUTED met4 ( 1178990 1497700 ) ( * 1500530 0 )
NEW met4 ( 1178980 1497700 ) ( 1178990 * )
NEW met4 ( 1178980 1489540 ) ( * 1497700 )
NEW met3 ( 1178980 1489540 ) ( 1179670 * )
NEW met2 ( 1179670 1056210 ) ( * 1489540 )
NEW met2 ( 1203590 1049580 0 ) ( * 1056210 )
NEW met1 ( 1179670 1056210 ) ( 1203590 * )
NEW met1 ( 1179670 1056210 ) M1M2_PR
NEW met3 ( 1178980 1489540 ) M3M4_PR
NEW met2 ( 1179670 1489540 ) M2M3_PR
NEW met1 ( 1203590 1056210 ) M1M2_PR ;
- din0_mem1\[29\] ( wb_memory_inst din_mem1[29] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[29] ) + USE SIGNAL
+ ROUTED met4 ( 1185110 1497700 ) ( * 1500530 0 )
NEW met4 ( 1185110 1497700 ) ( 1185420 * )
NEW met4 ( 1185420 1490220 ) ( * 1497700 )
NEW met3 ( 1185420 1490220 ) ( 1186570 * )
NEW met2 ( 1186570 1057570 ) ( * 1490220 )
NEW met2 ( 1212790 1049580 0 ) ( * 1057570 )
NEW met1 ( 1186570 1057570 ) ( 1212790 * )
NEW met1 ( 1186570 1057570 ) M1M2_PR
NEW met3 ( 1185420 1490220 ) M3M4_PR
NEW met2 ( 1186570 1490220 ) M2M3_PR
NEW met1 ( 1212790 1057570 ) M1M2_PR ;
- din0_mem1\[2\] ( wb_memory_inst din_mem1[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[2] ) + USE SIGNAL
+ ROUTED met2 ( 927590 1049580 0 ) ( * 1061650 )
NEW met1 ( 927590 1061650 ) ( 1021890 * )
NEW met4 ( 1027350 1497700 ) ( * 1500530 0 )
NEW met4 ( 1027180 1497700 ) ( 1027350 * )
NEW met4 ( 1027180 1489540 ) ( * 1497700 )
NEW met3 ( 1021890 1489540 ) ( 1027180 * )
NEW met2 ( 1021890 1061650 ) ( * 1489540 )
NEW met1 ( 927590 1061650 ) M1M2_PR
NEW met1 ( 1021890 1061650 ) M1M2_PR
NEW met3 ( 1027180 1489540 ) M3M4_PR
NEW met2 ( 1021890 1489540 ) M2M3_PR ;
- din0_mem1\[30\] ( wb_memory_inst din_mem1[30] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[30] ) + USE SIGNAL
+ ROUTED met4 ( 1190550 1498380 ) ( * 1500530 0 )
NEW met4 ( 1190550 1498380 ) ( 1190940 * )
NEW met4 ( 1190940 1490220 ) ( * 1498380 )
NEW met3 ( 1190940 1490220 ) ( 1193470 * )
NEW met2 ( 1193470 1062330 ) ( * 1490220 )
NEW met1 ( 1193470 1062330 ) ( 1193700 * )
NEW met2 ( 1221990 1049580 0 ) ( * 1061990 )
NEW met1 ( 1193700 1061990 ) ( 1221990 * )
NEW met1 ( 1193700 1061990 ) ( * 1062330 )
NEW met1 ( 1193470 1062330 ) M1M2_PR
NEW met3 ( 1190940 1490220 ) M3M4_PR
NEW met2 ( 1193470 1490220 ) M2M3_PR
NEW met1 ( 1221990 1061990 ) M1M2_PR ;
- din0_mem1\[31\] ( wb_memory_inst din_mem1[31] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[31] ) + USE SIGNAL
+ ROUTED met2 ( 1231190 1049580 0 ) ( * 1062330 )
NEW met1 ( 1200370 1062330 ) ( 1231190 * )
NEW met4 ( 1195990 1497700 ) ( * 1500530 0 )
NEW met4 ( 1195990 1497700 ) ( 1196460 * )
NEW met4 ( 1196460 1489540 ) ( * 1497700 )
NEW met3 ( 1196460 1489540 ) ( 1200370 * )
NEW met2 ( 1200370 1062330 ) ( * 1489540 )
NEW met1 ( 1231190 1062330 ) M1M2_PR
NEW met1 ( 1200370 1062330 ) M1M2_PR
NEW met3 ( 1196460 1489540 ) M3M4_PR
NEW met2 ( 1200370 1489540 ) M2M3_PR ;
- din0_mem1\[3\] ( wb_memory_inst din_mem1[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[3] ) + USE SIGNAL
+ ROUTED met2 ( 945990 1049580 0 ) ( * 1058590 )
NEW met1 ( 945990 1058590 ) ( 1028330 * )
NEW met4 ( 1032790 1497700 ) ( * 1500530 0 )
NEW met4 ( 1032700 1497700 ) ( 1032790 * )
NEW met4 ( 1032700 1490220 ) ( * 1497700 )
NEW met3 ( 1028330 1490220 ) ( 1032700 * )
NEW met2 ( 1028330 1058590 ) ( * 1490220 )
NEW met1 ( 945990 1058590 ) M1M2_PR
NEW met1 ( 1028330 1058590 ) M1M2_PR
NEW met3 ( 1032700 1490220 ) M3M4_PR
NEW met2 ( 1028330 1490220 ) M2M3_PR ;
- din0_mem1\[4\] ( wb_memory_inst din_mem1[4] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[4] ) + USE SIGNAL
+ ROUTED met2 ( 964390 1049580 0 ) ( * 1057910 )
NEW met1 ( 964390 1057910 ) ( 1035690 * )
NEW met4 ( 1038230 1497700 ) ( * 1500530 0 )
NEW met4 ( 1038220 1497700 ) ( 1038230 * )
NEW met4 ( 1038220 1490220 ) ( * 1497700 )
NEW met3 ( 1035690 1490220 ) ( 1038220 * )
NEW met2 ( 1035690 1057910 ) ( * 1490220 )
NEW met1 ( 964390 1057910 ) M1M2_PR
NEW met1 ( 1035690 1057910 ) M1M2_PR
NEW met3 ( 1038220 1490220 ) M3M4_PR
NEW met2 ( 1035690 1490220 ) M2M3_PR ;
- din0_mem1\[5\] ( wb_memory_inst din_mem1[5] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[5] ) + USE SIGNAL
+ ROUTED met2 ( 978190 1049580 0 ) ( * 1057570 )
NEW met1 ( 978190 1057570 ) ( 1042130 * )
NEW met4 ( 1043670 1497700 ) ( * 1500530 0 )
NEW met4 ( 1043670 1497700 ) ( 1043740 * )
NEW met4 ( 1043740 1490220 ) ( * 1497700 )
NEW met3 ( 1042130 1490220 ) ( 1043740 * )
NEW met2 ( 1042130 1057570 ) ( * 1490220 )
NEW met1 ( 978190 1057570 ) M1M2_PR
NEW met1 ( 1042130 1057570 ) M1M2_PR
NEW met3 ( 1043740 1490220 ) M3M4_PR
NEW met2 ( 1042130 1490220 ) M2M3_PR ;
- din0_mem1\[6\] ( wb_memory_inst din_mem1[6] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[6] ) + USE SIGNAL
+ ROUTED met2 ( 991990 1049580 0 ) ( * 1061310 )
NEW met4 ( 1050470 1497700 ) ( * 1500530 0 )
NEW met4 ( 1050180 1497700 ) ( 1050470 * )
NEW met4 ( 1050180 1490220 ) ( * 1497700 )
NEW met3 ( 1049490 1490220 ) ( 1050180 * )
NEW met2 ( 1049490 1061310 ) ( * 1490220 )
NEW met1 ( 991990 1061310 ) ( 1049490 * )
NEW met1 ( 991990 1061310 ) M1M2_PR
NEW met1 ( 1049490 1061310 ) M1M2_PR
NEW met3 ( 1050180 1490220 ) M3M4_PR
NEW met2 ( 1049490 1490220 ) M2M3_PR ;
- din0_mem1\[7\] ( wb_memory_inst din_mem1[7] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[7] ) + USE SIGNAL
+ ROUTED met4 ( 1056590 1497700 ) ( * 1500530 0 )
NEW met4 ( 1056590 1497700 ) ( 1056620 * )
NEW met4 ( 1056620 1490220 ) ( * 1497700 )
NEW met3 ( 1055930 1490220 ) ( 1056620 * )
NEW met2 ( 1055930 1062330 ) ( * 1490220 )
NEW met2 ( 1005790 1049580 0 ) ( * 1062330 )
NEW met1 ( 1005790 1062330 ) ( 1055930 * )
NEW met1 ( 1055930 1062330 ) M1M2_PR
NEW met3 ( 1056620 1490220 ) M3M4_PR
NEW met2 ( 1055930 1490220 ) M2M3_PR
NEW met1 ( 1005790 1062330 ) M1M2_PR ;
- din0_mem1\[8\] ( wb_memory_inst din_mem1[8] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[8] ) + USE SIGNAL
+ ROUTED met2 ( 1055930 1056210 ) ( * 1057060 )
NEW met2 ( 1055930 1057060 ) ( 1056390 * )
NEW met4 ( 1062030 1497700 ) ( * 1500530 0 )
NEW met4 ( 1062030 1497700 ) ( 1062140 * )
NEW met4 ( 1062140 1489540 ) ( * 1497700 )
NEW met3 ( 1056390 1489540 ) ( 1062140 * )
NEW met2 ( 1056390 1057060 ) ( * 1489540 )
NEW met2 ( 1019590 1049580 0 ) ( * 1056210 )
NEW met1 ( 1019590 1056210 ) ( 1055930 * )
NEW met1 ( 1055930 1056210 ) M1M2_PR
NEW met3 ( 1062140 1489540 ) M3M4_PR
NEW met2 ( 1056390 1489540 ) M2M3_PR
NEW met1 ( 1019590 1056210 ) M1M2_PR ;
- din0_mem1\[9\] ( wb_memory_inst din_mem1[9] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 din0[9] ) + USE SIGNAL
+ ROUTED met4 ( 1067470 1497700 ) ( * 1500530 0 )
NEW met4 ( 1066740 1497700 ) ( 1067470 * )
NEW met4 ( 1066740 1490220 ) ( * 1497700 )
NEW met3 ( 1063290 1490220 ) ( 1066740 * )
NEW met2 ( 1063290 1060970 ) ( * 1490220 )
NEW met2 ( 1028790 1049580 0 ) ( * 1060630 )
NEW met1 ( 1028790 1060630 ) ( 1032010 * )
NEW met1 ( 1032010 1060630 ) ( * 1060970 )
NEW met1 ( 1032010 1060970 ) ( 1063290 * )
NEW met1 ( 1063290 1060970 ) M1M2_PR
NEW met3 ( 1066740 1490220 ) M3M4_PR
NEW met2 ( 1063290 1490220 ) M2M3_PR
NEW met1 ( 1028790 1060630 ) M1M2_PR ;
- dout0_mem0\[0\] ( wb_memory_inst dout_mem0[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[0] ) + USE SIGNAL
+ ROUTED met2 ( 531990 1049580 0 ) ( * 1058250 )
NEW met1 ( 248170 1058250 ) ( 531990 * )
NEW met4 ( 241630 1497700 ) ( * 1500530 0 )
NEW met4 ( 241500 1497700 ) ( 241630 * )
NEW met4 ( 241500 1489540 ) ( * 1497700 )
NEW met3 ( 241500 1489540 ) ( 248170 * )
NEW met2 ( 248170 1058250 ) ( * 1489540 )
NEW met1 ( 248170 1058250 ) M1M2_PR
NEW met1 ( 531990 1058250 ) M1M2_PR
NEW met3 ( 241500 1489540 ) M3M4_PR
NEW met2 ( 248170 1489540 ) M2M3_PR ;
- dout0_mem0\[10\] ( wb_memory_inst dout_mem0[10] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[10] ) + USE SIGNAL
+ ROUTED met2 ( 679190 1049580 0 ) ( * 1061310 )
NEW met1 ( 372370 1061310 ) ( 679190 * )
NEW met4 ( 368110 1497700 ) ( * 1500530 0 )
NEW met4 ( 367540 1497700 ) ( 368110 * )
NEW met4 ( 367540 1488860 ) ( * 1497700 )
NEW met3 ( 367540 1488860 ) ( 372370 * )
NEW met2 ( 372370 1061310 ) ( * 1488860 )
NEW met1 ( 679190 1061310 ) M1M2_PR
NEW met1 ( 372370 1061310 ) M1M2_PR
NEW met3 ( 367540 1488860 ) M3M4_PR
NEW met2 ( 372370 1488860 ) M2M3_PR ;
- dout0_mem0\[11\] ( wb_memory_inst dout_mem0[11] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[11] ) + USE SIGNAL
+ ROUTED met2 ( 688390 1049580 0 ) ( * 1059610 )
NEW met4 ( 380350 1497700 ) ( * 1500530 0 )
NEW met4 ( 380350 1497700 ) ( 380420 * )
NEW met4 ( 380420 1490220 ) ( * 1497700 )
NEW met3 ( 380420 1490220 ) ( 384330 * )
NEW met2 ( 384330 1487330 ) ( * 1490220 )
NEW met2 ( 569250 1059610 ) ( * 1487330 )
NEW met1 ( 569250 1059610 ) ( 688390 * )
NEW met1 ( 384330 1487330 ) ( 569250 * )
NEW met1 ( 569250 1059610 ) M1M2_PR
NEW met1 ( 688390 1059610 ) M1M2_PR
NEW met3 ( 380420 1490220 ) M3M4_PR
NEW met2 ( 384330 1490220 ) M2M3_PR
NEW met1 ( 384330 1487330 ) M1M2_PR
NEW met1 ( 569250 1487330 ) M1M2_PR ;
- dout0_mem0\[12\] ( wb_memory_inst dout_mem0[12] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[12] ) + USE SIGNAL
+ ROUTED met2 ( 697590 1049580 0 ) ( * 1060970 )
NEW met4 ( 392590 1497700 ) ( * 1500530 0 )
NEW met4 ( 392380 1497700 ) ( 392590 * )
NEW met4 ( 392380 1490220 ) ( * 1497700 )
NEW met3 ( 392380 1490220 ) ( 393070 * )
NEW met2 ( 393070 1060970 ) ( * 1490220 )
NEW met1 ( 393070 1060970 ) ( 697590 * )
NEW met1 ( 393070 1060970 ) M1M2_PR
NEW met1 ( 697590 1060970 ) M1M2_PR
NEW met3 ( 392380 1490220 ) M3M4_PR
NEW met2 ( 393070 1490220 ) M2M3_PR ;
- dout0_mem0\[13\] ( wb_memory_inst dout_mem0[13] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[13] ) + USE SIGNAL
+ ROUTED met2 ( 706790 1049580 0 ) ( * 1057230 )
NEW met4 ( 404830 1499060 ) ( * 1500530 0 )
NEW met4 ( 404830 1499060 ) ( 405260 * )
NEW met4 ( 405260 1490220 ) ( * 1499060 )
NEW met3 ( 405260 1490220 ) ( 405490 * )
NEW met2 ( 405490 1489370 ) ( * 1490220 )
NEW met1 ( 624450 1057230 ) ( 706790 * )
NEW met1 ( 405490 1489370 ) ( 624450 * )
NEW met2 ( 624450 1057230 ) ( * 1489370 )
NEW met1 ( 706790 1057230 ) M1M2_PR
NEW met3 ( 405260 1490220 ) M3M4_PR
NEW met2 ( 405490 1490220 ) M2M3_PR
NEW met1 ( 405490 1489370 ) M1M2_PR
NEW met1 ( 624450 1057230 ) M1M2_PR
NEW met1 ( 624450 1489370 ) M1M2_PR
NEW met3 ( 405260 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[14\] ( wb_memory_inst dout_mem0[14] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[14] ) + USE SIGNAL
+ ROUTED met4 ( 417750 1499060 ) ( * 1500530 0 )
NEW met4 ( 417750 1499060 ) ( 418140 * )
NEW met4 ( 418140 1490220 ) ( * 1499060 )
NEW met3 ( 418140 1490220 ) ( 420670 * )
NEW met2 ( 420670 1062330 ) ( * 1490220 )
NEW met2 ( 715990 1049580 0 ) ( * 1062330 )
NEW met1 ( 420670 1062330 ) ( 715990 * )
NEW met1 ( 420670 1062330 ) M1M2_PR
NEW met3 ( 418140 1490220 ) M3M4_PR
NEW met2 ( 420670 1490220 ) M2M3_PR
NEW met1 ( 715990 1062330 ) M1M2_PR ;
- dout0_mem0\[15\] ( wb_memory_inst dout_mem0[15] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[15] ) + USE SIGNAL
+ ROUTED met2 ( 725190 1049580 0 ) ( * 1059100 )
NEW met3 ( 652050 1059100 ) ( 725190 * )
NEW met4 ( 429990 1497700 ) ( * 1500530 0 )
NEW met4 ( 429990 1497700 ) ( 430100 * )
NEW met4 ( 430100 1490220 ) ( * 1497700 )
NEW met3 ( 430100 1490220 ) ( 434010 * )
NEW met2 ( 434010 1486310 ) ( * 1490220 )
NEW met1 ( 434010 1486310 ) ( 652050 * )
NEW met2 ( 652050 1059100 ) ( * 1486310 )
NEW met2 ( 652050 1059100 ) M2M3_PR
NEW met2 ( 725190 1059100 ) M2M3_PR
NEW met3 ( 430100 1490220 ) M3M4_PR
NEW met2 ( 434010 1490220 ) M2M3_PR
NEW met1 ( 434010 1486310 ) M1M2_PR
NEW met1 ( 652050 1486310 ) M1M2_PR ;
- dout0_mem0\[16\] ( wb_memory_inst dout_mem0[16] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[16] ) + USE SIGNAL
+ ROUTED met2 ( 734390 1049580 0 ) ( * 1078990 )
NEW met1 ( 441370 1078990 ) ( 734390 * )
NEW met4 ( 441550 1497700 ) ( * 1500530 0 )
NEW met4 ( 441140 1497700 ) ( 441550 * )
NEW met4 ( 441140 1490220 ) ( * 1497700 )
NEW met3 ( 441140 1490220 ) ( 441370 * )
NEW met2 ( 441370 1078990 ) ( * 1490220 )
NEW met1 ( 441370 1078990 ) M1M2_PR
NEW met1 ( 734390 1078990 ) M1M2_PR
NEW met3 ( 441140 1490220 ) M3M4_PR
NEW met2 ( 441370 1490220 ) M2M3_PR
NEW met3 ( 441140 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[17\] ( wb_memory_inst dout_mem0[17] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[17] ) + USE SIGNAL
+ ROUTED met2 ( 743590 1049580 0 ) ( * 1057570 )
NEW met1 ( 631350 1057570 ) ( 743590 * )
NEW met4 ( 455150 1497700 ) ( * 1500530 0 )
NEW met4 ( 454940 1497700 ) ( 455150 * )
NEW met4 ( 454940 1490220 ) ( * 1497700 )
NEW met3 ( 454940 1490220 ) ( 455170 * )
NEW met2 ( 455170 1487670 ) ( * 1490220 )
NEW met1 ( 455170 1487670 ) ( 631350 * )
NEW met2 ( 631350 1057570 ) ( * 1487670 )
NEW met1 ( 631350 1057570 ) M1M2_PR
NEW met1 ( 743590 1057570 ) M1M2_PR
NEW met3 ( 454940 1490220 ) M3M4_PR
NEW met2 ( 455170 1490220 ) M2M3_PR
NEW met1 ( 455170 1487670 ) M1M2_PR
NEW met1 ( 631350 1487670 ) M1M2_PR
NEW met3 ( 454940 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[18\] ( wb_memory_inst dout_mem0[18] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[18] ) + USE SIGNAL
+ ROUTED met2 ( 752790 1049580 0 ) ( * 1058590 )
NEW met1 ( 468970 1058590 ) ( 752790 * )
NEW met4 ( 467390 1497700 ) ( * 1500530 0 )
NEW met4 ( 467390 1497700 ) ( 467820 * )
NEW met4 ( 467820 1490220 ) ( * 1497700 )
NEW met3 ( 467820 1490220 ) ( 468970 * )
NEW met2 ( 468970 1058590 ) ( * 1490220 )
NEW met1 ( 468970 1058590 ) M1M2_PR
NEW met1 ( 752790 1058590 ) M1M2_PR
NEW met3 ( 467820 1490220 ) M3M4_PR
NEW met2 ( 468970 1490220 ) M2M3_PR ;
- dout0_mem0\[19\] ( wb_memory_inst dout_mem0[19] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[19] ) + USE SIGNAL
+ ROUTED met2 ( 761990 1049580 0 ) ( * 1065730 )
NEW met4 ( 479630 1497700 ) ( * 1500530 0 )
NEW met4 ( 479630 1497700 ) ( 479780 * )
NEW met4 ( 479780 1490220 ) ( * 1497700 )
NEW met3 ( 479780 1490220 ) ( 480010 * )
NEW met2 ( 480010 1488350 ) ( * 1490220 )
NEW met2 ( 603750 1065730 ) ( * 1488350 )
NEW met1 ( 603750 1065730 ) ( 761990 * )
NEW met1 ( 480010 1488350 ) ( 603750 * )
NEW met1 ( 603750 1065730 ) M1M2_PR
NEW met1 ( 761990 1065730 ) M1M2_PR
NEW met3 ( 479780 1490220 ) M3M4_PR
NEW met2 ( 480010 1490220 ) M2M3_PR
NEW met1 ( 480010 1488350 ) M1M2_PR
NEW met1 ( 603750 1488350 ) M1M2_PR
NEW met3 ( 479780 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[1\] ( wb_memory_inst dout_mem0[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[1] ) + USE SIGNAL
+ ROUTED met2 ( 550390 1049580 0 ) ( * 1061990 )
NEW met1 ( 255070 1061990 ) ( 550390 * )
NEW met4 ( 253870 1497700 ) ( * 1500530 0 )
NEW met4 ( 253870 1497700 ) ( 254380 * )
NEW met4 ( 254380 1490220 ) ( * 1497700 )
NEW met3 ( 254380 1490220 ) ( 255070 * )
NEW met2 ( 255070 1061990 ) ( * 1490220 )
NEW met1 ( 255070 1061990 ) M1M2_PR
NEW met1 ( 550390 1061990 ) M1M2_PR
NEW met3 ( 254380 1490220 ) M3M4_PR
NEW met2 ( 255070 1490220 ) M2M3_PR ;
- dout0_mem0\[20\] ( wb_memory_inst dout_mem0[20] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[20] ) + USE SIGNAL
+ ROUTED met2 ( 771190 1049580 0 ) ( * 1057910 )
NEW met4 ( 492550 1497700 ) ( * 1500530 0 )
NEW met4 ( 492550 1497700 ) ( 492660 * )
NEW met4 ( 492660 1490220 ) ( * 1497700 )
NEW met3 ( 492660 1490220 ) ( 496570 * )
NEW met2 ( 496570 1057910 ) ( * 1490220 )
NEW met1 ( 496570 1057910 ) ( 771190 * )
NEW met1 ( 496570 1057910 ) M1M2_PR
NEW met1 ( 771190 1057910 ) M1M2_PR
NEW met3 ( 492660 1490220 ) M3M4_PR
NEW met2 ( 496570 1490220 ) M2M3_PR ;
- dout0_mem0\[21\] ( wb_memory_inst dout_mem0[21] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[21] ) + USE SIGNAL
+ ROUTED met2 ( 780390 1049580 0 ) ( * 1058250 )
NEW met4 ( 504790 1497700 ) ( * 1500530 0 )
NEW met4 ( 504620 1497700 ) ( 504790 * )
NEW met4 ( 504620 1490220 ) ( * 1497700 )
NEW met3 ( 504620 1490220 ) ( 504850 * )
NEW met2 ( 504850 1488690 ) ( * 1490220 )
NEW met2 ( 665850 1058250 ) ( * 1488690 )
NEW met1 ( 665850 1058250 ) ( 780390 * )
NEW met1 ( 504850 1488690 ) ( 665850 * )
NEW met1 ( 665850 1058250 ) M1M2_PR
NEW met1 ( 780390 1058250 ) M1M2_PR
NEW met3 ( 504620 1490220 ) M3M4_PR
NEW met2 ( 504850 1490220 ) M2M3_PR
NEW met1 ( 504850 1488690 ) M1M2_PR
NEW met1 ( 665850 1488690 ) M1M2_PR
NEW met3 ( 504620 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[22\] ( wb_memory_inst dout_mem0[22] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[22] ) + USE SIGNAL
+ ROUTED met2 ( 789590 1049580 0 ) ( * 1058930 )
NEW met4 ( 516580 1484100 ) ( * 1497700 )
NEW met1 ( 524170 1057570 ) ( 555450 * )
NEW met2 ( 555450 1057570 ) ( * 1058930 )
NEW met1 ( 555450 1058930 ) ( 789590 * )
NEW met4 ( 516580 1484100 ) ( 517500 * )
NEW met3 ( 517500 1484100 ) ( 524170 * )
NEW met4 ( 517710 1497700 ) ( * 1500530 0 )
NEW met4 ( 516580 1497700 ) ( 517710 * )
NEW met2 ( 524170 1057570 ) ( * 1484100 )
NEW met1 ( 789590 1058930 ) M1M2_PR
NEW met1 ( 524170 1057570 ) M1M2_PR
NEW met1 ( 555450 1057570 ) M1M2_PR
NEW met1 ( 555450 1058930 ) M1M2_PR
NEW met3 ( 517500 1484100 ) M3M4_PR
NEW met2 ( 524170 1484100 ) M2M3_PR ;
- dout0_mem0\[23\] ( wb_memory_inst dout_mem0[23] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[23] ) + USE SIGNAL
+ ROUTED met2 ( 798790 1049580 0 ) ( * 1061990 )
NEW met2 ( 672750 1061990 ) ( * 1484270 )
NEW met1 ( 672750 1061990 ) ( 798790 * )
NEW met4 ( 529950 1497700 ) ( * 1500530 0 )
NEW met4 ( 529950 1497700 ) ( 530380 * )
NEW met4 ( 530380 1490220 ) ( * 1497700 )
NEW met3 ( 530380 1490220 ) ( 530610 * )
NEW met2 ( 530610 1484270 ) ( * 1490220 )
NEW met1 ( 530610 1484270 ) ( 672750 * )
NEW met1 ( 672750 1061990 ) M1M2_PR
NEW met1 ( 798790 1061990 ) M1M2_PR
NEW met1 ( 672750 1484270 ) M1M2_PR
NEW met3 ( 530380 1490220 ) M3M4_PR
NEW met2 ( 530610 1490220 ) M2M3_PR
NEW met1 ( 530610 1484270 ) M1M2_PR
NEW met3 ( 530380 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[24\] ( wb_memory_inst dout_mem0[24] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[24] ) + USE SIGNAL
+ ROUTED met2 ( 679650 1061310 ) ( * 1486990 )
NEW met2 ( 807990 1049580 0 ) ( * 1061310 )
NEW met1 ( 679650 1061310 ) ( 807990 * )
NEW met4 ( 542870 1497700 ) ( * 1500530 0 )
NEW met4 ( 542870 1497700 ) ( 543260 * )
NEW met4 ( 543260 1490220 ) ( * 1497700 )
NEW met3 ( 543260 1490220 ) ( 543490 * )
NEW met2 ( 543490 1486990 ) ( * 1490220 )
NEW met1 ( 543490 1486990 ) ( 679650 * )
NEW met1 ( 679650 1061310 ) M1M2_PR
NEW met1 ( 679650 1486990 ) M1M2_PR
NEW met1 ( 807990 1061310 ) M1M2_PR
NEW met3 ( 543260 1490220 ) M3M4_PR
NEW met2 ( 543490 1490220 ) M2M3_PR
NEW met1 ( 543490 1486990 ) M1M2_PR
NEW met3 ( 543260 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[25\] ( wb_memory_inst dout_mem0[25] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[25] ) + USE SIGNAL
+ ROUTED met2 ( 686550 1061650 ) ( * 1483590 )
NEW met2 ( 817190 1049580 0 ) ( * 1061650 )
NEW met1 ( 686550 1061650 ) ( 817190 * )
NEW met4 ( 555110 1497700 ) ( * 1500530 0 )
NEW met4 ( 555110 1497700 ) ( 555220 * )
NEW met4 ( 555220 1490220 ) ( * 1497700 )
NEW met3 ( 555220 1490220 ) ( 555450 * )
NEW met2 ( 555450 1483590 ) ( * 1490220 )
NEW met1 ( 555450 1483590 ) ( 686550 * )
NEW met1 ( 686550 1061650 ) M1M2_PR
NEW met1 ( 686550 1483590 ) M1M2_PR
NEW met1 ( 817190 1061650 ) M1M2_PR
NEW met3 ( 555220 1490220 ) M3M4_PR
NEW met2 ( 555450 1490220 ) M2M3_PR
NEW met1 ( 555450 1483590 ) M1M2_PR
NEW met3 ( 555220 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[26\] ( wb_memory_inst dout_mem0[26] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[26] ) + USE SIGNAL
+ ROUTED met4 ( 567350 1497700 ) ( * 1500530 0 )
NEW met4 ( 567180 1497700 ) ( 567350 * )
NEW met4 ( 567180 1490220 ) ( * 1497700 )
NEW met3 ( 567180 1490220 ) ( 572470 * )
NEW met2 ( 572470 1059270 ) ( * 1490220 )
NEW met2 ( 826390 1049580 0 ) ( * 1059270 )
NEW met1 ( 572470 1059270 ) ( 826390 * )
NEW met1 ( 572470 1059270 ) M1M2_PR
NEW met3 ( 567180 1490220 ) M3M4_PR
NEW met2 ( 572470 1490220 ) M2M3_PR
NEW met1 ( 826390 1059270 ) M1M2_PR ;
- dout0_mem0\[27\] ( wb_memory_inst dout_mem0[27] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[27] ) + USE SIGNAL
+ ROUTED met4 ( 579590 1497700 ) ( * 1500530 0 )
NEW met4 ( 579140 1497700 ) ( 579590 * )
NEW met4 ( 579140 1490220 ) ( * 1497700 )
NEW met3 ( 579140 1490220 ) ( 579370 * )
NEW met2 ( 579370 1484610 ) ( * 1490220 )
NEW met2 ( 835590 1049580 0 ) ( * 1060290 )
NEW met1 ( 693450 1060290 ) ( 835590 * )
NEW met1 ( 579370 1484610 ) ( 693450 * )
NEW met2 ( 693450 1060290 ) ( * 1484610 )
NEW met1 ( 693450 1060290 ) M1M2_PR
NEW met3 ( 579140 1490220 ) M3M4_PR
NEW met2 ( 579370 1490220 ) M2M3_PR
NEW met1 ( 579370 1484610 ) M1M2_PR
NEW met1 ( 835590 1060290 ) M1M2_PR
NEW met1 ( 693450 1484610 ) M1M2_PR
NEW met3 ( 579140 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[28\] ( wb_memory_inst dout_mem0[28] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[28] ) + USE SIGNAL
+ ROUTED met4 ( 592510 1497700 ) ( * 1500530 0 )
NEW met4 ( 592510 1497700 ) ( 592940 * )
NEW met4 ( 592940 1490220 ) ( * 1497700 )
NEW met3 ( 592940 1490220 ) ( 593170 * )
NEW met2 ( 593170 1485290 ) ( * 1490220 )
NEW met2 ( 844790 1049580 0 ) ( * 1060630 )
NEW met1 ( 700810 1060630 ) ( 844790 * )
NEW met1 ( 593170 1485290 ) ( 700810 * )
NEW met2 ( 700810 1060630 ) ( * 1485290 )
NEW met1 ( 700810 1060630 ) M1M2_PR
NEW met3 ( 592940 1490220 ) M3M4_PR
NEW met2 ( 593170 1490220 ) M2M3_PR
NEW met1 ( 593170 1485290 ) M1M2_PR
NEW met1 ( 844790 1060630 ) M1M2_PR
NEW met1 ( 700810 1485290 ) M1M2_PR
NEW met3 ( 592940 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[29\] ( wb_memory_inst dout_mem0[29] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[29] ) + USE SIGNAL
+ ROUTED met4 ( 604750 1497700 ) ( * 1500530 0 )
NEW met4 ( 604750 1497700 ) ( 604900 * )
NEW met4 ( 604900 1490900 ) ( * 1497700 )
NEW met3 ( 604900 1490220 ) ( * 1490900 )
NEW met3 ( 604900 1490220 ) ( 605130 * )
NEW met2 ( 605130 1485630 ) ( * 1490220 )
NEW met2 ( 853990 1049580 0 ) ( * 1060970 )
NEW met1 ( 714150 1060970 ) ( 853990 * )
NEW met1 ( 605130 1485630 ) ( 714150 * )
NEW met2 ( 714150 1060970 ) ( * 1485630 )
NEW met3 ( 604900 1490900 ) M3M4_PR
NEW met2 ( 605130 1490220 ) M2M3_PR
NEW met1 ( 605130 1485630 ) M1M2_PR
NEW met1 ( 714150 1060970 ) M1M2_PR
NEW met1 ( 853990 1060970 ) M1M2_PR
NEW met1 ( 714150 1485630 ) M1M2_PR ;
- dout0_mem0\[2\] ( wb_memory_inst dout_mem0[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[2] ) + USE SIGNAL
+ ROUTED met2 ( 568790 1049580 0 ) ( * 1059270 )
NEW met2 ( 532910 1059270 ) ( * 1061650 )
NEW met1 ( 268410 1061650 ) ( 532910 * )
NEW met1 ( 532910 1059270 ) ( 568790 * )
NEW met4 ( 268150 1497700 ) ( * 1500530 0 )
NEW met4 ( 268150 1497700 ) ( 268180 * )
NEW met4 ( 268180 1490220 ) ( * 1497700 )
NEW met3 ( 268180 1490220 ) ( 268410 * )
NEW met2 ( 268410 1061650 ) ( * 1490220 )
NEW met1 ( 568790 1059270 ) M1M2_PR
NEW met1 ( 268410 1061650 ) M1M2_PR
NEW met1 ( 532910 1061650 ) M1M2_PR
NEW met1 ( 532910 1059270 ) M1M2_PR
NEW met3 ( 268180 1490220 ) M3M4_PR
NEW met2 ( 268410 1490220 ) M2M3_PR
NEW met3 ( 268180 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[30\] ( wb_memory_inst dout_mem0[30] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[30] ) + USE SIGNAL
+ ROUTED met2 ( 863190 1049580 0 ) ( * 1059610 )
NEW met1 ( 700350 1059610 ) ( 863190 * )
NEW met4 ( 616990 1497700 ) ( * 1500530 0 )
NEW met4 ( 616860 1497700 ) ( 616990 * )
NEW met4 ( 616860 1490220 ) ( * 1497700 )
NEW met3 ( 616860 1490220 ) ( 617090 * )
NEW met2 ( 617090 1486650 ) ( * 1490220 )
NEW met1 ( 617090 1486650 ) ( 700350 * )
NEW met2 ( 700350 1059610 ) ( * 1486650 )
NEW met1 ( 700350 1059610 ) M1M2_PR
NEW met1 ( 863190 1059610 ) M1M2_PR
NEW met3 ( 616860 1490220 ) M3M4_PR
NEW met2 ( 617090 1490220 ) M2M3_PR
NEW met1 ( 617090 1486650 ) M1M2_PR
NEW met1 ( 700350 1486650 ) M1M2_PR
NEW met3 ( 616860 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[31\] ( wb_memory_inst dout_mem0[31] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[31] ) + USE SIGNAL
+ ROUTED met2 ( 872390 1049580 0 ) ( * 1059950 )
NEW met1 ( 721050 1059950 ) ( 872390 * )
NEW met4 ( 629910 1497700 ) ( * 1500530 0 )
NEW met4 ( 629740 1497700 ) ( 629910 * )
NEW met4 ( 629740 1490220 ) ( * 1497700 )
NEW met3 ( 629740 1490220 ) ( 629970 * )
NEW met2 ( 629970 1485970 ) ( * 1490220 )
NEW met1 ( 629970 1485970 ) ( 721050 * )
NEW met2 ( 721050 1059950 ) ( * 1485970 )
NEW met1 ( 872390 1059950 ) M1M2_PR
NEW met1 ( 721050 1059950 ) M1M2_PR
NEW met3 ( 629740 1490220 ) M3M4_PR
NEW met2 ( 629970 1490220 ) M2M3_PR
NEW met1 ( 629970 1485970 ) M1M2_PR
NEW met1 ( 721050 1485970 ) M1M2_PR
NEW met3 ( 629740 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[3\] ( wb_memory_inst dout_mem0[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[3] ) + USE SIGNAL
+ ROUTED met2 ( 587190 1049580 0 ) ( * 1060630 )
NEW met4 ( 280390 1497300 ) ( * 1500530 0 )
NEW met4 ( 280390 1497300 ) ( 281060 * )
NEW met4 ( 281060 1490220 ) ( * 1497300 )
NEW met3 ( 281060 1490220 ) ( 282670 * )
NEW met2 ( 282670 1060630 ) ( * 1490220 )
NEW met1 ( 282670 1060630 ) ( 587190 * )
NEW met1 ( 282670 1060630 ) M1M2_PR
NEW met1 ( 587190 1060630 ) M1M2_PR
NEW met3 ( 281060 1490220 ) M3M4_PR
NEW met2 ( 282670 1490220 ) M2M3_PR ;
- dout0_mem0\[4\] ( wb_memory_inst dout_mem0[4] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[4] ) + USE SIGNAL
+ ROUTED met2 ( 605590 1049580 0 ) ( * 1060290 )
NEW met4 ( 292630 1497700 ) ( * 1500530 0 )
NEW met4 ( 292630 1497700 ) ( 293020 * )
NEW met4 ( 293020 1490220 ) ( * 1497700 )
NEW met3 ( 293020 1490220 ) ( 296470 * )
NEW met2 ( 296470 1060290 ) ( * 1490220 )
NEW met1 ( 296470 1060290 ) ( 605590 * )
NEW met1 ( 296470 1060290 ) M1M2_PR
NEW met1 ( 605590 1060290 ) M1M2_PR
NEW met3 ( 293020 1490220 ) M3M4_PR
NEW met2 ( 296470 1490220 ) M2M3_PR ;
- dout0_mem0\[5\] ( wb_memory_inst dout_mem0[5] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[5] ) + USE SIGNAL
+ ROUTED met4 ( 305550 1497700 ) ( * 1500530 0 )
NEW met4 ( 305550 1497700 ) ( 305900 * )
NEW met4 ( 305900 1490220 ) ( * 1497700 )
NEW met3 ( 305900 1490220 ) ( 307510 * )
NEW met2 ( 307510 1485290 ) ( * 1490220 )
NEW met1 ( 548550 1061650 ) ( 565800 * )
NEW met1 ( 565800 1061650 ) ( * 1061990 )
NEW met2 ( 619390 1049580 0 ) ( * 1061990 )
NEW met1 ( 565800 1061990 ) ( 619390 * )
NEW met1 ( 307510 1485290 ) ( 548550 * )
NEW met2 ( 548550 1061650 ) ( * 1485290 )
NEW met3 ( 305900 1490220 ) M3M4_PR
NEW met2 ( 307510 1490220 ) M2M3_PR
NEW met1 ( 307510 1485290 ) M1M2_PR
NEW met1 ( 548550 1061650 ) M1M2_PR
NEW met1 ( 619390 1061990 ) M1M2_PR
NEW met1 ( 548550 1485290 ) M1M2_PR ;
- dout0_mem0\[6\] ( wb_memory_inst dout_mem0[6] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[6] ) + USE SIGNAL
+ ROUTED met4 ( 317790 1497700 ) ( * 1500530 0 )
NEW met4 ( 317790 1497700 ) ( 317860 * )
NEW met4 ( 317860 1490220 ) ( * 1497700 )
NEW met3 ( 317860 1490220 ) ( 319010 * )
NEW met2 ( 319010 1486990 ) ( * 1490220 )
NEW met2 ( 633190 1049580 0 ) ( * 1058250 )
NEW met1 ( 534750 1058250 ) ( 633190 * )
NEW met1 ( 319010 1486990 ) ( 534750 * )
NEW met2 ( 534750 1058250 ) ( * 1486990 )
NEW met3 ( 317860 1490220 ) M3M4_PR
NEW met2 ( 319010 1490220 ) M2M3_PR
NEW met1 ( 319010 1486990 ) M1M2_PR
NEW met1 ( 534750 1058250 ) M1M2_PR
NEW met1 ( 633190 1058250 ) M1M2_PR
NEW met1 ( 534750 1486990 ) M1M2_PR ;
- dout0_mem0\[7\] ( wb_memory_inst dout_mem0[7] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[7] ) + USE SIGNAL
+ ROUTED met2 ( 583050 1061650 ) ( * 1489030 )
NEW met2 ( 646990 1049580 0 ) ( * 1061650 )
NEW met1 ( 583050 1061650 ) ( 646990 * )
NEW met4 ( 330030 1497700 ) ( * 1500530 0 )
NEW met4 ( 329820 1497700 ) ( 330030 * )
NEW met4 ( 329820 1490220 ) ( * 1497700 )
NEW met3 ( 329820 1490220 ) ( 330050 * )
NEW met2 ( 330050 1489030 ) ( * 1490220 )
NEW met1 ( 330050 1489030 ) ( 583050 * )
NEW met1 ( 583050 1061650 ) M1M2_PR
NEW met1 ( 583050 1489030 ) M1M2_PR
NEW met1 ( 646990 1061650 ) M1M2_PR
NEW met3 ( 329820 1490220 ) M3M4_PR
NEW met2 ( 330050 1490220 ) M2M3_PR
NEW met1 ( 330050 1489030 ) M1M2_PR
NEW met3 ( 329820 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem0\[8\] ( wb_memory_inst dout_mem0[8] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[8] ) + USE SIGNAL
+ ROUTED met2 ( 660790 1049580 0 ) ( * 1059950 )
NEW met1 ( 344770 1059950 ) ( 660790 * )
NEW met4 ( 341590 1497700 ) ( * 1500530 0 )
NEW met4 ( 341590 1497700 ) ( 341780 * )
NEW met4 ( 341780 1489540 ) ( * 1497700 )
NEW met3 ( 341780 1489540 ) ( 344770 * )
NEW met2 ( 344770 1059950 ) ( * 1489540 )
NEW met1 ( 344770 1059950 ) M1M2_PR
NEW met1 ( 660790 1059950 ) M1M2_PR
NEW met3 ( 341780 1489540 ) M3M4_PR
NEW met2 ( 344770 1489540 ) M2M3_PR ;
- dout0_mem0\[9\] ( wb_memory_inst dout_mem0[9] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout0[9] ) + USE SIGNAL
+ ROUTED met2 ( 669990 1049580 0 ) ( * 1060630 )
NEW met2 ( 589950 1060630 ) ( * 1485970 )
NEW met1 ( 589950 1060630 ) ( 669990 * )
NEW met4 ( 355190 1498380 ) ( * 1500530 0 )
NEW met3 ( 355190 1498380 ) ( 355350 * )
NEW met2 ( 355350 1485970 ) ( * 1498380 )
NEW met1 ( 355350 1485970 ) ( 589950 * )
NEW met1 ( 589950 1060630 ) M1M2_PR
NEW met1 ( 669990 1060630 ) M1M2_PR
NEW met1 ( 589950 1485970 ) M1M2_PR
NEW met3 ( 355190 1498380 ) M3M4_PR
NEW met2 ( 355350 1498380 ) M2M3_PR
NEW met1 ( 355350 1485970 ) M1M2_PR
NEW met3 ( 355190 1498380 ) RECT ( -460 -150 0 150 ) ;
- dout0_mem1\[0\] ( wb_memory_inst dout_mem1[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[0] ) + USE SIGNAL
+ ROUTED met2 ( 895390 1049580 0 ) ( * 1058930 )
NEW met1 ( 895390 1058930 ) ( 1035230 * )
NEW met4 ( 1041630 1497700 ) ( * 1500530 0 )
NEW met4 ( 1040980 1497700 ) ( 1041630 * )
NEW met4 ( 1040980 1490900 ) ( * 1497700 )
NEW met3 ( 1040980 1489540 ) ( * 1490900 )
NEW met3 ( 1035230 1489540 ) ( 1040980 * )
NEW met2 ( 1035230 1058930 ) ( * 1489540 )
NEW met1 ( 895390 1058930 ) M1M2_PR
NEW met1 ( 1035230 1058930 ) M1M2_PR
NEW met3 ( 1040980 1490900 ) M3M4_PR
NEW met2 ( 1035230 1489540 ) M2M3_PR ;
- dout0_mem1\[10\] ( wb_memory_inst dout_mem1[10] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[10] ) + USE SIGNAL
+ ROUTED met2 ( 1166330 1058930 ) ( * 1097100 )
NEW met2 ( 1166330 1097100 ) ( 1166790 * )
NEW met4 ( 1168110 1497700 ) ( * 1500530 0 )
NEW met4 ( 1167940 1497700 ) ( 1168110 * )
NEW met4 ( 1167940 1490220 ) ( * 1497700 )
NEW met3 ( 1166790 1490220 ) ( 1167940 * )
NEW met2 ( 1166790 1097100 ) ( * 1490220 )
NEW met2 ( 1042590 1049580 0 ) ( * 1058930 )
NEW met1 ( 1042590 1058930 ) ( 1166330 * )
NEW met1 ( 1166330 1058930 ) M1M2_PR
NEW met3 ( 1167940 1490220 ) M3M4_PR
NEW met2 ( 1166790 1490220 ) M2M3_PR
NEW met1 ( 1042590 1058930 ) M1M2_PR ;
- dout0_mem1\[11\] ( wb_memory_inst dout_mem1[11] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[11] ) + USE SIGNAL
+ ROUTED met2 ( 1051790 1049580 0 ) ( * 1061310 )
NEW met4 ( 1180350 1498380 ) ( * 1500530 0 )
NEW met4 ( 1179900 1498380 ) ( 1180350 * )
NEW met4 ( 1179900 1490220 ) ( * 1498380 )
NEW met3 ( 1179900 1490220 ) ( 1180130 * )
NEW met2 ( 1180130 1061990 ) ( * 1490220 )
NEW met1 ( 1101010 1061310 ) ( * 1061990 )
NEW met1 ( 1051790 1061310 ) ( 1101010 * )
NEW met1 ( 1101010 1061990 ) ( 1180130 * )
NEW met1 ( 1051790 1061310 ) M1M2_PR
NEW met1 ( 1180130 1061990 ) M1M2_PR
NEW met3 ( 1179900 1490220 ) M3M4_PR
NEW met2 ( 1180130 1490220 ) M2M3_PR
NEW met3 ( 1179900 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem1\[12\] ( wb_memory_inst dout_mem1[12] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[12] ) + USE SIGNAL
+ ROUTED met2 ( 1060990 1049580 0 ) ( * 1061990 )
NEW met2 ( 1151610 1057910 ) ( * 1062330 )
NEW met1 ( 1151610 1057910 ) ( 1187490 * )
NEW met4 ( 1192590 1497700 ) ( * 1500530 0 )
NEW met4 ( 1192590 1497700 ) ( 1192780 * )
NEW met4 ( 1192780 1489540 ) ( * 1497700 )
NEW met3 ( 1187490 1489540 ) ( 1192780 * )
NEW met2 ( 1187490 1057910 ) ( * 1489540 )
NEW met1 ( 1100550 1061990 ) ( * 1062330 )
NEW met1 ( 1060990 1061990 ) ( 1100550 * )
NEW met1 ( 1100550 1062330 ) ( 1151610 * )
NEW met1 ( 1060990 1061990 ) M1M2_PR
NEW met1 ( 1151610 1062330 ) M1M2_PR
NEW met1 ( 1151610 1057910 ) M1M2_PR
NEW met1 ( 1187490 1057910 ) M1M2_PR
NEW met3 ( 1192780 1489540 ) M3M4_PR
NEW met2 ( 1187490 1489540 ) M2M3_PR ;
- dout0_mem1\[13\] ( wb_memory_inst dout_mem1[13] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[13] ) + USE SIGNAL
+ ROUTED met2 ( 1070190 1049580 0 ) ( * 1058590 )
NEW met4 ( 1204830 1497700 ) ( * 1500530 0 )
NEW met4 ( 1204740 1497700 ) ( 1204830 * )
NEW met4 ( 1204740 1490220 ) ( * 1497700 )
NEW met3 ( 1200830 1490220 ) ( 1204740 * )
NEW met2 ( 1200830 1058590 ) ( * 1490220 )
NEW met1 ( 1070190 1058590 ) ( 1200830 * )
NEW met1 ( 1070190 1058590 ) M1M2_PR
NEW met1 ( 1200830 1058590 ) M1M2_PR
NEW met3 ( 1204740 1490220 ) M3M4_PR
NEW met2 ( 1200830 1490220 ) M2M3_PR ;
- dout0_mem1\[14\] ( wb_memory_inst dout_mem1[14] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[14] ) + USE SIGNAL
+ ROUTED met4 ( 1217750 1497700 ) ( * 1500530 0 )
NEW met4 ( 1217620 1497700 ) ( 1217750 * )
NEW met4 ( 1217620 1490220 ) ( * 1497700 )
NEW met3 ( 1215090 1490220 ) ( 1217620 * )
NEW met2 ( 1215090 1061650 ) ( * 1490220 )
NEW met2 ( 1079390 1049580 0 ) ( * 1059610 )
NEW met1 ( 1079390 1059610 ) ( 1118950 * )
NEW met2 ( 1118950 1059610 ) ( * 1061650 )
NEW met1 ( 1118950 1061650 ) ( 1215090 * )
NEW met1 ( 1215090 1061650 ) M1M2_PR
NEW met3 ( 1217620 1490220 ) M3M4_PR
NEW met2 ( 1215090 1490220 ) M2M3_PR
NEW met1 ( 1079390 1059610 ) M1M2_PR
NEW met1 ( 1118950 1059610 ) M1M2_PR
NEW met1 ( 1118950 1061650 ) M1M2_PR ;
- dout0_mem1\[15\] ( wb_memory_inst dout_mem1[15] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[15] ) + USE SIGNAL
+ ROUTED met4 ( 1229990 1497700 ) ( * 1500530 0 )
NEW met4 ( 1229580 1497700 ) ( 1229990 * )
NEW met4 ( 1229580 1490220 ) ( * 1497700 )
NEW met3 ( 1228430 1490220 ) ( 1229580 * )
NEW met2 ( 1228430 1060970 ) ( * 1490220 )
NEW met2 ( 1088590 1049580 0 ) ( * 1060630 )
NEW met1 ( 1088590 1060630 ) ( 1112510 * )
NEW met1 ( 1112510 1060630 ) ( * 1060970 )
NEW met1 ( 1112510 1060970 ) ( 1228430 * )
NEW met1 ( 1228430 1060970 ) M1M2_PR
NEW met3 ( 1229580 1490220 ) M3M4_PR
NEW met2 ( 1228430 1490220 ) M2M3_PR
NEW met1 ( 1088590 1060630 ) M1M2_PR ;
- dout0_mem1\[16\] ( wb_memory_inst dout_mem1[16] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[16] ) + USE SIGNAL
+ ROUTED met4 ( 1241550 1497700 ) ( * 1500530 0 )
NEW met4 ( 1241540 1497700 ) ( 1241550 * )
NEW met4 ( 1241540 1490220 ) ( * 1497700 )
NEW met3 ( 1235790 1490220 ) ( 1241540 * )
NEW met2 ( 1235790 1061310 ) ( * 1490220 )
NEW met2 ( 1097790 1049580 0 ) ( 1099170 * )
NEW met2 ( 1099170 1049580 ) ( * 1060970 )
NEW met1 ( 1099170 1060970 ) ( 1101470 * )
NEW met1 ( 1101470 1060970 ) ( * 1061650 )
NEW met1 ( 1101470 1061650 ) ( 1118490 * )
NEW met1 ( 1118490 1061310 ) ( * 1061650 )
NEW met1 ( 1118490 1061310 ) ( 1235790 * )
NEW met1 ( 1235790 1061310 ) M1M2_PR
NEW met3 ( 1241540 1490220 ) M3M4_PR
NEW met2 ( 1235790 1490220 ) M2M3_PR
NEW met1 ( 1099170 1060970 ) M1M2_PR ;
- dout0_mem1\[17\] ( wb_memory_inst dout_mem1[17] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[17] ) + USE SIGNAL
+ ROUTED met4 ( 1255150 1497700 ) ( * 1500530 0 )
NEW met4 ( 1255150 1497700 ) ( 1255340 * )
NEW met4 ( 1255340 1490220 ) ( * 1497700 )
NEW met3 ( 1249130 1490220 ) ( 1255340 * )
NEW met2 ( 1249130 1060630 ) ( * 1490220 )
NEW met1 ( 1124700 1060630 ) ( 1249130 * )
NEW met2 ( 1106990 1049580 0 ) ( * 1059950 )
NEW met1 ( 1106990 1059950 ) ( 1112970 * )
NEW met1 ( 1112970 1059950 ) ( * 1060290 )
NEW met1 ( 1112970 1060290 ) ( 1124700 * )
NEW met1 ( 1124700 1060290 ) ( * 1060630 )
NEW met1 ( 1249130 1060630 ) M1M2_PR
NEW met3 ( 1255340 1490220 ) M3M4_PR
NEW met2 ( 1249130 1490220 ) M2M3_PR
NEW met1 ( 1106990 1059950 ) M1M2_PR ;
- dout0_mem1\[18\] ( wb_memory_inst dout_mem1[18] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[18] ) + USE SIGNAL
+ ROUTED met4 ( 1267390 1497700 ) ( * 1500530 0 )
NEW met4 ( 1267300 1497700 ) ( 1267390 * )
NEW met4 ( 1267300 1490220 ) ( * 1497700 )
NEW met3 ( 1262930 1490220 ) ( 1267300 * )
NEW met2 ( 1262930 1059950 ) ( * 1490220 )
NEW met2 ( 1116190 1049580 0 ) ( * 1059950 )
NEW met1 ( 1116190 1059950 ) ( 1262930 * )
NEW met1 ( 1262930 1059950 ) M1M2_PR
NEW met3 ( 1267300 1490220 ) M3M4_PR
NEW met2 ( 1262930 1490220 ) M2M3_PR
NEW met1 ( 1116190 1059950 ) M1M2_PR ;
- dout0_mem1\[19\] ( wb_memory_inst dout_mem1[19] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[19] ) + USE SIGNAL
+ ROUTED met4 ( 1279630 1497700 ) ( * 1500530 0 )
NEW met4 ( 1279260 1497700 ) ( 1279630 * )
NEW met4 ( 1279260 1490220 ) ( * 1497700 )
NEW met3 ( 1276730 1490220 ) ( 1279260 * )
NEW met2 ( 1276730 1060290 ) ( * 1490220 )
NEW met2 ( 1125390 1049580 0 ) ( * 1060290 )
NEW met1 ( 1125390 1060290 ) ( 1276730 * )
NEW met1 ( 1276730 1060290 ) M1M2_PR
NEW met3 ( 1279260 1490220 ) M3M4_PR
NEW met2 ( 1276730 1490220 ) M2M3_PR
NEW met1 ( 1125390 1060290 ) M1M2_PR ;
- dout0_mem1\[1\] ( wb_memory_inst dout_mem1[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[1] ) + USE SIGNAL
+ ROUTED met4 ( 1053870 1497700 ) ( * 1500530 0 )
NEW met4 ( 1053860 1497700 ) ( 1053870 * )
NEW met4 ( 1053860 1490220 ) ( * 1497700 )
NEW met3 ( 1051790 1490220 ) ( 1053860 * )
NEW met2 ( 1051790 1485630 ) ( * 1490220 )
NEW met2 ( 911490 1049580 ) ( 913790 * 0 )
NEW met2 ( 911030 1145400 ) ( 911490 * )
NEW met2 ( 911490 1049580 ) ( * 1145400 )
NEW met2 ( 911030 1145400 ) ( * 1485630 )
NEW met1 ( 911030 1485630 ) ( 1051790 * )
NEW met3 ( 1053860 1490220 ) M3M4_PR
NEW met2 ( 1051790 1490220 ) M2M3_PR
NEW met1 ( 1051790 1485630 ) M1M2_PR
NEW met1 ( 911030 1485630 ) M1M2_PR ;
- dout0_mem1\[20\] ( wb_memory_inst dout_mem1[20] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[20] ) + USE SIGNAL
+ ROUTED met2 ( 1134590 1049580 0 ) ( * 1059610 )
NEW met1 ( 1134590 1059610 ) ( 1290530 * )
NEW met4 ( 1292550 1497700 ) ( * 1500530 0 )
NEW met4 ( 1292140 1497700 ) ( 1292550 * )
NEW met4 ( 1292140 1490220 ) ( * 1497700 )
NEW met3 ( 1290530 1490220 ) ( 1292140 * )
NEW met2 ( 1290530 1059610 ) ( * 1490220 )
NEW met1 ( 1134590 1059610 ) M1M2_PR
NEW met1 ( 1290530 1059610 ) M1M2_PR
NEW met3 ( 1292140 1490220 ) M3M4_PR
NEW met2 ( 1290530 1490220 ) M2M3_PR ;
- dout0_mem1\[21\] ( wb_memory_inst dout_mem1[21] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[21] ) + USE SIGNAL
+ ROUTED met2 ( 1143790 1049580 0 ) ( * 1059270 )
NEW met1 ( 1143790 1059270 ) ( 1304330 * )
NEW met4 ( 1304790 1497700 ) ( * 1500530 0 )
NEW met4 ( 1304790 1497700 ) ( 1305020 * )
NEW met4 ( 1305020 1490220 ) ( * 1497700 )
NEW met3 ( 1304330 1490220 ) ( 1305020 * )
NEW met2 ( 1304330 1059270 ) ( * 1490220 )
NEW met1 ( 1143790 1059270 ) M1M2_PR
NEW met1 ( 1304330 1059270 ) M1M2_PR
NEW met3 ( 1305020 1490220 ) M3M4_PR
NEW met2 ( 1304330 1490220 ) M2M3_PR ;
- dout0_mem1\[22\] ( wb_memory_inst dout_mem1[22] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[22] ) + USE SIGNAL
+ ROUTED met2 ( 1152990 1049580 0 ) ( 1153450 * )
NEW met2 ( 1153450 1049580 ) ( * 1485970 )
NEW met4 ( 1317710 1497700 ) ( * 1500530 0 )
NEW met4 ( 1317710 1497700 ) ( 1317900 * )
NEW met4 ( 1317900 1490220 ) ( * 1497700 )
NEW met3 ( 1317900 1490220 ) ( 1318130 * )
NEW met2 ( 1318130 1485970 ) ( * 1490220 )
NEW met1 ( 1153450 1485970 ) ( 1318130 * )
NEW met1 ( 1153450 1485970 ) M1M2_PR
NEW met3 ( 1317900 1490220 ) M3M4_PR
NEW met2 ( 1318130 1490220 ) M2M3_PR
NEW met1 ( 1318130 1485970 ) M1M2_PR
NEW met3 ( 1317900 1490220 ) RECT ( -390 -150 0 150 ) ;
- dout0_mem1\[23\] ( wb_memory_inst dout_mem1[23] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[23] ) + USE SIGNAL
+ ROUTED met2 ( 1159890 1049580 ) ( 1162190 * 0 )
NEW met2 ( 1159430 1145400 ) ( 1159890 * )
NEW met2 ( 1159890 1049580 ) ( * 1145400 )
NEW met2 ( 1159430 1145400 ) ( * 1485630 )
NEW met4 ( 1329950 1497700 ) ( * 1500530 0 )
NEW met4 ( 1329860 1497700 ) ( 1329950 * )
NEW met4 ( 1329860 1490220 ) ( * 1497700 )
NEW met3 ( 1325030 1490220 ) ( 1329860 * )
NEW met2 ( 1325030 1485630 ) ( * 1490220 )
NEW met1 ( 1159430 1485630 ) ( 1325030 * )
NEW met1 ( 1159430 1485630 ) M1M2_PR
NEW met3 ( 1329860 1490220 ) M3M4_PR
NEW met2 ( 1325030 1490220 ) M2M3_PR
NEW met1 ( 1325030 1485630 ) M1M2_PR ;
- dout0_mem1\[24\] ( wb_memory_inst dout_mem1[24] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[24] ) + USE SIGNAL
+ ROUTED met2 ( 1169090 1049580 ) ( 1171390 * 0 )
NEW met2 ( 1167250 1145400 ) ( 1169090 * )
NEW met2 ( 1169090 1049580 ) ( * 1145400 )
NEW met2 ( 1167250 1145400 ) ( * 1485290 )
NEW met4 ( 1342870 1497700 ) ( * 1500530 0 )
NEW met4 ( 1342740 1497700 ) ( 1342870 * )
NEW met4 ( 1342740 1490220 ) ( * 1497700 )
NEW met3 ( 1340670 1490220 ) ( 1342740 * )
NEW met2 ( 1340670 1485290 ) ( * 1490220 )
NEW met1 ( 1167250 1485290 ) ( 1340670 * )
NEW met1 ( 1167250 1485290 ) M1M2_PR
NEW met3 ( 1342740 1490220 ) M3M4_PR
NEW met2 ( 1340670 1490220 ) M2M3_PR
NEW met1 ( 1340670 1485290 ) M1M2_PR ;
- dout0_mem1\[25\] ( wb_memory_inst dout_mem1[25] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[25] ) + USE SIGNAL
+ ROUTED met2 ( 1180590 1049580 0 ) ( * 1058930 )
NEW met4 ( 1355110 1497700 ) ( * 1500530 0 )
NEW met4 ( 1354700 1497700 ) ( 1355110 * )
NEW met4 ( 1354700 1490220 ) ( * 1497700 )
NEW met3 ( 1352630 1490220 ) ( 1354700 * )
NEW met2 ( 1352630 1058930 ) ( * 1490220 )
NEW met1 ( 1180590 1058930 ) ( 1352630 * )
NEW met1 ( 1180590 1058930 ) M1M2_PR
NEW met1 ( 1352630 1058930 ) M1M2_PR
NEW met3 ( 1354700 1490220 ) M3M4_PR
NEW met2 ( 1352630 1490220 ) M2M3_PR ;
- dout0_mem1\[26\] ( wb_memory_inst dout_mem1[26] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[26] ) + USE SIGNAL
+ ROUTED met2 ( 1187030 1049580 ) ( 1189790 * 0 )
NEW met2 ( 1187030 1049580 ) ( * 1484610 )
NEW met4 ( 1367350 1497700 ) ( * 1500530 0 )
NEW met4 ( 1367350 1497700 ) ( 1367580 * )
NEW met4 ( 1367580 1490220 ) ( * 1497700 )
NEW met3 ( 1367350 1490220 ) ( 1367580 * )
NEW met2 ( 1367350 1484610 ) ( * 1490220 )
NEW met1 ( 1187030 1484610 ) ( 1367350 * )
NEW met1 ( 1187030 1484610 ) M1M2_PR
NEW met3 ( 1367580 1490220 ) M3M4_PR
NEW met2 ( 1367350 1490220 ) M2M3_PR
NEW met1 ( 1367350 1484610 ) M1M2_PR
NEW met3 ( 1367580 1490220 ) RECT ( 0 -150 390 150 ) ;
- dout0_mem1\[27\] ( wb_memory_inst dout_mem1[27] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[27] ) + USE SIGNAL
+ ROUTED met4 ( 1379590 1497700 ) ( * 1500530 0 )
NEW met4 ( 1379540 1497700 ) ( 1379590 * )
NEW met4 ( 1379540 1490220 ) ( * 1497700 )
NEW met3 ( 1373330 1490220 ) ( 1379540 * )
NEW met2 ( 1373330 1059100 ) ( * 1490220 )
NEW met2 ( 1198990 1049580 0 ) ( * 1059100 )
NEW met3 ( 1198990 1059100 ) ( 1373330 * )
NEW met2 ( 1373330 1059100 ) M2M3_PR
NEW met3 ( 1379540 1490220 ) M3M4_PR
NEW met2 ( 1373330 1490220 ) M2M3_PR
NEW met2 ( 1198990 1059100 ) M2M3_PR ;
- dout0_mem1\[28\] ( wb_memory_inst dout_mem1[28] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[28] ) + USE SIGNAL
+ ROUTED met2 ( 1208190 1049580 0 ) ( * 1484950 )
NEW met4 ( 1392510 1497700 ) ( * 1500530 0 )
NEW met4 ( 1392420 1497700 ) ( 1392510 * )
NEW met4 ( 1392420 1490220 ) ( * 1497700 )
NEW met3 ( 1391270 1490220 ) ( 1392420 * )
NEW met2 ( 1391270 1484950 ) ( * 1490220 )
NEW met1 ( 1208190 1484950 ) ( 1391270 * )
NEW met1 ( 1208190 1484950 ) M1M2_PR
NEW met3 ( 1392420 1490220 ) M3M4_PR
NEW met2 ( 1391270 1490220 ) M2M3_PR
NEW met1 ( 1391270 1484950 ) M1M2_PR ;
- dout0_mem1\[29\] ( wb_memory_inst dout_mem1[29] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[29] ) + USE SIGNAL
+ ROUTED met2 ( 1214630 1049580 ) ( 1217390 * 0 )
NEW met2 ( 1214630 1049580 ) ( * 1484270 )
NEW met4 ( 1404750 1497700 ) ( * 1500530 0 )
NEW met4 ( 1404380 1497700 ) ( 1404750 * )
NEW met4 ( 1404380 1490220 ) ( * 1497700 )
NEW met3 ( 1402310 1490220 ) ( 1404380 * )
NEW met2 ( 1402310 1484270 ) ( * 1490220 )
NEW met1 ( 1214630 1484270 ) ( 1402310 * )
NEW met1 ( 1214630 1484270 ) M1M2_PR
NEW met3 ( 1404380 1490220 ) M3M4_PR
NEW met2 ( 1402310 1490220 ) M2M3_PR
NEW met1 ( 1402310 1484270 ) M1M2_PR ;
- dout0_mem1\[2\] ( wb_memory_inst dout_mem1[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[2] ) + USE SIGNAL
+ ROUTED met4 ( 1068150 1497700 ) ( * 1500530 0 )
NEW met4 ( 1068150 1497700 ) ( 1068580 * )
NEW met4 ( 1068580 1490220 ) ( * 1497700 )
NEW met3 ( 1068350 1490220 ) ( 1068580 * )
NEW met2 ( 1068350 1483930 ) ( * 1490220 )
NEW met2 ( 931730 1049580 ) ( 932190 * 0 )
NEW met2 ( 931730 1049580 ) ( * 1483930 )
NEW met1 ( 931730 1483930 ) ( 1068350 * )
NEW met3 ( 1068580 1490220 ) M3M4_PR
NEW met2 ( 1068350 1490220 ) M2M3_PR
NEW met1 ( 1068350 1483930 ) M1M2_PR
NEW met1 ( 931730 1483930 ) M1M2_PR
NEW met3 ( 1068580 1490220 ) RECT ( 0 -150 390 150 ) ;
- dout0_mem1\[30\] ( wb_memory_inst dout_mem1[30] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[30] ) + USE SIGNAL
+ ROUTED met2 ( 1224290 1049580 ) ( 1226590 * 0 )
NEW met2 ( 1221990 1145400 ) ( 1224290 * )
NEW met2 ( 1224290 1049580 ) ( * 1145400 )
NEW met2 ( 1221990 1145400 ) ( * 1483930 )
NEW met4 ( 1416990 1497700 ) ( * 1500530 0 )
NEW met4 ( 1416990 1497700 ) ( 1417260 * )
NEW met4 ( 1417260 1490220 ) ( * 1497700 )
NEW met3 ( 1417030 1490220 ) ( 1417260 * )
NEW met2 ( 1417030 1483930 ) ( * 1490220 )
NEW met1 ( 1221990 1483930 ) ( 1417030 * )
NEW met1 ( 1221990 1483930 ) M1M2_PR
NEW met3 ( 1417260 1490220 ) M3M4_PR
NEW met2 ( 1417030 1490220 ) M2M3_PR
NEW met1 ( 1417030 1483930 ) M1M2_PR
NEW met3 ( 1417260 1490220 ) RECT ( 0 -150 390 150 ) ;
- dout0_mem1\[31\] ( wb_memory_inst dout_mem1[31] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[31] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 1049580 ) ( 1235790 * 0 )
NEW met2 ( 1235330 1049580 ) ( * 1483590 )
NEW met4 ( 1429910 1497700 ) ( * 1500530 0 )
NEW met4 ( 1429910 1497700 ) ( 1430140 * )
NEW met4 ( 1430140 1490220 ) ( * 1497700 )
NEW met3 ( 1429910 1490220 ) ( 1430140 * )
NEW met2 ( 1429910 1483590 ) ( * 1490220 )
NEW met1 ( 1235330 1483590 ) ( 1429910 * )
NEW met1 ( 1235330 1483590 ) M1M2_PR
NEW met3 ( 1430140 1490220 ) M3M4_PR
NEW met2 ( 1429910 1490220 ) M2M3_PR
NEW met1 ( 1429910 1483590 ) M1M2_PR
NEW met3 ( 1430140 1490220 ) RECT ( 0 -150 390 150 ) ;
- dout0_mem1\[3\] ( wb_memory_inst dout_mem1[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[3] ) + USE SIGNAL
+ ROUTED met4 ( 1080390 1497700 ) ( * 1500530 0 )
NEW met4 ( 1080390 1497700 ) ( 1080540 * )
NEW met4 ( 1080540 1489540 ) ( * 1497700 )
NEW met3 ( 1077090 1489540 ) ( 1080540 * )
NEW met2 ( 950590 1049580 0 ) ( * 1059270 )
NEW met1 ( 950590 1059270 ) ( 1077090 * )
NEW met2 ( 1077090 1059270 ) ( * 1489540 )
NEW met3 ( 1080540 1489540 ) M3M4_PR
NEW met2 ( 1077090 1489540 ) M2M3_PR
NEW met1 ( 950590 1059270 ) M1M2_PR
NEW met1 ( 1077090 1059270 ) M1M2_PR ;
- dout0_mem1\[4\] ( wb_memory_inst dout_mem1[4] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[4] ) + USE SIGNAL
+ ROUTED met2 ( 966690 1049580 ) ( 968990 * 0 )
NEW met2 ( 966230 1145400 ) ( 966690 * )
NEW met2 ( 966690 1049580 ) ( * 1145400 )
NEW met2 ( 966230 1145400 ) ( * 1484270 )
NEW met4 ( 1092630 1497700 ) ( * 1500530 0 )
NEW met4 ( 1092500 1497700 ) ( 1092630 * )
NEW met4 ( 1092500 1490220 ) ( * 1497700 )
NEW met3 ( 1092270 1490220 ) ( 1092500 * )
NEW met2 ( 1092270 1484270 ) ( * 1490220 )
NEW met1 ( 966230 1484270 ) ( 1092270 * )
NEW met1 ( 966230 1484270 ) M1M2_PR
NEW met3 ( 1092500 1490220 ) M3M4_PR
NEW met2 ( 1092270 1490220 ) M2M3_PR
NEW met1 ( 1092270 1484270 ) M1M2_PR
NEW met3 ( 1092500 1490220 ) RECT ( 0 -150 390 150 ) ;
- dout0_mem1\[5\] ( wb_memory_inst dout_mem1[5] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[5] ) + USE SIGNAL
+ ROUTED met2 ( 982790 1049580 0 ) ( * 1059950 )
NEW met4 ( 1105550 1497700 ) ( * 1500530 0 )
NEW met4 ( 1105380 1497700 ) ( 1105550 * )
NEW met4 ( 1105380 1490220 ) ( * 1497700 )
NEW met3 ( 1104230 1490220 ) ( 1105380 * )
NEW met1 ( 982790 1059950 ) ( 1104230 * )
NEW met2 ( 1104230 1059950 ) ( * 1490220 )
NEW met1 ( 982790 1059950 ) M1M2_PR
NEW met3 ( 1105380 1490220 ) M3M4_PR
NEW met2 ( 1104230 1490220 ) M2M3_PR
NEW met1 ( 1104230 1059950 ) M1M2_PR ;
- dout0_mem1\[6\] ( wb_memory_inst dout_mem1[6] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[6] ) + USE SIGNAL
+ ROUTED met2 ( 994290 1049580 ) ( 996590 * 0 )
NEW met2 ( 994290 1049580 ) ( * 1484610 )
NEW met4 ( 1117790 1497700 ) ( * 1500530 0 )
NEW met4 ( 1117340 1497700 ) ( 1117790 * )
NEW met4 ( 1117340 1490220 ) ( * 1497700 )
NEW met3 ( 1115270 1490220 ) ( 1117340 * )
NEW met2 ( 1115270 1484610 ) ( * 1490220 )
NEW met1 ( 994290 1484610 ) ( 1115270 * )
NEW met1 ( 994290 1484610 ) M1M2_PR
NEW met3 ( 1117340 1490220 ) M3M4_PR
NEW met2 ( 1115270 1490220 ) M2M3_PR
NEW met1 ( 1115270 1484610 ) M1M2_PR ;
- dout0_mem1\[7\] ( wb_memory_inst dout_mem1[7] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[7] ) + USE SIGNAL
+ ROUTED met2 ( 1008090 1049580 ) ( 1010390 * 0 )
NEW met2 ( 1008090 1049580 ) ( * 1484950 )
NEW met4 ( 1130030 1497700 ) ( * 1500530 0 )
NEW met4 ( 1130030 1497700 ) ( 1130220 * )
NEW met4 ( 1130220 1490220 ) ( * 1497700 )
NEW met3 ( 1127230 1490220 ) ( 1130220 * )
NEW met2 ( 1127230 1484950 ) ( * 1490220 )
NEW met1 ( 1008090 1484950 ) ( 1127230 * )
NEW met1 ( 1008090 1484950 ) M1M2_PR
NEW met3 ( 1130220 1490220 ) M3M4_PR
NEW met2 ( 1127230 1490220 ) M2M3_PR
NEW met1 ( 1127230 1484950 ) M1M2_PR ;
- dout0_mem1\[8\] ( wb_memory_inst dout_mem1[8] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[8] ) + USE SIGNAL
+ ROUTED met2 ( 1024190 1049580 0 ) ( * 1060970 )
NEW met1 ( 1024190 1060970 ) ( 1031550 * )
NEW met2 ( 1031550 1060970 ) ( * 1483590 )
NEW met4 ( 1141590 1497700 ) ( * 1500530 0 )
NEW met4 ( 1141260 1497700 ) ( 1141590 * )
NEW met4 ( 1141260 1490220 ) ( * 1497700 )
NEW met3 ( 1139650 1490220 ) ( 1141260 * )
NEW met2 ( 1139650 1483590 ) ( * 1490220 )
NEW met1 ( 1031550 1483590 ) ( 1139650 * )
NEW met1 ( 1024190 1060970 ) M1M2_PR
NEW met1 ( 1031550 1060970 ) M1M2_PR
NEW met1 ( 1031550 1483590 ) M1M2_PR
NEW met3 ( 1141260 1490220 ) M3M4_PR
NEW met2 ( 1139650 1490220 ) M2M3_PR
NEW met1 ( 1139650 1483590 ) M1M2_PR ;
- dout0_mem1\[9\] ( wb_memory_inst dout_mem1[9] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout0[9] ) + USE SIGNAL
+ ROUTED met4 ( 1155190 1497700 ) ( * 1500530 0 )
NEW met4 ( 1155060 1497700 ) ( 1155190 * )
NEW met4 ( 1155060 1490220 ) ( * 1497700 )
NEW met3 ( 1152990 1490220 ) ( 1155060 * )
NEW met2 ( 1152990 1058250 ) ( * 1490220 )
NEW met2 ( 1033390 1049580 0 ) ( * 1058250 )
NEW met1 ( 1033390 1058250 ) ( 1152990 * )
NEW met1 ( 1152990 1058250 ) M1M2_PR
NEW met3 ( 1155060 1490220 ) M3M4_PR
NEW met2 ( 1152990 1490220 ) M2M3_PR
NEW met1 ( 1033390 1058250 ) M1M2_PR ;
- dout1\[0\] ( wfg_top_inst dout1[0] ) ( merge_memory_inst dout[0] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2431340 ) ( * 2434060 0 )
NEW met3 ( 1249820 2431340 ) ( 1256490 * )
NEW met2 ( 1256490 2429810 ) ( * 2431340 )
NEW met1 ( 1256490 2429810 ) ( 1266610 * )
NEW met2 ( 1266610 2352630 ) ( * 2429810 )
NEW met2 ( 1884850 2352460 ) ( * 2352630 )
NEW met3 ( 1884850 2352460 ) ( 1900260 * 0 )
NEW met1 ( 1266610 2352630 ) ( 1884850 * )
NEW met1 ( 1266610 2352630 ) M1M2_PR
NEW met2 ( 1256490 2431340 ) M2M3_PR
NEW met1 ( 1256490 2429810 ) M1M2_PR
NEW met1 ( 1266610 2429810 ) M1M2_PR
NEW met1 ( 1884850 2352630 ) M1M2_PR
NEW met2 ( 1884850 2352460 ) M2M3_PR ;
- dout1\[10\] ( wfg_top_inst dout1[10] ) ( merge_memory_inst dout[10] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2474180 ) ( * 2474860 0 )
NEW met3 ( 1249820 2474180 ) ( 1262470 * )
NEW met2 ( 1262470 2473670 ) ( * 2474180 )
NEW met2 ( 1884850 2473670 ) ( * 2474860 )
NEW met3 ( 1884850 2474860 ) ( 1900260 * 0 )
NEW met1 ( 1262470 2473670 ) ( 1884850 * )
NEW met2 ( 1262470 2474180 ) M2M3_PR
NEW met1 ( 1262470 2473670 ) M1M2_PR
NEW met1 ( 1884850 2473670 ) M1M2_PR
NEW met2 ( 1884850 2474860 ) M2M3_PR ;
- dout1\[11\] ( wfg_top_inst dout1[11] ) ( merge_memory_inst dout[11] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2478940 0 ) ( * 2481660 )
NEW met3 ( 1249820 2481660 ) ( 1262010 * )
NEW met2 ( 1262010 2481660 ) ( * 2484210 )
NEW met2 ( 1884850 2484210 ) ( * 2487100 )
NEW met3 ( 1884850 2487100 ) ( 1900260 * 0 )
NEW met1 ( 1262010 2484210 ) ( 1884850 * )
NEW met2 ( 1262010 2481660 ) M2M3_PR
NEW met1 ( 1262010 2484210 ) M1M2_PR
NEW met1 ( 1884850 2484210 ) M1M2_PR
NEW met2 ( 1884850 2487100 ) M2M3_PR ;
- dout1\[12\] ( wfg_top_inst dout1[12] ) ( merge_memory_inst dout[12] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2483020 0 ) ( * 2483700 )
NEW met3 ( 1249820 2483700 ) ( 1262470 * )
NEW met2 ( 1262470 2483700 ) ( * 2483870 )
NEW met3 ( 1890370 2499340 ) ( 1900260 * 0 )
NEW met1 ( 1262470 2483870 ) ( 1890370 * )
NEW met2 ( 1890370 2483870 ) ( * 2499340 )
NEW met2 ( 1262470 2483700 ) M2M3_PR
NEW met1 ( 1262470 2483870 ) M1M2_PR
NEW met2 ( 1890370 2499340 ) M2M3_PR
NEW met1 ( 1890370 2483870 ) M1M2_PR ;
- dout1\[13\] ( wfg_top_inst dout1[13] ) ( merge_memory_inst dout[13] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2487100 0 ) ( * 2489140 )
NEW met3 ( 1249820 2489140 ) ( 1262470 * )
NEW met2 ( 1262470 2489140 ) ( * 2490670 )
NEW met3 ( 1889450 2511580 ) ( 1900260 * 0 )
NEW met1 ( 1262470 2490670 ) ( 1889450 * )
NEW met2 ( 1889450 2490670 ) ( * 2511580 )
NEW met2 ( 1262470 2489140 ) M2M3_PR
NEW met1 ( 1262470 2490670 ) M1M2_PR
NEW met2 ( 1889450 2511580 ) M2M3_PR
NEW met1 ( 1889450 2490670 ) M1M2_PR ;
- dout1\[14\] ( wfg_top_inst dout1[14] ) ( merge_memory_inst dout[14] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2491180 0 ) ( * 2493900 )
NEW met3 ( 1249820 2493900 ) ( 1258790 * )
NEW met2 ( 1258790 2493900 ) ( * 2497470 )
NEW met3 ( 1887150 2523820 ) ( 1900260 * 0 )
NEW met1 ( 1258790 2497470 ) ( 1887150 * )
NEW met2 ( 1887150 2497470 ) ( * 2523820 )
NEW met2 ( 1258790 2493900 ) M2M3_PR
NEW met1 ( 1258790 2497470 ) M1M2_PR
NEW met2 ( 1887150 2523820 ) M2M3_PR
NEW met1 ( 1887150 2497470 ) M1M2_PR ;
- dout1\[15\] ( wfg_top_inst dout1[15] ) ( merge_memory_inst dout[15] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2495260 0 ) ( * 2495940 )
NEW met3 ( 1249820 2495940 ) ( 1262470 * )
NEW met2 ( 1262470 2495940 ) ( * 2497130 )
NEW met3 ( 1885770 2536060 ) ( 1900260 * 0 )
NEW met1 ( 1262470 2497130 ) ( 1885770 * )
NEW met2 ( 1885770 2497130 ) ( * 2536060 )
NEW met2 ( 1262470 2495940 ) M2M3_PR
NEW met1 ( 1262470 2497130 ) M1M2_PR
NEW met2 ( 1885770 2536060 ) M2M3_PR
NEW met1 ( 1885770 2497130 ) M1M2_PR ;
- dout1\[16\] ( wfg_top_inst dout1[16] ) ( merge_memory_inst dout[16] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2499340 0 ) ( * 2502060 )
NEW met3 ( 1249820 2502060 ) ( 1259710 * )
NEW met2 ( 1259710 2502060 ) ( * 2504270 )
NEW met1 ( 1259710 2504270 ) ( 1886230 * )
NEW met3 ( 1886230 2548300 ) ( 1900260 * 0 )
NEW met2 ( 1886230 2504270 ) ( * 2548300 )
NEW met2 ( 1259710 2502060 ) M2M3_PR
NEW met1 ( 1259710 2504270 ) M1M2_PR
NEW met1 ( 1886230 2504270 ) M1M2_PR
NEW met2 ( 1886230 2548300 ) M2M3_PR ;
- dout1\[17\] ( wfg_top_inst dout1[17] ) ( merge_memory_inst dout[17] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2503420 0 ) ( * 2504100 )
NEW met3 ( 1249820 2504100 ) ( 1262470 * )
NEW met2 ( 1262470 2504100 ) ( * 2504610 )
NEW met1 ( 1262470 2504610 ) ( 1890370 * )
NEW met3 ( 1890370 2560540 ) ( 1900260 * 0 )
NEW met2 ( 1890370 2504610 ) ( * 2560540 )
NEW met2 ( 1262470 2504100 ) M2M3_PR
NEW met1 ( 1262470 2504610 ) M1M2_PR
NEW met1 ( 1890370 2504610 ) M1M2_PR
NEW met2 ( 1890370 2560540 ) M2M3_PR ;
- dout1\[18\] ( wfg_top_inst dout1[18] ) ( merge_memory_inst dout[18] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2507500 0 ) ( * 2509540 )
NEW met3 ( 1249820 2509540 ) ( 1259710 * )
NEW met2 ( 1259710 2509540 ) ( * 2511070 )
NEW met1 ( 1259710 2511070 ) ( 1886690 * )
NEW met3 ( 1886690 2572780 ) ( 1900260 * 0 )
NEW met2 ( 1886690 2511070 ) ( * 2572780 )
NEW met2 ( 1259710 2509540 ) M2M3_PR
NEW met1 ( 1259710 2511070 ) M1M2_PR
NEW met1 ( 1886690 2511070 ) M1M2_PR
NEW met2 ( 1886690 2572780 ) M2M3_PR ;
- dout1\[19\] ( wfg_top_inst dout1[19] ) ( merge_memory_inst dout[19] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2510900 ) ( * 2511580 0 )
NEW met3 ( 1249820 2510900 ) ( 1262470 * )
NEW met2 ( 1262470 2510900 ) ( * 2511410 )
NEW met1 ( 1262470 2511410 ) ( 1889910 * )
NEW met3 ( 1889910 2585020 ) ( 1900260 * 0 )
NEW met2 ( 1889910 2511410 ) ( * 2585020 )
NEW met2 ( 1262470 2510900 ) M2M3_PR
NEW met1 ( 1262470 2511410 ) M1M2_PR
NEW met1 ( 1889910 2511410 ) M1M2_PR
NEW met2 ( 1889910 2585020 ) M2M3_PR ;
- dout1\[1\] ( wfg_top_inst dout1[1] ) ( merge_memory_inst dout[1] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2436100 ) ( * 2438140 0 )
NEW met3 ( 1249820 2436100 ) ( 1258330 * )
NEW met2 ( 1258330 2366570 ) ( * 2436100 )
NEW met2 ( 1884850 2364700 ) ( * 2366570 )
NEW met3 ( 1884850 2364700 ) ( 1900260 * 0 )
NEW met1 ( 1258330 2366570 ) ( 1884850 * )
NEW met2 ( 1258330 2436100 ) M2M3_PR
NEW met1 ( 1258330 2366570 ) M1M2_PR
NEW met1 ( 1884850 2366570 ) M1M2_PR
NEW met2 ( 1884850 2364700 ) M2M3_PR ;
- dout1\[20\] ( wfg_top_inst dout1[20] ) ( merge_memory_inst dout[20] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2515660 0 ) ( * 2517020 )
NEW met3 ( 1249820 2517020 ) ( 1262470 * )
NEW met2 ( 1262470 2517020 ) ( * 2518210 )
NEW met1 ( 1262470 2518210 ) ( 1889450 * )
NEW met3 ( 1889450 2597260 ) ( 1900260 * 0 )
NEW met2 ( 1889450 2518210 ) ( * 2597260 )
NEW met2 ( 1262470 2517020 ) M2M3_PR
NEW met1 ( 1262470 2518210 ) M1M2_PR
NEW met1 ( 1889450 2518210 ) M1M2_PR
NEW met2 ( 1889450 2597260 ) M2M3_PR ;
- dout1\[21\] ( wfg_top_inst dout1[21] ) ( merge_memory_inst dout[21] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2519740 0 ) ( * 2522460 )
NEW met3 ( 1249820 2522460 ) ( 1256950 * )
NEW met2 ( 1256950 2522460 ) ( * 2525350 )
NEW met1 ( 1256950 2525350 ) ( 1888990 * )
NEW met3 ( 1888990 2609500 ) ( 1900260 * 0 )
NEW met2 ( 1888990 2525350 ) ( * 2609500 )
NEW met2 ( 1256950 2522460 ) M2M3_PR
NEW met1 ( 1256950 2525350 ) M1M2_PR
NEW met1 ( 1888990 2525350 ) M1M2_PR
NEW met2 ( 1888990 2609500 ) M2M3_PR ;
- dout1\[22\] ( wfg_top_inst dout1[22] ) ( merge_memory_inst dout[22] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2523820 0 ) ( * 2524500 )
NEW met3 ( 1249820 2524500 ) ( 1262470 * )
NEW met2 ( 1262470 2524500 ) ( * 2525010 )
NEW met1 ( 1262470 2525010 ) ( 1888530 * )
NEW met3 ( 1888530 2621740 ) ( 1900260 * 0 )
NEW met2 ( 1888530 2525010 ) ( * 2621740 )
NEW met2 ( 1262470 2524500 ) M2M3_PR
NEW met1 ( 1262470 2525010 ) M1M2_PR
NEW met1 ( 1888530 2525010 ) M1M2_PR
NEW met2 ( 1888530 2621740 ) M2M3_PR ;
- dout1\[23\] ( wfg_top_inst dout1[23] ) ( merge_memory_inst dout[23] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2527900 0 ) ( * 2529940 )
NEW met3 ( 1249820 2529940 ) ( 1258790 * )
NEW met2 ( 1258790 2529940 ) ( * 2531810 )
NEW met1 ( 1258790 2531810 ) ( 1888070 * )
NEW met3 ( 1888070 2633980 ) ( 1900260 * 0 )
NEW met2 ( 1888070 2531810 ) ( * 2633980 )
NEW met2 ( 1258790 2529940 ) M2M3_PR
NEW met1 ( 1258790 2531810 ) M1M2_PR
NEW met1 ( 1888070 2531810 ) M1M2_PR
NEW met2 ( 1888070 2633980 ) M2M3_PR ;
- dout1\[24\] ( wfg_top_inst dout1[24] ) ( merge_memory_inst dout[24] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2531300 ) ( * 2531980 0 )
NEW met3 ( 1249820 2531300 ) ( 1262470 * )
NEW met2 ( 1262470 2531300 ) ( * 2532150 )
NEW met1 ( 1262470 2532150 ) ( 1887610 * )
NEW met3 ( 1887610 2646220 ) ( 1900260 * 0 )
NEW met2 ( 1887610 2532150 ) ( * 2646220 )
NEW met2 ( 1262470 2531300 ) M2M3_PR
NEW met1 ( 1262470 2532150 ) M1M2_PR
NEW met1 ( 1887610 2532150 ) M1M2_PR
NEW met2 ( 1887610 2646220 ) M2M3_PR ;
- dout1\[25\] ( wfg_top_inst dout1[25] ) ( merge_memory_inst dout[25] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2536060 0 ) ( * 2537420 )
NEW met3 ( 1249820 2537420 ) ( 1262470 * )
NEW met2 ( 1262470 2537420 ) ( * 2538950 )
NEW met1 ( 1262470 2538950 ) ( 1887150 * )
NEW met3 ( 1887150 2658460 ) ( 1900260 * 0 )
NEW met2 ( 1887150 2538950 ) ( * 2658460 )
NEW met2 ( 1262470 2537420 ) M2M3_PR
NEW met1 ( 1262470 2538950 ) M1M2_PR
NEW met1 ( 1887150 2538950 ) M1M2_PR
NEW met2 ( 1887150 2658460 ) M2M3_PR ;
- dout1\[26\] ( wfg_top_inst dout1[26] ) ( merge_memory_inst dout[26] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2539460 ) ( * 2540140 0 )
NEW met3 ( 1249820 2539460 ) ( 1888300 * )
NEW met3 ( 1888300 2670700 ) ( 1900260 * 0 )
NEW met4 ( 1888300 2539460 ) ( * 2670700 )
NEW met3 ( 1888300 2539460 ) M3M4_PR
NEW met3 ( 1888300 2670700 ) M3M4_PR ;
- dout1\[27\] ( wfg_top_inst dout1[27] ) ( merge_memory_inst dout[27] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2544220 0 ) ( * 2544900 )
NEW met3 ( 1249820 2544900 ) ( 1260170 * )
NEW met2 ( 1260170 2544900 ) ( * 2677330 )
NEW met2 ( 1884390 2677330 ) ( * 2682940 )
NEW met3 ( 1884390 2682940 ) ( 1900260 * 0 )
NEW met1 ( 1260170 2677330 ) ( 1884390 * )
NEW met2 ( 1260170 2544900 ) M2M3_PR
NEW met1 ( 1260170 2677330 ) M1M2_PR
NEW met1 ( 1884390 2677330 ) M1M2_PR
NEW met2 ( 1884390 2682940 ) M2M3_PR ;
- dout1\[28\] ( wfg_top_inst dout1[28] ) ( merge_memory_inst dout[28] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2548300 0 ) ( * 2551020 )
NEW met3 ( 1249820 2551020 ) ( 1256490 * )
NEW met2 ( 1256490 2551020 ) ( * 2552550 )
NEW met1 ( 1256490 2552550 ) ( 1266150 * )
NEW met2 ( 1266150 2552550 ) ( * 2691270 )
NEW met2 ( 1884850 2691270 ) ( * 2695180 )
NEW met3 ( 1884850 2695180 ) ( 1900260 * 0 )
NEW met1 ( 1266150 2691270 ) ( 1884850 * )
NEW met1 ( 1266150 2691270 ) M1M2_PR
NEW met2 ( 1256490 2551020 ) M2M3_PR
NEW met1 ( 1256490 2552550 ) M1M2_PR
NEW met1 ( 1266150 2552550 ) M1M2_PR
NEW met1 ( 1884850 2691270 ) M1M2_PR
NEW met2 ( 1884850 2695180 ) M2M3_PR ;
- dout1\[29\] ( wfg_top_inst dout1[29] ) ( merge_memory_inst dout[29] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2551700 ) ( * 2552380 0 )
NEW met3 ( 1249820 2551700 ) ( 1259710 * )
NEW met2 ( 1259710 2551700 ) ( * 2704870 )
NEW met2 ( 1884850 2704870 ) ( * 2707420 )
NEW met3 ( 1884850 2707420 ) ( 1900260 * 0 )
NEW met1 ( 1259710 2704870 ) ( 1884850 * )
NEW met1 ( 1259710 2704870 ) M1M2_PR
NEW met2 ( 1259710 2551700 ) M2M3_PR
NEW met1 ( 1884850 2704870 ) M1M2_PR
NEW met2 ( 1884850 2707420 ) M2M3_PR ;
- dout1\[2\] ( wfg_top_inst dout1[2] ) ( merge_memory_inst dout[2] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2439500 ) ( * 2442220 0 )
NEW met3 ( 1249820 2439500 ) ( 1262470 * )
NEW met2 ( 1262470 2437290 ) ( * 2439500 )
NEW met1 ( 1262470 2437290 ) ( 1279950 * )
NEW met2 ( 1279950 2380170 ) ( * 2437290 )
NEW met2 ( 1884850 2376940 ) ( * 2380170 )
NEW met3 ( 1884850 2376940 ) ( 1900260 * 0 )
NEW met1 ( 1279950 2380170 ) ( 1884850 * )
NEW met2 ( 1262470 2439500 ) M2M3_PR
NEW met1 ( 1262470 2437290 ) M1M2_PR
NEW met1 ( 1279950 2437290 ) M1M2_PR
NEW met1 ( 1279950 2380170 ) M1M2_PR
NEW met1 ( 1884850 2380170 ) M1M2_PR
NEW met2 ( 1884850 2376940 ) M2M3_PR ;
- dout1\[30\] ( wfg_top_inst dout1[30] ) ( merge_memory_inst dout[30] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2556460 0 ) ( * 2559180 )
NEW met3 ( 1249820 2559180 ) ( 1262470 * )
NEW met2 ( 1262470 2559180 ) ( * 2559350 )
NEW met1 ( 1262470 2559350 ) ( 1279950 * )
NEW met2 ( 1279950 2559350 ) ( * 2718810 )
NEW met2 ( 1884850 2718810 ) ( * 2719660 )
NEW met3 ( 1884850 2719660 ) ( 1900260 * 0 )
NEW met1 ( 1279950 2718810 ) ( 1884850 * )
NEW met1 ( 1279950 2718810 ) M1M2_PR
NEW met2 ( 1262470 2559180 ) M2M3_PR
NEW met1 ( 1262470 2559350 ) M1M2_PR
NEW met1 ( 1279950 2559350 ) M1M2_PR
NEW met1 ( 1884850 2718810 ) M1M2_PR
NEW met2 ( 1884850 2719660 ) M2M3_PR ;
- dout1\[31\] ( wfg_top_inst dout1[31] ) ( merge_memory_inst dout[31] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2560540 0 ) ( * 2563260 )
NEW met3 ( 1249820 2563260 ) ( 1259250 * )
NEW met2 ( 1259250 2563260 ) ( * 2725610 )
NEW met2 ( 1884850 2725610 ) ( * 2731900 )
NEW met3 ( 1884850 2731900 ) ( 1900260 * 0 )
NEW met1 ( 1259250 2725610 ) ( 1884850 * )
NEW met1 ( 1259250 2725610 ) M1M2_PR
NEW met2 ( 1259250 2563260 ) M2M3_PR
NEW met1 ( 1884850 2725610 ) M1M2_PR
NEW met2 ( 1884850 2731900 ) M2M3_PR ;
- dout1\[3\] ( wfg_top_inst dout1[3] ) ( merge_memory_inst dout[3] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2443580 ) ( * 2446300 0 )
NEW met3 ( 1249820 2443580 ) ( 1256950 * )
NEW met2 ( 1256950 2394110 ) ( * 2443580 )
NEW met2 ( 1883930 2389180 ) ( * 2394110 )
NEW met3 ( 1883930 2389180 ) ( 1900260 * 0 )
NEW met1 ( 1256950 2394110 ) ( 1883930 * )
NEW met2 ( 1256950 2443580 ) M2M3_PR
NEW met1 ( 1256950 2394110 ) M1M2_PR
NEW met1 ( 1883930 2394110 ) M1M2_PR
NEW met2 ( 1883930 2389180 ) M2M3_PR ;
- dout1\[4\] ( wfg_top_inst dout1[4] ) ( merge_memory_inst dout[4] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2449700 ) ( * 2450380 0 )
NEW met3 ( 1249820 2449700 ) ( 1259710 * )
NEW met2 ( 1259710 2408050 ) ( * 2449700 )
NEW met2 ( 1884850 2401420 ) ( * 2408050 )
NEW met3 ( 1884850 2401420 ) ( 1900260 * 0 )
NEW met1 ( 1259710 2408050 ) ( 1884850 * )
NEW met1 ( 1259710 2408050 ) M1M2_PR
NEW met2 ( 1259710 2449700 ) M2M3_PR
NEW met1 ( 1884850 2408050 ) M1M2_PR
NEW met2 ( 1884850 2401420 ) M2M3_PR ;
- dout1\[5\] ( wfg_top_inst dout1[5] ) ( merge_memory_inst dout[5] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2451740 ) ( * 2454460 0 )
NEW met3 ( 1249820 2451740 ) ( 1257410 * )
NEW met2 ( 1257410 2414850 ) ( * 2451740 )
NEW met2 ( 1884850 2413660 ) ( * 2414850 )
NEW met3 ( 1884850 2413660 ) ( 1900260 * 0 )
NEW met1 ( 1257410 2414850 ) ( 1884850 * )
NEW met1 ( 1257410 2414850 ) M1M2_PR
NEW met2 ( 1257410 2451740 ) M2M3_PR
NEW met1 ( 1884850 2414850 ) M1M2_PR
NEW met2 ( 1884850 2413660 ) M2M3_PR ;
- dout1\[6\] ( wfg_top_inst dout1[6] ) ( merge_memory_inst dout[6] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2456500 ) ( * 2458540 0 )
NEW met3 ( 1249820 2456500 ) ( 1261550 * )
NEW met2 ( 1261550 2428790 ) ( * 2456500 )
NEW met2 ( 1884850 2425900 ) ( * 2428790 )
NEW met3 ( 1884850 2425900 ) ( 1900260 * 0 )
NEW met1 ( 1261550 2428790 ) ( 1884850 * )
NEW met1 ( 1261550 2428790 ) M1M2_PR
NEW met2 ( 1261550 2456500 ) M2M3_PR
NEW met1 ( 1884850 2428790 ) M1M2_PR
NEW met2 ( 1884850 2425900 ) M2M3_PR ;
- dout1\[7\] ( wfg_top_inst dout1[7] ) ( merge_memory_inst dout[7] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2459900 ) ( * 2462620 0 )
NEW met3 ( 1249820 2459900 ) ( 1257870 * )
NEW met2 ( 1257870 2442390 ) ( * 2459900 )
NEW met2 ( 1884850 2438140 ) ( * 2442390 )
NEW met3 ( 1884850 2438140 ) ( 1900260 * 0 )
NEW met1 ( 1257870 2442390 ) ( 1884850 * )
NEW met1 ( 1257870 2442390 ) M1M2_PR
NEW met2 ( 1257870 2459900 ) M2M3_PR
NEW met1 ( 1884850 2442390 ) M1M2_PR
NEW met2 ( 1884850 2438140 ) M2M3_PR ;
- dout1\[8\] ( wfg_top_inst dout1[8] ) ( merge_memory_inst dout[8] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2463980 ) ( * 2466700 0 )
NEW met3 ( 1249820 2463980 ) ( 1262010 * )
NEW met2 ( 1262010 2456330 ) ( * 2463980 )
NEW met2 ( 1884850 2450380 ) ( * 2456330 )
NEW met3 ( 1884850 2450380 ) ( 1900260 * 0 )
NEW met1 ( 1262010 2456330 ) ( 1884850 * )
NEW met2 ( 1262010 2463980 ) M2M3_PR
NEW met1 ( 1262010 2456330 ) M1M2_PR
NEW met1 ( 1884850 2456330 ) M1M2_PR
NEW met2 ( 1884850 2450380 ) M2M3_PR ;
- dout1\[9\] ( wfg_top_inst dout1[9] ) ( merge_memory_inst dout[9] ) + USE SIGNAL
+ ROUTED met3 ( 1249820 2470780 0 ) ( * 2471460 )
NEW met3 ( 1249820 2471460 ) ( 1262470 * )
NEW met2 ( 1262470 2463130 ) ( * 2471460 )
NEW met2 ( 1884850 2462620 ) ( * 2463130 )
NEW met3 ( 1884850 2462620 ) ( 1900260 * 0 )
NEW met1 ( 1262470 2463130 ) ( 1884850 * )
NEW met2 ( 1262470 2471460 ) M2M3_PR
NEW met1 ( 1262470 2463130 ) M1M2_PR
NEW met1 ( 1884850 2463130 ) M1M2_PR
NEW met2 ( 1884850 2462620 ) M2M3_PR ;
- dout1_mem0\[0\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[0] ) ( merge_memory_inst dout_mem0[0] ) + USE SIGNAL
+ ROUTED met2 ( 599610 2363510 ) ( * 2375580 0 )
NEW met3 ( 244260 1925420 ) ( 248170 * )
NEW met4 ( 244260 1918200 ) ( * 1925420 )
NEW met4 ( 243670 1916010 0 ) ( * 1918200 )
NEW met4 ( 243670 1918200 ) ( 244260 * )
NEW met2 ( 248170 1925420 ) ( * 2363510 )
NEW met1 ( 248170 2363510 ) ( 599610 * )
NEW met1 ( 599610 2363510 ) M1M2_PR
NEW met3 ( 244260 1925420 ) M3M4_PR
NEW met2 ( 248170 1925420 ) M2M3_PR
NEW met1 ( 248170 2363510 ) M1M2_PR ;
- dout1_mem0\[10\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[10] ) ( merge_memory_inst dout_mem0[10] ) + USE SIGNAL
+ ROUTED met2 ( 687010 2365210 ) ( * 2375580 0 )
NEW met3 ( 368460 1925420 ) ( 372370 * )
NEW met4 ( 368460 1918200 ) ( * 1925420 )
NEW met4 ( 368110 1916010 0 ) ( * 1918200 )
NEW met4 ( 368110 1918200 ) ( 368460 * )
NEW met2 ( 372370 1925420 ) ( * 2365210 )
NEW met1 ( 372370 2365210 ) ( 687010 * )
NEW met1 ( 687010 2365210 ) M1M2_PR
NEW met3 ( 368460 1925420 ) M3M4_PR
NEW met2 ( 372370 1925420 ) M2M3_PR
NEW met1 ( 372370 2365210 ) M1M2_PR ;
- dout1_mem0\[11\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[11] ) ( merge_memory_inst dout_mem0[11] ) + USE SIGNAL
+ ROUTED met3 ( 381340 1926780 ) ( 383870 * )
NEW met2 ( 383870 1926780 ) ( * 1935450 )
NEW met4 ( 381340 1918200 ) ( * 1926780 )
NEW met4 ( 381030 1916010 0 ) ( * 1918200 )
NEW met4 ( 381030 1918200 ) ( 381340 * )
NEW met2 ( 690230 2375580 ) ( 695750 * 0 )
NEW met2 ( 690230 1935450 ) ( * 2375580 )
NEW met1 ( 383870 1935450 ) ( 690230 * )
NEW met3 ( 381340 1926780 ) M3M4_PR
NEW met2 ( 383870 1926780 ) M2M3_PR
NEW met1 ( 383870 1935450 ) M1M2_PR
NEW met1 ( 690230 1935450 ) M1M2_PR ;
- dout1_mem0\[12\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[12] ) ( merge_memory_inst dout_mem0[12] ) + USE SIGNAL
+ ROUTED met3 ( 392380 1925420 ) ( 392610 * )
NEW met2 ( 392610 1925420 ) ( * 1927630 )
NEW met4 ( 392380 1918200 ) ( * 1925420 )
NEW met4 ( 392590 1916010 0 ) ( * 1918200 )
NEW met4 ( 392380 1918200 ) ( 392590 * )
NEW met2 ( 596850 1927630 ) ( * 2362150 )
NEW met2 ( 704490 2362150 ) ( * 2375580 0 )
NEW met1 ( 392610 1927630 ) ( 596850 * )
NEW met1 ( 596850 2362150 ) ( 704490 * )
NEW met3 ( 392380 1925420 ) M3M4_PR
NEW met2 ( 392610 1925420 ) M2M3_PR
NEW met1 ( 392610 1927630 ) M1M2_PR
NEW met1 ( 596850 1927630 ) M1M2_PR
NEW met1 ( 596850 2362150 ) M1M2_PR
NEW met1 ( 704490 2362150 ) M1M2_PR
NEW met3 ( 392380 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[13\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[13] ) ( merge_memory_inst dout_mem0[13] ) + USE SIGNAL
+ ROUTED met3 ( 405260 1925420 ) ( 405490 * )
NEW met2 ( 405490 1925420 ) ( * 1930690 )
NEW met4 ( 405260 1918200 ) ( * 1925420 )
NEW met4 ( 404830 1916010 0 ) ( * 1918200 )
NEW met4 ( 404830 1918200 ) ( 405260 * )
NEW met1 ( 405490 1930690 ) ( 710930 * )
NEW met2 ( 710930 2375580 ) ( 713230 * 0 )
NEW met2 ( 710930 1930690 ) ( * 2375580 )
NEW met3 ( 405260 1925420 ) M3M4_PR
NEW met2 ( 405490 1925420 ) M2M3_PR
NEW met1 ( 405490 1930690 ) M1M2_PR
NEW met1 ( 710930 1930690 ) M1M2_PR
NEW met3 ( 405260 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[14\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[14] ) ( merge_memory_inst dout_mem0[14] ) + USE SIGNAL
+ ROUTED met3 ( 418140 1925420 ) ( 418370 * )
NEW met2 ( 418370 1925420 ) ( * 1930350 )
NEW met4 ( 418140 1918200 ) ( * 1925420 )
NEW met4 ( 418430 1916010 0 ) ( * 1918200 )
NEW met4 ( 418140 1918200 ) ( 418430 * )
NEW met1 ( 418370 1930350 ) ( 717830 * )
NEW met2 ( 717830 2375580 ) ( 721970 * 0 )
NEW met2 ( 717830 1930350 ) ( * 2375580 )
NEW met3 ( 418140 1925420 ) M3M4_PR
NEW met2 ( 418370 1925420 ) M2M3_PR
NEW met1 ( 418370 1930350 ) M1M2_PR
NEW met1 ( 717830 1930350 ) M1M2_PR
NEW met3 ( 418140 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[15\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[15] ) ( merge_memory_inst dout_mem0[15] ) + USE SIGNAL
+ ROUTED met3 ( 431020 1925420 ) ( 432170 * )
NEW met2 ( 432170 1925420 ) ( * 1929670 )
NEW met1 ( 432170 1929670 ) ( 724730 * )
NEW met4 ( 431020 1918200 ) ( * 1925420 )
NEW met4 ( 430670 1916010 0 ) ( * 1918200 )
NEW met4 ( 430670 1918200 ) ( 431020 * )
NEW met2 ( 724730 2375580 ) ( 730710 * 0 )
NEW met2 ( 724730 1929670 ) ( * 2375580 )
NEW met3 ( 431020 1925420 ) M3M4_PR
NEW met2 ( 432170 1925420 ) M2M3_PR
NEW met1 ( 432170 1929670 ) M1M2_PR
NEW met1 ( 724730 1929670 ) M1M2_PR ;
- dout1_mem0\[16\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[16] ) ( merge_memory_inst dout_mem0[16] ) + USE SIGNAL
+ ROUTED met3 ( 442980 1926100 ) ( 448270 * )
NEW met4 ( 442980 1918200 ) ( * 1926100 )
NEW met4 ( 442910 1916010 0 ) ( * 1918200 )
NEW met4 ( 442910 1918200 ) ( 442980 * )
NEW met2 ( 448270 1926100 ) ( * 2365550 )
NEW met2 ( 739450 2365550 ) ( * 2375580 0 )
NEW met1 ( 448270 2365550 ) ( 739450 * )
NEW met3 ( 442980 1926100 ) M3M4_PR
NEW met2 ( 448270 1926100 ) M2M3_PR
NEW met1 ( 448270 2365550 ) M1M2_PR
NEW met1 ( 739450 2365550 ) M1M2_PR ;
- dout1_mem0\[17\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[17] ) ( merge_memory_inst dout_mem0[17] ) + USE SIGNAL
+ ROUTED met3 ( 454940 1925420 ) ( 455170 * )
NEW met2 ( 455170 1925420 ) ( * 1930010 )
NEW met1 ( 455170 1930010 ) ( 745430 * )
NEW met4 ( 454940 1918200 ) ( * 1925420 )
NEW met4 ( 455150 1916010 0 ) ( * 1918200 )
NEW met4 ( 454940 1918200 ) ( 455150 * )
NEW met2 ( 745430 2375580 ) ( 748190 * 0 )
NEW met2 ( 745430 1930010 ) ( * 2375580 )
NEW met3 ( 454940 1925420 ) M3M4_PR
NEW met2 ( 455170 1925420 ) M2M3_PR
NEW met1 ( 455170 1930010 ) M1M2_PR
NEW met1 ( 745430 1930010 ) M1M2_PR
NEW met3 ( 454940 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[18\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[18] ) ( merge_memory_inst dout_mem0[18] ) + USE SIGNAL
+ ROUTED met3 ( 467820 1931540 ) ( 468970 * )
NEW met4 ( 467820 1918200 ) ( * 1931540 )
NEW met4 ( 468070 1916010 0 ) ( * 1918200 )
NEW met4 ( 467820 1918200 ) ( 468070 * )
NEW met2 ( 468970 1931540 ) ( * 2365890 )
NEW met2 ( 756930 2365890 ) ( * 2375580 0 )
NEW met1 ( 468970 2365890 ) ( 756930 * )
NEW met3 ( 467820 1931540 ) M3M4_PR
NEW met2 ( 468970 1931540 ) M2M3_PR
NEW met1 ( 468970 2365890 ) M1M2_PR
NEW met1 ( 756930 2365890 ) M1M2_PR ;
- dout1_mem0\[19\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[19] ) ( merge_memory_inst dout_mem0[19] ) + USE SIGNAL
+ ROUTED met3 ( 479780 1925420 ) ( 480930 * )
NEW met2 ( 480930 1925420 ) ( * 1929330 )
NEW met4 ( 479780 1918200 ) ( * 1925420 )
NEW met4 ( 479630 1916010 0 ) ( * 1918200 )
NEW met4 ( 479630 1918200 ) ( 479780 * )
NEW met2 ( 759230 2375580 ) ( 765670 * 0 )
NEW met2 ( 759230 1929330 ) ( * 2375580 )
NEW met1 ( 480930 1929330 ) ( 759230 * )
NEW met3 ( 479780 1925420 ) M3M4_PR
NEW met2 ( 480930 1925420 ) M2M3_PR
NEW met1 ( 480930 1929330 ) M1M2_PR
NEW met1 ( 759230 1929330 ) M1M2_PR ;
- dout1_mem0\[1\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[1] ) ( merge_memory_inst dout_mem0[1] ) + USE SIGNAL
+ ROUTED met2 ( 608350 2363850 ) ( * 2375580 0 )
NEW met3 ( 255300 1928820 ) ( 261970 * )
NEW met4 ( 255300 1918200 ) ( * 1928820 )
NEW met4 ( 255230 1916010 0 ) ( * 1918200 )
NEW met4 ( 255230 1918200 ) ( 255300 * )
NEW met2 ( 261970 1928820 ) ( * 2363850 )
NEW met1 ( 261970 2363850 ) ( 608350 * )
NEW met1 ( 608350 2363850 ) M1M2_PR
NEW met3 ( 255300 1928820 ) M3M4_PR
NEW met2 ( 261970 1928820 ) M2M3_PR
NEW met1 ( 261970 2363850 ) M1M2_PR ;
- dout1_mem0\[20\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[20] ) ( merge_memory_inst dout_mem0[20] ) + USE SIGNAL
+ ROUTED met3 ( 493580 1925420 ) ( 493810 * )
NEW met2 ( 493810 1925420 ) ( * 1928990 )
NEW met4 ( 493580 1918200 ) ( * 1925420 )
NEW met4 ( 493230 1916010 0 ) ( * 1918200 )
NEW met4 ( 493230 1918200 ) ( 493580 * )
NEW met2 ( 773030 2375580 ) ( 774410 * 0 )
NEW met2 ( 773030 1928990 ) ( * 2375580 )
NEW met1 ( 493810 1928990 ) ( 773030 * )
NEW met3 ( 493580 1925420 ) M3M4_PR
NEW met2 ( 493810 1925420 ) M2M3_PR
NEW met1 ( 493810 1928990 ) M1M2_PR
NEW met1 ( 773030 1928990 ) M1M2_PR
NEW met3 ( 493580 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[21\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[21] ) ( merge_memory_inst dout_mem0[21] ) + USE SIGNAL
+ ROUTED met3 ( 505540 1926100 ) ( 510370 * )
NEW met4 ( 505540 1918200 ) ( * 1926100 )
NEW met4 ( 505470 1916010 0 ) ( * 1918200 )
NEW met4 ( 505470 1918200 ) ( 505540 * )
NEW met2 ( 510370 1926100 ) ( * 2366570 )
NEW met2 ( 783150 2366570 ) ( * 2375580 0 )
NEW met1 ( 510370 2366570 ) ( 783150 * )
NEW met3 ( 505540 1926100 ) M3M4_PR
NEW met2 ( 510370 1926100 ) M2M3_PR
NEW met1 ( 510370 2366570 ) M1M2_PR
NEW met1 ( 783150 2366570 ) M1M2_PR ;
- dout1_mem0\[22\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[22] ) ( merge_memory_inst dout_mem0[22] ) + USE SIGNAL
+ ROUTED met2 ( 786830 2375580 ) ( 791890 * 0 )
NEW met2 ( 786830 1928650 ) ( * 2375580 )
NEW met3 ( 518420 1925420 ) ( 522330 * )
NEW met2 ( 522330 1925420 ) ( * 1928650 )
NEW met1 ( 522330 1928650 ) ( 786830 * )
NEW met4 ( 518420 1918200 ) ( * 1925420 )
NEW met4 ( 517710 1916010 0 ) ( * 1918200 )
NEW met4 ( 517710 1918200 ) ( 518420 * )
NEW met1 ( 786830 1928650 ) M1M2_PR
NEW met3 ( 518420 1925420 ) M3M4_PR
NEW met2 ( 522330 1925420 ) M2M3_PR
NEW met1 ( 522330 1928650 ) M1M2_PR ;
- dout1_mem0\[23\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[23] ) ( merge_memory_inst dout_mem0[23] ) + USE SIGNAL
+ ROUTED met2 ( 800630 2366230 ) ( * 2375580 0 )
NEW met3 ( 530380 1931540 ) ( 531070 * )
NEW met4 ( 530380 1918200 ) ( * 1931540 )
NEW met4 ( 529950 1916010 0 ) ( * 1918200 )
NEW met4 ( 529950 1918200 ) ( 530380 * )
NEW met2 ( 531070 1931540 ) ( * 2366230 )
NEW met1 ( 531070 2366230 ) ( 800630 * )
NEW met1 ( 800630 2366230 ) M1M2_PR
NEW met3 ( 530380 1931540 ) M3M4_PR
NEW met2 ( 531070 1931540 ) M2M3_PR
NEW met1 ( 531070 2366230 ) M1M2_PR ;
- dout1_mem0\[24\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[24] ) ( merge_memory_inst dout_mem0[24] ) + USE SIGNAL
+ ROUTED met3 ( 542340 1927460 ) ( 543490 * )
NEW met2 ( 543490 1927460 ) ( * 1936470 )
NEW met1 ( 543490 1936470 ) ( 631350 * )
NEW met4 ( 542340 1918200 ) ( * 1927460 )
NEW met4 ( 542190 1916010 0 ) ( * 1918200 )
NEW met4 ( 542190 1918200 ) ( 542340 * )
NEW met2 ( 631350 1936470 ) ( * 2363850 )
NEW met2 ( 809370 2363850 ) ( * 2375580 0 )
NEW met1 ( 631350 2363850 ) ( 809370 * )
NEW met3 ( 542340 1927460 ) M3M4_PR
NEW met2 ( 543490 1927460 ) M2M3_PR
NEW met1 ( 543490 1936470 ) M1M2_PR
NEW met1 ( 631350 1936470 ) M1M2_PR
NEW met1 ( 631350 2363850 ) M1M2_PR
NEW met1 ( 809370 2363850 ) M1M2_PR ;
- dout1_mem0\[25\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[25] ) ( merge_memory_inst dout_mem0[25] ) + USE SIGNAL
+ ROUTED met3 ( 555220 1925420 ) ( 556370 * )
NEW met2 ( 556370 1925420 ) ( * 1928310 )
NEW met1 ( 556370 1928310 ) ( 814430 * )
NEW met4 ( 555220 1918200 ) ( * 1925420 )
NEW met4 ( 555110 1916010 0 ) ( * 1918200 )
NEW met4 ( 555110 1918200 ) ( 555220 * )
NEW met2 ( 814430 2375580 ) ( 818110 * 0 )
NEW met2 ( 814430 1928310 ) ( * 2375580 )
NEW met3 ( 555220 1925420 ) M3M4_PR
NEW met2 ( 556370 1925420 ) M2M3_PR
NEW met1 ( 556370 1928310 ) M1M2_PR
NEW met1 ( 814430 1928310 ) M1M2_PR ;
- dout1_mem0\[26\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[26] ) ( merge_memory_inst dout_mem0[26] ) + USE SIGNAL
+ ROUTED met3 ( 568100 1925420 ) ( 571090 * )
NEW met2 ( 571090 1925420 ) ( * 1927970 )
NEW met4 ( 568100 1918200 ) ( * 1925420 )
NEW met4 ( 568030 1916010 0 ) ( * 1918200 )
NEW met4 ( 568030 1918200 ) ( 568100 * )
NEW met1 ( 571090 1927970 ) ( 821330 * )
NEW met2 ( 821330 2375580 ) ( 826850 * 0 )
NEW met2 ( 821330 1927970 ) ( * 2375580 )
NEW met3 ( 568100 1925420 ) M3M4_PR
NEW met2 ( 571090 1925420 ) M2M3_PR
NEW met1 ( 571090 1927970 ) M1M2_PR
NEW met1 ( 821330 1927970 ) M1M2_PR ;
- dout1_mem0\[27\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[27] ) ( merge_memory_inst dout_mem0[27] ) + USE SIGNAL
+ ROUTED met3 ( 580060 1931540 ) ( 586270 * )
NEW met4 ( 580060 1918200 ) ( * 1931540 )
NEW met4 ( 580270 1916010 0 ) ( * 1918200 )
NEW met4 ( 580060 1918200 ) ( 580270 * )
NEW met2 ( 586270 1931540 ) ( * 2362490 )
NEW met2 ( 835590 2362490 ) ( * 2375580 0 )
NEW met1 ( 586270 2362490 ) ( 835590 * )
NEW met3 ( 580060 1931540 ) M3M4_PR
NEW met2 ( 586270 1931540 ) M2M3_PR
NEW met1 ( 586270 2362490 ) M1M2_PR
NEW met1 ( 835590 2362490 ) M1M2_PR ;
- dout1_mem0\[28\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[28] ) ( merge_memory_inst dout_mem0[28] ) + USE SIGNAL
+ ROUTED met3 ( 592940 1925420 ) ( 593170 * )
NEW met2 ( 593170 1925420 ) ( * 1931710 )
NEW met4 ( 592940 1918200 ) ( * 1925420 )
NEW met4 ( 592510 1916010 0 ) ( * 1918200 )
NEW met4 ( 592510 1918200 ) ( 592940 * )
NEW met1 ( 593170 1931710 ) ( 842030 * )
NEW met2 ( 842030 2375580 ) ( 844330 * 0 )
NEW met2 ( 842030 1931710 ) ( * 2375580 )
NEW met3 ( 592940 1925420 ) M3M4_PR
NEW met2 ( 593170 1925420 ) M2M3_PR
NEW met1 ( 593170 1931710 ) M1M2_PR
NEW met1 ( 842030 1931710 ) M1M2_PR
NEW met3 ( 592940 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[29\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[29] ) ( merge_memory_inst dout_mem0[29] ) + USE SIGNAL
+ ROUTED met3 ( 605820 1926100 ) ( 606970 * )
NEW met2 ( 606970 1926100 ) ( * 1936810 )
NEW met4 ( 605820 1918200 ) ( * 1926100 )
NEW met4 ( 605430 1916010 0 ) ( * 1918200 )
NEW met4 ( 605430 1918200 ) ( 605820 * )
NEW met1 ( 606970 1936810 ) ( 631810 * )
NEW met2 ( 631810 1936810 ) ( * 2363510 )
NEW met2 ( 853070 2363510 ) ( * 2375580 0 )
NEW met1 ( 631810 2363510 ) ( 853070 * )
NEW met3 ( 605820 1926100 ) M3M4_PR
NEW met2 ( 606970 1926100 ) M2M3_PR
NEW met1 ( 606970 1936810 ) M1M2_PR
NEW met1 ( 631810 1936810 ) M1M2_PR
NEW met1 ( 631810 2363510 ) M1M2_PR
NEW met1 ( 853070 2363510 ) M1M2_PR ;
- dout1_mem0\[2\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[2] ) ( merge_memory_inst dout_mem0[2] ) + USE SIGNAL
+ ROUTED met3 ( 267260 1931540 ) ( 268870 * )
NEW met4 ( 267260 1918200 ) ( * 1931540 )
NEW met4 ( 267470 1916010 0 ) ( * 1918200 )
NEW met4 ( 267260 1918200 ) ( 267470 * )
NEW met2 ( 268870 1931540 ) ( * 2363170 )
NEW met2 ( 617090 2363170 ) ( * 2375580 0 )
NEW met1 ( 268870 2363170 ) ( 617090 * )
NEW met3 ( 267260 1931540 ) M3M4_PR
NEW met2 ( 268870 1931540 ) M2M3_PR
NEW met1 ( 268870 2363170 ) M1M2_PR
NEW met1 ( 617090 2363170 ) M1M2_PR ;
- dout1_mem0\[30\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[30] ) ( merge_memory_inst dout_mem0[30] ) + USE SIGNAL
+ ROUTED met2 ( 861810 2363170 ) ( * 2375580 0 )
NEW met3 ( 616860 1931540 ) ( 617090 * )
NEW met2 ( 617090 1931540 ) ( * 1935110 )
NEW met1 ( 617090 1935110 ) ( 638250 * )
NEW met4 ( 616860 1918200 ) ( * 1931540 )
NEW met4 ( 616990 1916010 0 ) ( * 1918200 )
NEW met4 ( 616860 1918200 ) ( 616990 * )
NEW met2 ( 638250 1935110 ) ( * 2363170 )
NEW met1 ( 638250 2363170 ) ( 861810 * )
NEW met1 ( 861810 2363170 ) M1M2_PR
NEW met3 ( 616860 1931540 ) M3M4_PR
NEW met2 ( 617090 1931540 ) M2M3_PR
NEW met1 ( 617090 1935110 ) M1M2_PR
NEW met1 ( 638250 1935110 ) M1M2_PR
NEW met1 ( 638250 2363170 ) M1M2_PR
NEW met3 ( 616860 1931540 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[31\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[31] ) ( merge_memory_inst dout_mem0[31] ) + USE SIGNAL
+ ROUTED met2 ( 869630 2375580 ) ( 870550 * 0 )
NEW met2 ( 869630 1931370 ) ( * 2375580 )
NEW met3 ( 630660 1925420 ) ( 632730 * )
NEW met2 ( 632730 1925420 ) ( * 1931370 )
NEW met1 ( 632730 1931370 ) ( 869630 * )
NEW met4 ( 630660 1918200 ) ( * 1925420 )
NEW met4 ( 630590 1916010 0 ) ( * 1918200 )
NEW met4 ( 630590 1918200 ) ( 630660 * )
NEW met1 ( 869630 1931370 ) M1M2_PR
NEW met3 ( 630660 1925420 ) M3M4_PR
NEW met2 ( 632730 1925420 ) M2M3_PR
NEW met1 ( 632730 1931370 ) M1M2_PR ;
- dout1_mem0\[3\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[3] ) ( merge_memory_inst dout_mem0[3] ) + USE SIGNAL
+ ROUTED met4 ( 281060 1918200 ) ( * 1928140 )
NEW met4 ( 281070 1916010 0 ) ( * 1918200 )
NEW met4 ( 281060 1918200 ) ( 281070 * )
NEW met3 ( 281060 1928140 ) ( 621230 * )
NEW met2 ( 621230 2375580 ) ( 625830 * 0 )
NEW met2 ( 621230 1928140 ) ( * 2375580 )
NEW met3 ( 281060 1928140 ) M3M4_PR
NEW met2 ( 621230 1928140 ) M2M3_PR ;
- dout1_mem0\[4\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[4] ) ( merge_memory_inst dout_mem0[4] ) + USE SIGNAL
+ ROUTED met3 ( 293020 1931540 ) ( 296470 * )
NEW met4 ( 293020 1918200 ) ( * 1931540 )
NEW met4 ( 292630 1916010 0 ) ( * 1918200 )
NEW met4 ( 292630 1918200 ) ( 293020 * )
NEW met2 ( 296470 1931540 ) ( * 2364190 )
NEW met2 ( 634570 2364190 ) ( * 2375580 0 )
NEW met1 ( 296470 2364190 ) ( 634570 * )
NEW met3 ( 293020 1931540 ) M3M4_PR
NEW met2 ( 296470 1931540 ) M2M3_PR
NEW met1 ( 296470 2364190 ) M1M2_PR
NEW met1 ( 634570 2364190 ) M1M2_PR ;
- dout1_mem0\[5\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[5] ) ( merge_memory_inst dout_mem0[5] ) + USE SIGNAL
+ ROUTED met3 ( 305900 1925420 ) ( 306130 * )
NEW met2 ( 306130 1925420 ) ( * 1927290 )
NEW met4 ( 305900 1918200 ) ( * 1925420 )
NEW met4 ( 305550 1916010 0 ) ( * 1918200 )
NEW met4 ( 305550 1918200 ) ( 305900 * )
NEW met1 ( 306130 1927290 ) ( 641930 * )
NEW met2 ( 641930 2375580 ) ( 643310 * 0 )
NEW met2 ( 641930 1927290 ) ( * 2375580 )
NEW met3 ( 305900 1925420 ) M3M4_PR
NEW met2 ( 306130 1925420 ) M2M3_PR
NEW met1 ( 306130 1927290 ) M1M2_PR
NEW met1 ( 641930 1927290 ) M1M2_PR
NEW met3 ( 305900 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[6\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[6] ) ( merge_memory_inst dout_mem0[6] ) + USE SIGNAL
+ ROUTED met3 ( 317860 1931540 ) ( 324070 * )
NEW met4 ( 317860 1918200 ) ( * 1931540 )
NEW met4 ( 317790 1916010 0 ) ( * 1918200 )
NEW met4 ( 317790 1918200 ) ( 317860 * )
NEW met2 ( 324070 1931540 ) ( * 2364530 )
NEW met2 ( 652050 2364530 ) ( * 2375580 0 )
NEW met1 ( 324070 2364530 ) ( 652050 * )
NEW met3 ( 317860 1931540 ) M3M4_PR
NEW met2 ( 324070 1931540 ) M2M3_PR
NEW met1 ( 324070 2364530 ) M1M2_PR
NEW met1 ( 652050 2364530 ) M1M2_PR ;
- dout1_mem0\[7\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[7] ) ( merge_memory_inst dout_mem0[7] ) + USE SIGNAL
+ ROUTED met3 ( 330740 1925420 ) ( 330970 * )
NEW met2 ( 330970 1925420 ) ( * 1926950 )
NEW met1 ( 330970 1926950 ) ( 655730 * )
NEW met4 ( 330740 1918200 ) ( * 1925420 )
NEW met4 ( 330710 1916010 0 ) ( * 1918200 )
NEW met4 ( 330710 1918200 ) ( 330740 * )
NEW met2 ( 655730 2375580 ) ( 660790 * 0 )
NEW met2 ( 655730 1926950 ) ( * 2375580 )
NEW met3 ( 330740 1925420 ) M3M4_PR
NEW met2 ( 330970 1925420 ) M2M3_PR
NEW met1 ( 330970 1926950 ) M1M2_PR
NEW met1 ( 655730 1926950 ) M1M2_PR
NEW met3 ( 330740 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem0\[8\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[8] ) ( merge_memory_inst dout_mem0[8] ) + USE SIGNAL
+ ROUTED met2 ( 669530 2364870 ) ( * 2375580 0 )
NEW met3 ( 342700 1931540 ) ( 344770 * )
NEW met4 ( 342700 1918200 ) ( * 1931540 )
NEW met4 ( 342950 1916010 0 ) ( * 1918200 )
NEW met4 ( 342700 1918200 ) ( 342950 * )
NEW met2 ( 344770 1931540 ) ( * 2364870 )
NEW met1 ( 344770 2364870 ) ( 669530 * )
NEW met1 ( 669530 2364870 ) M1M2_PR
NEW met3 ( 342700 1931540 ) M3M4_PR
NEW met2 ( 344770 1931540 ) M2M3_PR
NEW met1 ( 344770 2364870 ) M1M2_PR ;
- dout1_mem0\[9\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 dout1[9] ) ( merge_memory_inst dout_mem0[9] ) + USE SIGNAL
+ ROUTED met2 ( 676430 2375580 ) ( 678270 * 0 )
NEW met2 ( 676430 1931030 ) ( * 2375580 )
NEW met3 ( 355580 1925420 ) ( 355810 * )
NEW met2 ( 355810 1925420 ) ( * 1931030 )
NEW met1 ( 355810 1931030 ) ( 676430 * )
NEW met4 ( 355580 1918200 ) ( * 1925420 )
NEW met4 ( 355870 1916010 0 ) ( * 1918200 )
NEW met4 ( 355580 1918200 ) ( 355870 * )
NEW met1 ( 676430 1931030 ) M1M2_PR
NEW met3 ( 355580 1925420 ) M3M4_PR
NEW met2 ( 355810 1925420 ) M2M3_PR
NEW met1 ( 355810 1931030 ) M1M2_PR
NEW met3 ( 355580 1925420 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem1\[0\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[0] ) ( merge_memory_inst dout_mem1[0] ) + USE SIGNAL
+ ROUTED met2 ( 966230 2375580 ) ( 966690 * 0 )
NEW met2 ( 966230 1931710 ) ( * 2375580 )
NEW met2 ( 1042590 1929500 ) ( * 1931710 )
NEW met3 ( 1042590 1929500 ) ( 1043740 * )
NEW met1 ( 966230 1931710 ) ( 1042590 * )
NEW met4 ( 1043740 1918200 ) ( * 1929500 )
NEW met4 ( 1043670 1916010 0 ) ( * 1918200 )
NEW met4 ( 1043670 1918200 ) ( 1043740 * )
NEW met1 ( 966230 1931710 ) M1M2_PR
NEW met1 ( 1042590 1931710 ) M1M2_PR
NEW met2 ( 1042590 1929500 ) M2M3_PR
NEW met3 ( 1043740 1929500 ) M3M4_PR ;
- dout1_mem1\[10\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[10] ) ( merge_memory_inst dout_mem1[10] ) + USE SIGNAL
+ ROUTED met2 ( 1166330 1930860 ) ( * 1931370 )
NEW met3 ( 1166330 1930860 ) ( 1167940 * )
NEW met2 ( 1049030 2375580 ) ( 1054090 * 0 )
NEW met2 ( 1049030 1931370 ) ( * 2375580 )
NEW met4 ( 1167940 1918200 ) ( * 1930860 )
NEW met4 ( 1168110 1916010 0 ) ( * 1918200 )
NEW met4 ( 1167940 1918200 ) ( 1168110 * )
NEW met1 ( 1049030 1931370 ) ( 1166330 * )
NEW met1 ( 1049030 1931370 ) M1M2_PR
NEW met1 ( 1166330 1931370 ) M1M2_PR
NEW met2 ( 1166330 1930860 ) M2M3_PR
NEW met3 ( 1167940 1930860 ) M3M4_PR ;
- dout1_mem1\[11\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[11] ) ( merge_memory_inst dout_mem1[11] ) + USE SIGNAL
+ ROUTED met2 ( 1180590 1931540 ) ( * 1931710 )
NEW met3 ( 1180590 1931540 ) ( 1180820 * )
NEW met2 ( 1062830 1931710 ) ( * 2375580 0 )
NEW met4 ( 1180820 1918200 ) ( * 1931540 )
NEW met4 ( 1181030 1916010 0 ) ( * 1918200 )
NEW met4 ( 1180820 1918200 ) ( 1181030 * )
NEW met1 ( 1062830 1931710 ) ( 1180590 * )
NEW met1 ( 1062830 1931710 ) M1M2_PR
NEW met1 ( 1180590 1931710 ) M1M2_PR
NEW met2 ( 1180590 1931540 ) M2M3_PR
NEW met3 ( 1180820 1931540 ) M3M4_PR
NEW met3 ( 1180590 1931540 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem1\[12\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[12] ) ( merge_memory_inst dout_mem1[12] ) + USE SIGNAL
+ ROUTED met2 ( 1187030 1930180 ) ( * 1930690 )
NEW met3 ( 1187030 1930180 ) ( 1192780 * )
NEW met2 ( 1069730 2375580 ) ( 1071570 * 0 )
NEW met2 ( 1069730 1930690 ) ( * 2375580 )
NEW met4 ( 1192780 1918200 ) ( * 1930180 )
NEW met4 ( 1192590 1916010 0 ) ( * 1918200 )
NEW met4 ( 1192590 1918200 ) ( 1192780 * )
NEW met1 ( 1069730 1930690 ) ( 1187030 * )
NEW met1 ( 1069730 1930690 ) M1M2_PR
NEW met1 ( 1187030 1930690 ) M1M2_PR
NEW met2 ( 1187030 1930180 ) M2M3_PR
NEW met3 ( 1192780 1930180 ) M3M4_PR ;
- dout1_mem1\[13\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[13] ) ( merge_memory_inst dout_mem1[13] ) + USE SIGNAL
+ ROUTED met2 ( 1076630 2375580 ) ( 1080310 * 0 )
NEW met2 ( 1076630 1931030 ) ( * 2375580 )
NEW met2 ( 1200830 1930860 ) ( * 1931030 )
NEW met3 ( 1200830 1930860 ) ( 1204740 * )
NEW met1 ( 1076630 1931030 ) ( 1200830 * )
NEW met4 ( 1204740 1918200 ) ( * 1930860 )
NEW met4 ( 1204830 1916010 0 ) ( * 1918200 )
NEW met4 ( 1204740 1918200 ) ( 1204830 * )
NEW met1 ( 1076630 1931030 ) M1M2_PR
NEW met1 ( 1200830 1931030 ) M1M2_PR
NEW met2 ( 1200830 1930860 ) M2M3_PR
NEW met3 ( 1204740 1930860 ) M3M4_PR ;
- dout1_mem1\[14\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[14] ) ( merge_memory_inst dout_mem1[14] ) + USE SIGNAL
+ ROUTED met2 ( 1089050 2362830 ) ( * 2375580 0 )
NEW met3 ( 1215090 1931540 ) ( 1218540 * )
NEW met4 ( 1218540 1918200 ) ( * 1931540 )
NEW met4 ( 1218430 1916010 0 ) ( * 1918200 )
NEW met4 ( 1218430 1918200 ) ( 1218540 * )
NEW met1 ( 1089050 2362830 ) ( 1215090 * )
NEW met2 ( 1215090 1931540 ) ( * 2362830 )
NEW met1 ( 1089050 2362830 ) M1M2_PR
NEW met2 ( 1215090 1931540 ) M2M3_PR
NEW met3 ( 1218540 1931540 ) M3M4_PR
NEW met1 ( 1215090 2362830 ) M1M2_PR ;
- dout1_mem1\[15\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[15] ) ( merge_memory_inst dout_mem1[15] ) + USE SIGNAL
+ ROUTED met3 ( 1228890 1931540 ) ( 1230500 * )
NEW met2 ( 1097790 2365890 ) ( * 2375580 0 )
NEW met4 ( 1230500 1918200 ) ( * 1931540 )
NEW met4 ( 1230670 1916010 0 ) ( * 1918200 )
NEW met4 ( 1230500 1918200 ) ( 1230670 * )
NEW met1 ( 1097790 2365890 ) ( 1228890 * )
NEW met2 ( 1228890 1931540 ) ( * 2365890 )
NEW met2 ( 1228890 1931540 ) M2M3_PR
NEW met3 ( 1230500 1931540 ) M3M4_PR
NEW met1 ( 1097790 2365890 ) M1M2_PR
NEW met1 ( 1228890 2365890 ) M1M2_PR ;
- dout1_mem1\[16\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[16] ) ( merge_memory_inst dout_mem1[16] ) + USE SIGNAL
+ ROUTED met3 ( 1242230 1931540 ) ( 1242460 * )
NEW met4 ( 1242460 1918200 ) ( * 1931540 )
NEW met4 ( 1242910 1916010 0 ) ( * 1918200 )
NEW met4 ( 1242460 1918200 ) ( 1242910 * )
NEW met2 ( 1242230 1931540 ) ( * 2366230 )
NEW met2 ( 1106530 2366230 ) ( * 2375580 0 )
NEW met1 ( 1106530 2366230 ) ( 1242230 * )
NEW met2 ( 1242230 1931540 ) M2M3_PR
NEW met3 ( 1242460 1931540 ) M3M4_PR
NEW met1 ( 1242230 2366230 ) M1M2_PR
NEW met1 ( 1106530 2366230 ) M1M2_PR
NEW met3 ( 1242460 1931540 ) RECT ( 0 -150 390 150 ) ;
- dout1_mem1\[17\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[17] ) ( merge_memory_inst dout_mem1[17] ) + USE SIGNAL
+ ROUTED met3 ( 1249130 1931540 ) ( 1255340 * )
NEW met4 ( 1255340 1918200 ) ( * 1931540 )
NEW met4 ( 1255150 1916010 0 ) ( * 1918200 )
NEW met4 ( 1255150 1918200 ) ( 1255340 * )
NEW met2 ( 1249130 1931540 ) ( * 2366570 )
NEW met2 ( 1115270 2366570 ) ( * 2375580 0 )
NEW met1 ( 1115270 2366570 ) ( 1249130 * )
NEW met2 ( 1249130 1931540 ) M2M3_PR
NEW met3 ( 1255340 1931540 ) M3M4_PR
NEW met1 ( 1249130 2366570 ) M1M2_PR
NEW met1 ( 1115270 2366570 ) M1M2_PR ;
- dout1_mem1\[18\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[18] ) ( merge_memory_inst dout_mem1[18] ) + USE SIGNAL
+ ROUTED met2 ( 1262930 1930180 ) ( * 1935790 )
NEW met3 ( 1262930 1930180 ) ( 1268220 * )
NEW met4 ( 1268220 1918200 ) ( * 1930180 )
NEW met4 ( 1268070 1916010 0 ) ( * 1918200 )
NEW met4 ( 1268070 1918200 ) ( 1268220 * )
NEW met1 ( 1118030 1935790 ) ( 1262930 * )
NEW met2 ( 1118030 2375580 ) ( 1124010 * 0 )
NEW met2 ( 1118030 1935790 ) ( * 2375580 )
NEW met1 ( 1262930 1935790 ) M1M2_PR
NEW met2 ( 1262930 1930180 ) M2M3_PR
NEW met3 ( 1268220 1930180 ) M3M4_PR
NEW met1 ( 1118030 1935790 ) M1M2_PR ;
- dout1_mem1\[19\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[19] ) ( merge_memory_inst dout_mem1[19] ) + USE SIGNAL
+ ROUTED met2 ( 1276730 1926100 ) ( * 1928310 )
NEW met3 ( 1276730 1926100 ) ( 1279260 * )
NEW met4 ( 1279260 1918200 ) ( * 1926100 )
NEW met4 ( 1279630 1916010 0 ) ( * 1918200 )
NEW met4 ( 1279260 1918200 ) ( 1279630 * )
NEW met1 ( 1131830 1928310 ) ( 1276730 * )
NEW met2 ( 1131830 2375580 ) ( 1132750 * 0 )
NEW met2 ( 1131830 1928310 ) ( * 2375580 )
NEW met1 ( 1276730 1928310 ) M1M2_PR
NEW met2 ( 1276730 1926100 ) M2M3_PR
NEW met3 ( 1279260 1926100 ) M3M4_PR
NEW met1 ( 1131830 1928310 ) M1M2_PR ;
- dout1_mem1\[1\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[1] ) ( merge_memory_inst dout_mem1[1] ) + USE SIGNAL
+ ROUTED met2 ( 1050870 1928820 ) ( * 1928990 )
NEW met3 ( 1050870 1928820 ) ( 1054780 * )
NEW met2 ( 973130 2375580 ) ( 975430 * 0 )
NEW met2 ( 973130 1928990 ) ( * 2375580 )
NEW met4 ( 1054780 1918200 ) ( * 1928820 )
NEW met4 ( 1055230 1916010 0 ) ( * 1918200 )
NEW met4 ( 1054780 1918200 ) ( 1055230 * )
NEW met1 ( 973130 1928990 ) ( 1050870 * )
NEW met1 ( 973130 1928990 ) M1M2_PR
NEW met1 ( 1050870 1928990 ) M1M2_PR
NEW met2 ( 1050870 1928820 ) M2M3_PR
NEW met3 ( 1054780 1928820 ) M3M4_PR ;
- dout1_mem1\[20\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[20] ) ( merge_memory_inst dout_mem1[20] ) + USE SIGNAL
+ ROUTED met2 ( 1291910 1931540 ) ( * 1935450 )
NEW met3 ( 1291910 1931540 ) ( 1293060 * )
NEW met1 ( 1138730 1935450 ) ( 1291910 * )
NEW met2 ( 1138730 2375580 ) ( 1141490 * 0 )
NEW met2 ( 1138730 1935450 ) ( * 2375580 )
NEW met4 ( 1293060 1918200 ) ( * 1931540 )
NEW met4 ( 1293230 1916010 0 ) ( * 1918200 )
NEW met4 ( 1293060 1918200 ) ( 1293230 * )
NEW met1 ( 1138730 1935450 ) M1M2_PR
NEW met1 ( 1291910 1935450 ) M1M2_PR
NEW met2 ( 1291910 1931540 ) M2M3_PR
NEW met3 ( 1293060 1931540 ) M3M4_PR ;
- dout1_mem1\[21\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[21] ) ( merge_memory_inst dout_mem1[21] ) + USE SIGNAL
+ ROUTED met2 ( 1145630 2375580 ) ( 1150230 * 0 )
NEW met2 ( 1145630 1928650 ) ( * 2375580 )
NEW met2 ( 1304330 1928140 ) ( * 1928650 )
NEW met3 ( 1304330 1928140 ) ( 1305020 * )
NEW met1 ( 1145630 1928650 ) ( 1304330 * )
NEW met4 ( 1305020 1918200 ) ( * 1928140 )
NEW met4 ( 1305470 1916010 0 ) ( * 1918200 )
NEW met4 ( 1305020 1918200 ) ( 1305470 * )
NEW met1 ( 1145630 1928650 ) M1M2_PR
NEW met1 ( 1304330 1928650 ) M1M2_PR
NEW met2 ( 1304330 1928140 ) M2M3_PR
NEW met3 ( 1305020 1928140 ) M3M4_PR ;
- dout1_mem1\[22\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[22] ) ( merge_memory_inst dout_mem1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1152530 2014800 ) ( 1152990 * )
NEW met2 ( 1152990 1927630 ) ( * 2014800 )
NEW met2 ( 1152530 2375580 ) ( 1158970 * 0 )
NEW met2 ( 1152530 2014800 ) ( * 2375580 )
NEW met2 ( 1318130 1927460 ) ( * 1927630 )
NEW met3 ( 1317900 1927460 ) ( 1318130 * )
NEW met1 ( 1152990 1927630 ) ( 1318130 * )
NEW met4 ( 1317900 1918200 ) ( * 1927460 )
NEW met4 ( 1317710 1916010 0 ) ( * 1918200 )
NEW met4 ( 1317710 1918200 ) ( 1317900 * )
NEW met1 ( 1152990 1927630 ) M1M2_PR
NEW met1 ( 1318130 1927630 ) M1M2_PR
NEW met2 ( 1318130 1927460 ) M2M3_PR
NEW met3 ( 1317900 1927460 ) M3M4_PR
NEW met3 ( 1318130 1927460 ) RECT ( 0 -150 390 150 ) ;
- dout1_mem1\[23\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[23] ) ( merge_memory_inst dout_mem1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1166330 2014800 ) ( 1166790 * )
NEW met2 ( 1166790 1927970 ) ( * 2014800 )
NEW met2 ( 1166330 2375580 ) ( 1167710 * 0 )
NEW met2 ( 1166330 2014800 ) ( * 2375580 )
NEW met2 ( 1325030 1927460 ) ( * 1927970 )
NEW met3 ( 1325030 1927460 ) ( 1329860 * )
NEW met1 ( 1166790 1927970 ) ( 1325030 * )
NEW met4 ( 1329860 1918200 ) ( * 1927460 )
NEW met4 ( 1329950 1916010 0 ) ( * 1918200 )
NEW met4 ( 1329860 1918200 ) ( 1329950 * )
NEW met1 ( 1166790 1927970 ) M1M2_PR
NEW met1 ( 1325030 1927970 ) M1M2_PR
NEW met2 ( 1325030 1927460 ) M2M3_PR
NEW met3 ( 1329860 1927460 ) M3M4_PR ;
- dout1_mem1\[24\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[24] ) ( merge_memory_inst dout_mem1[24] ) + USE SIGNAL
+ ROUTED met2 ( 1340210 1928820 ) ( * 1928990 )
NEW met3 ( 1340210 1928820 ) ( 1341820 * )
NEW met2 ( 1173230 2375580 ) ( 1176450 * 0 )
NEW met2 ( 1173230 1928990 ) ( * 2375580 )
NEW met4 ( 1341820 1918200 ) ( * 1928820 )
NEW met4 ( 1342190 1916010 0 ) ( * 1918200 )
NEW met4 ( 1341820 1918200 ) ( 1342190 * )
NEW met1 ( 1173230 1928990 ) ( 1340210 * )
NEW met1 ( 1173230 1928990 ) M1M2_PR
NEW met1 ( 1340210 1928990 ) M1M2_PR
NEW met2 ( 1340210 1928820 ) M2M3_PR
NEW met3 ( 1341820 1928820 ) M3M4_PR ;
- dout1_mem1\[25\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[25] ) ( merge_memory_inst dout_mem1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1352630 1926100 ) ( * 1929330 )
NEW met3 ( 1352630 1926100 ) ( 1354700 * )
NEW met2 ( 1180130 2375580 ) ( 1185190 * 0 )
NEW met2 ( 1180130 1929330 ) ( * 2375580 )
NEW met4 ( 1354700 1918200 ) ( * 1926100 )
NEW met4 ( 1355110 1916010 0 ) ( * 1918200 )
NEW met4 ( 1354700 1918200 ) ( 1355110 * )
NEW met1 ( 1180130 1929330 ) ( 1352630 * )
NEW met1 ( 1180130 1929330 ) M1M2_PR
NEW met1 ( 1352630 1929330 ) M1M2_PR
NEW met2 ( 1352630 1926100 ) M2M3_PR
NEW met3 ( 1354700 1926100 ) M3M4_PR ;
- dout1_mem1\[26\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[26] ) ( merge_memory_inst dout_mem1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1366430 1928140 ) ( * 1929670 )
NEW met3 ( 1366430 1928140 ) ( 1367580 * )
NEW met4 ( 1367580 1918200 ) ( * 1928140 )
NEW met4 ( 1368030 1916010 0 ) ( * 1918200 )
NEW met4 ( 1367580 1918200 ) ( 1368030 * )
NEW met1 ( 1193930 1929670 ) ( 1366430 * )
NEW met2 ( 1193930 1929670 ) ( * 2375580 0 )
NEW met1 ( 1366430 1929670 ) M1M2_PR
NEW met2 ( 1366430 1928140 ) M2M3_PR
NEW met3 ( 1367580 1928140 ) M3M4_PR
NEW met1 ( 1193930 1929670 ) M1M2_PR ;
- dout1_mem1\[27\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[27] ) ( merge_memory_inst dout_mem1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 1926100 ) ( * 1930350 )
NEW met3 ( 1380230 1926100 ) ( 1380460 * )
NEW met4 ( 1380460 1918200 ) ( * 1926100 )
NEW met4 ( 1380270 1916010 0 ) ( * 1918200 )
NEW met4 ( 1380270 1918200 ) ( 1380460 * )
NEW met1 ( 1201290 1930350 ) ( 1380230 * )
NEW met2 ( 1200830 2014800 ) ( 1201290 * )
NEW met2 ( 1201290 1930350 ) ( * 2014800 )
NEW met2 ( 1200830 2375580 ) ( 1202670 * 0 )
NEW met2 ( 1200830 2014800 ) ( * 2375580 )
NEW met1 ( 1380230 1930350 ) M1M2_PR
NEW met2 ( 1380230 1926100 ) M2M3_PR
NEW met3 ( 1380460 1926100 ) M3M4_PR
NEW met1 ( 1201290 1930350 ) M1M2_PR
NEW met3 ( 1380230 1926100 ) RECT ( -390 -150 0 150 ) ;
- dout1_mem1\[28\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[28] ) ( merge_memory_inst dout_mem1[28] ) + USE SIGNAL
+ ROUTED met2 ( 1389430 1929500 ) ( * 1930010 )
NEW met3 ( 1389430 1929500 ) ( 1392420 * )
NEW met1 ( 1207730 1930010 ) ( 1389430 * )
NEW met2 ( 1207730 2375580 ) ( 1211410 * 0 )
NEW met2 ( 1207730 1930010 ) ( * 2375580 )
NEW met4 ( 1392420 1918200 ) ( * 1929500 )
NEW met4 ( 1392510 1916010 0 ) ( * 1918200 )
NEW met4 ( 1392420 1918200 ) ( 1392510 * )
NEW met1 ( 1207730 1930010 ) M1M2_PR
NEW met1 ( 1389430 1930010 ) M1M2_PR
NEW met2 ( 1389430 1929500 ) M2M3_PR
NEW met3 ( 1392420 1929500 ) M3M4_PR ;
- dout1_mem1\[29\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[29] ) ( merge_memory_inst dout_mem1[29] ) + USE SIGNAL
+ ROUTED met2 ( 1400930 1926780 ) ( * 1927290 )
NEW met3 ( 1400930 1926780 ) ( 1405300 * )
NEW met1 ( 1214630 1927290 ) ( 1400930 * )
NEW met2 ( 1214630 2375580 ) ( 1220150 * 0 )
NEW met2 ( 1214630 1927290 ) ( * 2375580 )
NEW met4 ( 1405300 1918200 ) ( * 1926780 )
NEW met4 ( 1405430 1916010 0 ) ( * 1918200 )
NEW met4 ( 1405300 1918200 ) ( 1405430 * )
NEW met1 ( 1214630 1927290 ) M1M2_PR
NEW met1 ( 1400930 1927290 ) M1M2_PR
NEW met2 ( 1400930 1926780 ) M2M3_PR
NEW met3 ( 1405300 1926780 ) M3M4_PR ;
- dout1_mem1\[2\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[2] ) ( merge_memory_inst dout_mem1[2] ) + USE SIGNAL
+ ROUTED met2 ( 1062830 1930860 ) ( * 1931030 )
NEW met3 ( 1062830 1930860 ) ( 1067660 * )
NEW met2 ( 980030 2375580 ) ( 984170 * 0 )
NEW met2 ( 980030 1931030 ) ( * 2375580 )
NEW met4 ( 1067660 1918200 ) ( * 1930860 )
NEW met4 ( 1067470 1916010 0 ) ( * 1918200 )
NEW met4 ( 1067470 1918200 ) ( 1067660 * )
NEW met1 ( 980030 1931030 ) ( 1062830 * )
NEW met1 ( 980030 1931030 ) M1M2_PR
NEW met1 ( 1062830 1931030 ) M1M2_PR
NEW met2 ( 1062830 1930860 ) M2M3_PR
NEW met3 ( 1067660 1930860 ) M3M4_PR ;
- dout1_mem1\[30\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[30] ) ( merge_memory_inst dout_mem1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1414730 1928140 ) ( * 1930690 )
NEW met3 ( 1414730 1928140 ) ( 1417260 * )
NEW met1 ( 1228430 1930690 ) ( 1414730 * )
NEW met2 ( 1228430 2375580 ) ( 1228890 * 0 )
NEW met2 ( 1228430 1930690 ) ( * 2375580 )
NEW met4 ( 1417260 1918200 ) ( * 1928140 )
NEW met4 ( 1416990 1916010 0 ) ( * 1918200 )
NEW met4 ( 1416990 1918200 ) ( 1417260 * )
NEW met1 ( 1228430 1930690 ) M1M2_PR
NEW met1 ( 1414730 1930690 ) M1M2_PR
NEW met2 ( 1414730 1928140 ) M2M3_PR
NEW met3 ( 1417260 1928140 ) M3M4_PR ;
- dout1_mem1\[31\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[31] ) ( merge_memory_inst dout_mem1[31] ) + USE SIGNAL
+ ROUTED met1 ( 1390350 1931030 ) ( 1428530 * )
NEW met2 ( 1428530 1930860 ) ( * 1931030 )
NEW met3 ( 1428530 1930860 ) ( 1430140 * )
NEW met2 ( 1237630 2365890 ) ( * 2375580 0 )
NEW met4 ( 1430140 1918200 ) ( * 1930860 )
NEW met4 ( 1430590 1916010 0 ) ( * 1918200 )
NEW met4 ( 1430140 1918200 ) ( 1430590 * )
NEW met1 ( 1237630 2365890 ) ( 1390350 * )
NEW met2 ( 1390350 1931030 ) ( * 2365890 )
NEW met1 ( 1390350 1931030 ) M1M2_PR
NEW met1 ( 1428530 1931030 ) M1M2_PR
NEW met2 ( 1428530 1930860 ) M2M3_PR
NEW met3 ( 1430140 1930860 ) M3M4_PR
NEW met1 ( 1237630 2365890 ) M1M2_PR
NEW met1 ( 1390350 2365890 ) M1M2_PR ;
- dout1_mem1\[3\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[3] ) ( merge_memory_inst dout_mem1[3] ) + USE SIGNAL
+ ROUTED met2 ( 1076630 1926100 ) ( * 1927970 )
NEW met3 ( 1076630 1926100 ) ( 1080540 * )
NEW met2 ( 986930 2375580 ) ( 992910 * 0 )
NEW met2 ( 986930 1927970 ) ( * 2375580 )
NEW met4 ( 1080540 1918200 ) ( * 1926100 )
NEW met4 ( 1081070 1916010 0 ) ( * 1918200 )
NEW met4 ( 1080540 1918200 ) ( 1081070 * )
NEW met1 ( 986930 1927970 ) ( 1076630 * )
NEW met1 ( 986930 1927970 ) M1M2_PR
NEW met1 ( 1076630 1927970 ) M1M2_PR
NEW met2 ( 1076630 1926100 ) M2M3_PR
NEW met3 ( 1080540 1926100 ) M3M4_PR ;
- dout1_mem1\[4\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[4] ) ( merge_memory_inst dout_mem1[4] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 1925420 ) ( * 1928310 )
NEW met3 ( 1090430 1925420 ) ( 1092500 * )
NEW met4 ( 1092500 1918200 ) ( * 1925420 )
NEW met4 ( 1092630 1916010 0 ) ( * 1918200 )
NEW met4 ( 1092500 1918200 ) ( 1092630 * )
NEW met1 ( 1000730 1928310 ) ( 1090430 * )
NEW met2 ( 1000730 2375580 ) ( 1001650 * 0 )
NEW met2 ( 1000730 1928310 ) ( * 2375580 )
NEW met1 ( 1090430 1928310 ) M1M2_PR
NEW met2 ( 1090430 1925420 ) M2M3_PR
NEW met3 ( 1092500 1925420 ) M3M4_PR
NEW met1 ( 1000730 1928310 ) M1M2_PR ;
- dout1_mem1\[5\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[5] ) ( merge_memory_inst dout_mem1[5] ) + USE SIGNAL
+ ROUTED met2 ( 1104230 1928140 ) ( * 1928650 )
NEW met3 ( 1104230 1928140 ) ( 1105380 * )
NEW met1 ( 1007630 1928650 ) ( 1104230 * )
NEW met2 ( 1007630 2375580 ) ( 1010390 * 0 )
NEW met2 ( 1007630 1928650 ) ( * 2375580 )
NEW met4 ( 1105380 1918200 ) ( * 1928140 )
NEW met4 ( 1105550 1916010 0 ) ( * 1918200 )
NEW met4 ( 1105380 1918200 ) ( 1105550 * )
NEW met1 ( 1007630 1928650 ) M1M2_PR
NEW met1 ( 1104230 1928650 ) M1M2_PR
NEW met2 ( 1104230 1928140 ) M2M3_PR
NEW met3 ( 1105380 1928140 ) M3M4_PR ;
- dout1_mem1\[6\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[6] ) ( merge_memory_inst dout_mem1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1111130 1928820 ) ( * 1929330 )
NEW met3 ( 1111130 1928820 ) ( 1117340 * )
NEW met1 ( 1014530 1929330 ) ( 1111130 * )
NEW met2 ( 1014530 2375580 ) ( 1019130 * 0 )
NEW met2 ( 1014530 1929330 ) ( * 2375580 )
NEW met4 ( 1117340 1918200 ) ( * 1928820 )
NEW met4 ( 1117790 1916010 0 ) ( * 1918200 )
NEW met4 ( 1117340 1918200 ) ( 1117790 * )
NEW met1 ( 1014530 1929330 ) M1M2_PR
NEW met1 ( 1111130 1929330 ) M1M2_PR
NEW met2 ( 1111130 1928820 ) M2M3_PR
NEW met3 ( 1117340 1928820 ) M3M4_PR ;
- dout1_mem1\[7\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[7] ) ( merge_memory_inst dout_mem1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1124930 1929500 ) ( * 1930010 )
NEW met3 ( 1124930 1929500 ) ( 1130220 * )
NEW met1 ( 1021430 1930010 ) ( 1124930 * )
NEW met2 ( 1021430 2375580 ) ( 1027870 * 0 )
NEW met2 ( 1021430 1930010 ) ( * 2375580 )
NEW met4 ( 1130220 1918200 ) ( * 1929500 )
NEW met4 ( 1130710 1916010 0 ) ( * 1918200 )
NEW met4 ( 1130220 1918200 ) ( 1130710 * )
NEW met1 ( 1021430 1930010 ) M1M2_PR
NEW met1 ( 1124930 1930010 ) M1M2_PR
NEW met2 ( 1124930 1929500 ) M2M3_PR
NEW met3 ( 1130220 1929500 ) M3M4_PR ;
- dout1_mem1\[8\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[8] ) ( merge_memory_inst dout_mem1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1138730 1929500 ) ( * 1929670 )
NEW met3 ( 1138730 1929500 ) ( 1143100 * )
NEW met1 ( 1035230 1929670 ) ( 1138730 * )
NEW met2 ( 1035230 2375580 ) ( 1036610 * 0 )
NEW met2 ( 1035230 1929670 ) ( * 2375580 )
NEW met4 ( 1143100 1918200 ) ( * 1929500 )
NEW met4 ( 1142950 1916010 0 ) ( * 1918200 )
NEW met4 ( 1142950 1918200 ) ( 1143100 * )
NEW met1 ( 1035230 1929670 ) M1M2_PR
NEW met1 ( 1138730 1929670 ) M1M2_PR
NEW met2 ( 1138730 1929500 ) M2M3_PR
NEW met3 ( 1143100 1929500 ) M3M4_PR ;
- dout1_mem1\[9\] ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 dout1[9] ) ( merge_memory_inst dout_mem1[9] ) + USE SIGNAL
+ ROUTED met2 ( 1152530 1930180 ) ( * 1930350 )
NEW met3 ( 1152530 1930180 ) ( 1155980 * )
NEW met4 ( 1155980 1918200 ) ( * 1930180 )
NEW met4 ( 1155870 1916010 0 ) ( * 1918200 )
NEW met4 ( 1155870 1918200 ) ( 1155980 * )
NEW met1 ( 1042130 1930350 ) ( 1152530 * )
NEW met2 ( 1042130 2375580 ) ( 1045350 * 0 )
NEW met2 ( 1042130 1930350 ) ( * 2375580 )
NEW met1 ( 1152530 1930350 ) M1M2_PR
NEW met2 ( 1152530 1930180 ) M2M3_PR
NEW met3 ( 1155980 1930180 ) M3M4_PR
NEW met1 ( 1042130 1930350 ) M1M2_PR ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) ( wfg_top_inst io_oeb[2] ) + USE SIGNAL
+ ROUTED met2 ( 2508380 2749580 0 ) ( 2509990 * )
NEW met2 ( 2509990 2749580 ) ( * 2760460 )
NEW met4 ( 2617860 2422500 ) ( * 2760460 )
NEW met3 ( 2617860 2422500 ) ( 2835900 * )
NEW met3 ( 2835900 2422500 ) ( * 2423180 )
NEW met3 ( 2835900 2423180 ) ( 2917780 * 0 )
NEW met3 ( 2509990 2760460 ) ( 2617860 * )
NEW met3 ( 2617860 2422500 ) M3M4_PR
NEW met2 ( 2509990 2760460 ) M2M3_PR
NEW met3 ( 2617860 2760460 ) M3M4_PR ;
- io_oeb[11] ( PIN io_oeb[11] ) ( wfg_top_inst io_oeb[3] ) + USE SIGNAL
+ ROUTED met2 ( 2524480 2749580 0 ) ( 2525170 * )
NEW met2 ( 2525170 2749580 ) ( * 2761140 )
NEW met4 ( 2615100 2684300 ) ( * 2761140 )
NEW met3 ( 2917780 2684300 ) ( * 2688380 )
NEW met3 ( 2916860 2688380 ) ( 2917780 * )
NEW met3 ( 2916860 2688380 ) ( * 2689060 )
NEW met3 ( 2916860 2689060 ) ( 2917780 * 0 )
NEW met3 ( 2525170 2761140 ) ( 2615100 * )
NEW met3 ( 2615100 2684300 ) ( 2917780 * )
NEW met2 ( 2525170 2761140 ) M2M3_PR
NEW met3 ( 2615100 2684300 ) M3M4_PR
NEW met3 ( 2615100 2761140 ) M3M4_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( wfg_top_inst io_oeb[4] ) + USE SIGNAL
+ ROUTED met2 ( 2539430 2749580 ) ( 2540580 * 0 )
NEW met2 ( 2539430 2749580 ) ( * 2953410 )
NEW met2 ( 2900990 2953410 ) ( * 2954940 )
NEW met3 ( 2900990 2954940 ) ( 2917780 * 0 )
NEW met1 ( 2539430 2953410 ) ( 2900990 * )
NEW met1 ( 2539430 2953410 ) M1M2_PR
NEW met1 ( 2900990 2953410 ) M1M2_PR
NEW met2 ( 2900990 2954940 ) M2M3_PR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( wfg_top_inst io_oeb[5] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3215550 ) ( * 3220140 )
NEW met3 ( 2900990 3220140 ) ( 2917780 * 0 )
NEW met1 ( 2553230 3215550 ) ( 2900990 * )
NEW met2 ( 2554610 2749580 ) ( 2556680 * 0 )
NEW met2 ( 2554610 2749580 ) ( * 2787600 )
NEW met2 ( 2553230 2787600 ) ( 2554610 * )
NEW met2 ( 2553230 2787600 ) ( * 3215550 )
NEW met1 ( 2900990 3215550 ) M1M2_PR
NEW met2 ( 2900990 3220140 ) M2M3_PR
NEW met1 ( 2553230 3215550 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( wfg_top_inst io_oeb[6] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
NEW met1 ( 2567030 3484830 ) ( 2900990 * )
NEW met2 ( 2570710 2749580 ) ( 2572780 * 0 )
NEW met2 ( 2570710 2749580 ) ( * 2787600 )
NEW met2 ( 2567030 2787600 ) ( 2570710 * )
NEW met2 ( 2567030 2787600 ) ( * 3484830 )
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3486020 ) M2M3_PR
NEW met1 ( 2567030 3484830 ) M1M2_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( wfg_top_inst io_oeb[7] ) + USE SIGNAL
+ ROUTED met2 ( 2636030 3501830 ) ( * 3517980 0 )
NEW met1 ( 2587730 3501830 ) ( 2636030 * )
NEW met2 ( 2587730 2749580 ) ( 2588880 * 0 )
NEW met2 ( 2587730 2749580 ) ( * 3501830 )
NEW met1 ( 2636030 3501830 ) M1M2_PR
NEW met1 ( 2587730 3501830 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( wfg_top_inst io_oeb[8] ) + USE SIGNAL
+ ROUTED met2 ( 2311730 2764370 ) ( * 3517980 0 )
NEW met2 ( 2603370 2749580 ) ( 2604980 * 0 )
NEW met2 ( 2603370 2749580 ) ( * 2764370 )
NEW met1 ( 2311730 2764370 ) ( 2603370 * )
NEW met1 ( 2311730 2764370 ) M1M2_PR
NEW met1 ( 2603370 2764370 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( wfg_top_inst io_oeb[9] ) + USE SIGNAL
+ ROUTED met2 ( 2619470 2749580 ) ( 2621080 * 0 )
NEW met2 ( 2619470 2749580 ) ( * 2764030 )
NEW met2 ( 1987430 2764030 ) ( * 3517980 0 )
NEW met1 ( 1987430 2764030 ) ( 2619470 * )
NEW met1 ( 2619470 2764030 ) M1M2_PR
NEW met1 ( 1987430 2764030 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( wfg_top_inst io_oeb[10] ) + USE SIGNAL
+ ROUTED met1 ( 1656230 3515090 ) ( 1662670 * )
NEW met2 ( 1662670 3515090 ) ( * 3517980 0 )
NEW met2 ( 1656230 2763350 ) ( * 3515090 )
NEW met2 ( 2636030 2749580 ) ( 2637180 * 0 )
NEW met2 ( 2636030 2749580 ) ( * 2763350 )
NEW met1 ( 1656230 2763350 ) ( 2636030 * )
NEW met1 ( 1656230 2763350 ) M1M2_PR
NEW met1 ( 1656230 3515090 ) M1M2_PR
NEW met1 ( 1662670 3515090 ) M1M2_PR
NEW met1 ( 2636030 2763350 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) ( wfg_top_inst io_oeb[0] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 1892100 ) ( 2917780 * 0 )
NEW met2 ( 2901910 1892100 ) ( * 2761650 )
NEW met2 ( 2476180 2749580 0 ) ( 2476870 * )
NEW met2 ( 2476870 2749580 ) ( * 2761650 )
NEW met1 ( 2476870 2761650 ) ( 2901910 * )
NEW met2 ( 2901910 1892100 ) M2M3_PR
NEW met1 ( 2901910 2761650 ) M1M2_PR
NEW met1 ( 2476870 2761650 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( wfg_top_inst io_oeb[1] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 2157980 ) ( * 2159510 )
NEW met3 ( 2898230 2157980 ) ( 2917780 * 0 )
NEW met1 ( 2756550 2159510 ) ( 2898230 * )
NEW met2 ( 2492280 2749580 0 ) ( 2493890 * )
NEW met2 ( 2493890 2749580 ) ( * 2761990 )
NEW met1 ( 2493890 2761990 ) ( 2756550 * )
NEW met2 ( 2756550 2159510 ) ( * 2761990 )
NEW met1 ( 2898230 2159510 ) M1M2_PR
NEW met2 ( 2898230 2157980 ) M2M3_PR
NEW met1 ( 2756550 2159510 ) M1M2_PR
NEW met1 ( 2493890 2761990 ) M1M2_PR
NEW met1 ( 2756550 2761990 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) ( wfg_top_inst wfg_drive_spi_sdo_o ) + USE SIGNAL
+ ROUTED met2 ( 1944880 2749580 0 ) ( 1945570 * )
NEW met2 ( 1945570 2749580 ) ( * 2760970 )
NEW met2 ( 2898230 2357220 ) ( * 2359770 )
NEW met3 ( 2898230 2357220 ) ( 2917780 * 0 )
NEW met1 ( 1945570 2760970 ) ( 2770350 * )
NEW met2 ( 2770350 2359770 ) ( * 2760970 )
NEW met1 ( 2770350 2359770 ) ( 2898230 * )
NEW met1 ( 1945570 2760970 ) M1M2_PR
NEW met1 ( 2898230 2359770 ) M1M2_PR
NEW met2 ( 2898230 2357220 ) M2M3_PR
NEW met1 ( 2770350 2359770 ) M1M2_PR
NEW met1 ( 2770350 2760970 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( wfg_top_inst wfg_drive_pat_dout_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 2899610 2622420 ) ( * 2628710 )
NEW met3 ( 2899610 2622420 ) ( 2917780 * 0 )
NEW met2 ( 1960980 2749580 0 ) ( 1962590 * )
NEW met2 ( 1962590 2749580 ) ( * 2761310 )
NEW met1 ( 2777250 2628710 ) ( 2899610 * )
NEW met1 ( 1962590 2761310 ) ( 2777250 * )
NEW met2 ( 2777250 2628710 ) ( * 2761310 )
NEW met1 ( 2899610 2628710 ) M1M2_PR
NEW met2 ( 2899610 2622420 ) M2M3_PR
NEW met1 ( 1962590 2761310 ) M1M2_PR
NEW met1 ( 2777250 2628710 ) M1M2_PR
NEW met1 ( 2777250 2761310 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( wfg_top_inst wfg_drive_pat_dout_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2884390 ) ( * 2888300 )
NEW met3 ( 2900990 2888300 ) ( 2917780 * 0 )
NEW met1 ( 1973630 2884390 ) ( 2900990 * )
NEW met2 ( 1975010 2749580 ) ( 1977080 * 0 )
NEW met2 ( 1975010 2749580 ) ( * 2787600 )
NEW met2 ( 1973630 2787600 ) ( 1975010 * )
NEW met2 ( 1973630 2787600 ) ( * 2884390 )
NEW met1 ( 2900990 2884390 ) M1M2_PR
NEW met2 ( 2900990 2888300 ) M2M3_PR
NEW met1 ( 1973630 2884390 ) M1M2_PR ;
- io_out[13] ( PIN io_out[13] ) ( wfg_top_inst wfg_drive_pat_dout_o[2] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 3154180 ) ( 2917780 * 0 )
NEW met2 ( 2902370 2763690 ) ( * 3154180 )
NEW met2 ( 1993180 2749580 0 ) ( 1993870 * )
NEW met2 ( 1993870 2749580 ) ( * 2763690 )
NEW met1 ( 1993870 2763690 ) ( 2902370 * )
NEW met1 ( 2902370 2763690 ) M1M2_PR
NEW met2 ( 2902370 3154180 ) M2M3_PR
NEW met1 ( 1993870 2763690 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( wfg_top_inst wfg_drive_pat_dout_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3415810 ) ( * 3419380 )
NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
NEW met2 ( 2008130 2749580 ) ( 2009280 * 0 )
NEW met2 ( 2008130 2749580 ) ( * 3415810 )
NEW met1 ( 2008130 3415810 ) ( 2900990 * )
NEW met1 ( 2900990 3415810 ) M1M2_PR
NEW met2 ( 2900990 3419380 ) M2M3_PR
NEW met1 ( 2008130 3415810 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( wfg_top_inst wfg_drive_pat_dout_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 2023310 2749580 ) ( 2025380 * 0 )
NEW met2 ( 2023310 2749580 ) ( * 2787600 )
NEW met2 ( 2021930 2787600 ) ( 2023310 * )
NEW met2 ( 2021930 2787600 ) ( * 3501490 )
NEW met2 ( 2717450 3501490 ) ( * 3517980 0 )
NEW met1 ( 2021930 3501490 ) ( 2717450 * )
NEW met1 ( 2021930 3501490 ) M1M2_PR
NEW met1 ( 2717450 3501490 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( wfg_top_inst wfg_drive_pat_dout_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 2039410 2749580 ) ( 2041480 * 0 )
NEW met2 ( 2039410 2749580 ) ( * 2787600 )
NEW met2 ( 2035730 2787600 ) ( 2039410 * )
NEW met2 ( 2035730 2787600 ) ( * 3501830 )
NEW met1 ( 2035730 3501830 ) ( 2392690 * )
NEW met2 ( 2392690 3501830 ) ( * 3517980 0 )
NEW met1 ( 2035730 3501830 ) M1M2_PR
NEW met1 ( 2392690 3501830 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( wfg_top_inst wfg_drive_pat_dout_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 2056430 2749580 ) ( 2057580 * 0 )
NEW met2 ( 2056430 2749580 ) ( * 3498430 )
NEW met1 ( 2056430 3498430 ) ( 2068390 * )
NEW met2 ( 2068390 3498430 ) ( * 3517980 0 )
NEW met1 ( 2056430 3498430 ) M1M2_PR
NEW met1 ( 2068390 3498430 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( wfg_top_inst wfg_drive_pat_dout_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 1739030 2764370 ) ( * 3512100 )
NEW met2 ( 1739030 3512100 ) ( 1744090 * )
NEW met2 ( 1744090 3512100 ) ( * 3517980 0 )
NEW met2 ( 2072070 2749580 ) ( 2073680 * 0 )
NEW met2 ( 2072070 2749580 ) ( * 2764370 )
NEW met1 ( 1739030 2764370 ) ( 2072070 * )
NEW met1 ( 1739030 2764370 ) M1M2_PR
NEW met1 ( 2072070 2764370 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) ( wfg_top_inst wfg_drive_spi_sclk_o ) + USE SIGNAL
+ ROUTED met3 ( 2901450 1825460 ) ( 2917780 * 0 )
NEW met2 ( 1928780 2749580 0 ) ( 1930390 * )
NEW met2 ( 1930390 2749580 ) ( * 2760290 )
NEW met2 ( 2901450 1825460 ) ( * 2760290 )
NEW met1 ( 1930390 2760290 ) ( 2901450 * )
NEW met2 ( 2901450 1825460 ) M2M3_PR
NEW met1 ( 1930390 2760290 ) M1M2_PR
NEW met1 ( 2901450 2760290 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( wfg_top_inst wfg_drive_spi_cs_no ) + USE SIGNAL
+ ROUTED met2 ( 2797950 2097290 ) ( * 2760630 )
NEW met2 ( 2900990 2091340 ) ( * 2097290 )
NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
NEW met2 ( 1912680 2749580 0 ) ( 1914290 * )
NEW met2 ( 1914290 2749580 ) ( * 2760630 )
NEW met1 ( 1914290 2760630 ) ( 2797950 * )
NEW met1 ( 2797950 2097290 ) ( 2900990 * )
NEW met1 ( 2797950 2097290 ) M1M2_PR
NEW met1 ( 2797950 2760630 ) M1M2_PR
NEW met1 ( 2900990 2097290 ) M1M2_PR
NEW met2 ( 2900990 2091340 ) M2M3_PR
NEW met1 ( 1914290 2760630 ) M1M2_PR ;
- io_wbs_ack_0 ( wfg_top_inst io_wbs_ack ) ( wb_mux_inst io_wbs_ack_0 ) + USE SIGNAL
+ ROUTED met3 ( 1649100 571540 0 ) ( 1661290 * )
NEW met2 ( 1661290 571540 ) ( * 572050 )
NEW met2 ( 1925330 2200140 ) ( 1926480 * 0 )
NEW met2 ( 1925330 572050 ) ( * 2200140 )
NEW met1 ( 1661290 572050 ) ( 1925330 * )
NEW met2 ( 1661290 571540 ) M2M3_PR
NEW met1 ( 1661290 572050 ) M1M2_PR
NEW met1 ( 1925330 572050 ) M1M2_PR ;
- io_wbs_ack_1 ( wb_mux_inst io_wbs_ack_1 ) ( wb_memory_inst io_wbs_ack ) + USE SIGNAL
+ ROUTED met2 ( 510830 850340 ) ( 512670 * 0 )
NEW met2 ( 510830 572390 ) ( * 850340 )
NEW met2 ( 1235790 571540 ) ( * 572390 )
NEW met1 ( 510830 572390 ) ( 1235790 * )
NEW met3 ( 1235790 571540 ) ( 1250740 * 0 )
NEW met1 ( 510830 572390 ) M1M2_PR
NEW met1 ( 1235790 572390 ) M1M2_PR
NEW met2 ( 1235790 571540 ) M2M3_PR ;
- io_wbs_adr_0\[0\] ( wfg_top_inst io_wbs_adr[0] ) ( wb_mux_inst io_wbs_adr_0[0] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 224740 0 ) ( 1661290 * )
NEW met2 ( 1661290 224740 ) ( * 227630 )
NEW met1 ( 1661290 227630 ) ( 1966730 * )
NEW met2 ( 1966730 2200140 ) ( 1967880 * 0 )
NEW met2 ( 1966730 227630 ) ( * 2200140 )
NEW met2 ( 1661290 224740 ) M2M3_PR
NEW met1 ( 1661290 227630 ) M1M2_PR
NEW met1 ( 1966730 227630 ) M1M2_PR ;
- io_wbs_adr_0\[10\] ( wfg_top_inst io_wbs_adr[10] ) ( wb_mux_inst io_wbs_adr_0[10] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 258740 0 ) ( 1661750 * )
NEW met2 ( 1661750 258740 ) ( * 261630 )
NEW met1 ( 1661750 261630 ) ( 2173730 * )
NEW met2 ( 2173730 2200140 ) ( 2174880 * 0 )
NEW met2 ( 2173730 261630 ) ( * 2200140 )
NEW met2 ( 1661750 258740 ) M2M3_PR
NEW met1 ( 1661750 261630 ) M1M2_PR
NEW met1 ( 2173730 261630 ) M1M2_PR ;
- io_wbs_adr_0\[11\] ( wfg_top_inst io_wbs_adr[11] ) ( wb_mux_inst io_wbs_adr_0[11] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 262140 0 ) ( 1661290 * )
NEW met2 ( 1661290 261970 ) ( * 262140 )
NEW met1 ( 1661290 261970 ) ( 2194430 * )
NEW met2 ( 2194430 2200140 ) ( 2195580 * 0 )
NEW met2 ( 2194430 261970 ) ( * 2200140 )
NEW met2 ( 1661290 262140 ) M2M3_PR
NEW met1 ( 1661290 261970 ) M1M2_PR
NEW met1 ( 2194430 261970 ) M1M2_PR ;
- io_wbs_adr_0\[12\] ( wfg_top_inst io_wbs_adr[12] ) ( wb_mux_inst io_wbs_adr_0[12] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 265540 0 ) ( 1661750 * )
NEW met2 ( 1661750 265540 ) ( * 268430 )
NEW met2 ( 2215130 2200140 ) ( 2216280 * 0 )
NEW met2 ( 2215130 268430 ) ( * 2200140 )
NEW met1 ( 1661750 268430 ) ( 2215130 * )
NEW met2 ( 1661750 265540 ) M2M3_PR
NEW met1 ( 1661750 268430 ) M1M2_PR
NEW met1 ( 2215130 268430 ) M1M2_PR ;
- io_wbs_adr_0\[13\] ( wfg_top_inst io_wbs_adr[13] ) ( wb_mux_inst io_wbs_adr_0[13] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 268940 0 ) ( 1661290 * )
NEW met2 ( 1661290 268770 ) ( * 268940 )
NEW met2 ( 2235830 2200140 ) ( 2236980 * 0 )
NEW met2 ( 2235830 268770 ) ( * 2200140 )
NEW met1 ( 1661290 268770 ) ( 2235830 * )
NEW met2 ( 1661290 268940 ) M2M3_PR
NEW met1 ( 1661290 268770 ) M1M2_PR
NEW met1 ( 2235830 268770 ) M1M2_PR ;
- io_wbs_adr_0\[14\] ( wfg_top_inst io_wbs_adr[14] ) ( wb_mux_inst io_wbs_adr_0[14] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 272340 0 ) ( 1656690 * )
NEW met2 ( 1656690 272340 ) ( * 275570 )
NEW met1 ( 1656690 275570 ) ( 2256530 * )
NEW met2 ( 2256530 2200140 ) ( 2257680 * 0 )
NEW met2 ( 2256530 275570 ) ( * 2200140 )
NEW met2 ( 1656690 272340 ) M2M3_PR
NEW met1 ( 1656690 275570 ) M1M2_PR
NEW met1 ( 2256530 275570 ) M1M2_PR ;
- io_wbs_adr_0\[15\] ( wfg_top_inst io_wbs_adr[15] ) ( wb_mux_inst io_wbs_adr_0[15] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 275740 0 ) ( 1661290 * )
NEW met2 ( 1661290 275740 ) ( * 275910 )
NEW met1 ( 1661290 275910 ) ( 2277230 * )
NEW met2 ( 2277230 2200140 ) ( 2278380 * 0 )
NEW met2 ( 2277230 275910 ) ( * 2200140 )
NEW met2 ( 1661290 275740 ) M2M3_PR
NEW met1 ( 1661290 275910 ) M1M2_PR
NEW met1 ( 2277230 275910 ) M1M2_PR ;
- io_wbs_adr_0\[16\] ( wfg_top_inst io_wbs_adr[16] ) ( wb_mux_inst io_wbs_adr_0[16] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 279140 0 ) ( 1656690 * )
NEW met2 ( 1656690 279140 ) ( * 282370 )
NEW met1 ( 1656690 282370 ) ( 2297930 * )
NEW met2 ( 2297930 2200140 ) ( 2299080 * 0 )
NEW met2 ( 2297930 282370 ) ( * 2200140 )
NEW met2 ( 1656690 279140 ) M2M3_PR
NEW met1 ( 1656690 282370 ) M1M2_PR
NEW met1 ( 2297930 282370 ) M1M2_PR ;
- io_wbs_adr_0\[17\] ( wfg_top_inst io_wbs_adr[17] ) ( wb_mux_inst io_wbs_adr_0[17] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 282540 0 ) ( 1661290 * )
NEW met2 ( 1661290 282540 ) ( * 282710 )
NEW met2 ( 2318630 2200140 ) ( 2319780 * 0 )
NEW met2 ( 2318630 282710 ) ( * 2200140 )
NEW met1 ( 1661290 282710 ) ( 2318630 * )
NEW met2 ( 1661290 282540 ) M2M3_PR
NEW met1 ( 1661290 282710 ) M1M2_PR
NEW met1 ( 2318630 282710 ) M1M2_PR ;
- io_wbs_adr_0\[18\] ( wfg_top_inst io_wbs_adr[18] ) ( wb_mux_inst io_wbs_adr_0[18] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 285940 0 ) ( 1656690 * )
NEW met2 ( 1656690 285940 ) ( * 289170 )
NEW met2 ( 2339330 2200140 ) ( 2340480 * 0 )
NEW met2 ( 2339330 289170 ) ( * 2200140 )
NEW met1 ( 1656690 289170 ) ( 2339330 * )
NEW met2 ( 1656690 285940 ) M2M3_PR
NEW met1 ( 1656690 289170 ) M1M2_PR
NEW met1 ( 2339330 289170 ) M1M2_PR ;
- io_wbs_adr_0\[19\] ( wfg_top_inst io_wbs_adr[19] ) ( wb_mux_inst io_wbs_adr_0[19] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 289340 0 ) ( 1661290 * )
NEW met2 ( 1661290 289340 ) ( * 289510 )
NEW met1 ( 1661290 289510 ) ( 2360030 * )
NEW met2 ( 2360030 2200140 ) ( 2361180 * 0 )
NEW met2 ( 2360030 289510 ) ( * 2200140 )
NEW met2 ( 1661290 289340 ) M2M3_PR
NEW met1 ( 1661290 289510 ) M1M2_PR
NEW met1 ( 2360030 289510 ) M1M2_PR ;
- io_wbs_adr_0\[1\] ( wfg_top_inst io_wbs_adr[1] ) ( wb_mux_inst io_wbs_adr_0[1] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 228140 0 ) ( 1659450 * )
NEW met2 ( 1659450 228140 ) ( * 234090 )
NEW met2 ( 1749150 234090 ) ( * 2190790 )
NEW met1 ( 1659450 234090 ) ( 1749150 * )
NEW met2 ( 1987430 2190790 ) ( * 2200140 )
NEW met2 ( 1987430 2200140 ) ( 1988580 * 0 )
NEW met1 ( 1749150 2190790 ) ( 1987430 * )
NEW met2 ( 1659450 228140 ) M2M3_PR
NEW met1 ( 1659450 234090 ) M1M2_PR
NEW met1 ( 1749150 234090 ) M1M2_PR
NEW met1 ( 1749150 2190790 ) M1M2_PR
NEW met1 ( 1987430 2190790 ) M1M2_PR ;
- io_wbs_adr_0\[20\] ( wfg_top_inst io_wbs_adr[20] ) ( wb_mux_inst io_wbs_adr_0[20] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 292740 0 ) ( 1661750 * )
NEW met2 ( 1661750 292740 ) ( * 296310 )
NEW met1 ( 1661750 296310 ) ( 2380730 * )
NEW met2 ( 2380730 2200140 ) ( 2381880 * 0 )
NEW met2 ( 2380730 296310 ) ( * 2200140 )
NEW met2 ( 1661750 292740 ) M2M3_PR
NEW met1 ( 1661750 296310 ) M1M2_PR
NEW met1 ( 2380730 296310 ) M1M2_PR ;
- io_wbs_adr_0\[21\] ( wfg_top_inst io_wbs_adr[21] ) ( wb_mux_inst io_wbs_adr_0[21] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 296140 0 ) ( 1661290 * )
NEW met2 ( 1661290 296140 ) ( * 296650 )
NEW met2 ( 2401430 2200140 ) ( 2402580 * 0 )
NEW met2 ( 2401430 296650 ) ( * 2200140 )
NEW met1 ( 1661290 296650 ) ( 2401430 * )
NEW met2 ( 1661290 296140 ) M2M3_PR
NEW met1 ( 1661290 296650 ) M1M2_PR
NEW met1 ( 2401430 296650 ) M1M2_PR ;
- io_wbs_adr_0\[22\] ( wfg_top_inst io_wbs_adr[22] ) ( wb_mux_inst io_wbs_adr_0[22] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 299540 0 ) ( 1661750 * )
NEW met2 ( 1661750 299540 ) ( * 303110 )
NEW met2 ( 2422130 2200140 ) ( 2423280 * 0 )
NEW met2 ( 2422130 303110 ) ( * 2200140 )
NEW met1 ( 1661750 303110 ) ( 2422130 * )
NEW met2 ( 1661750 299540 ) M2M3_PR
NEW met1 ( 1661750 303110 ) M1M2_PR
NEW met1 ( 2422130 303110 ) M1M2_PR ;
- io_wbs_adr_0\[23\] ( wfg_top_inst io_wbs_adr[23] ) ( wb_mux_inst io_wbs_adr_0[23] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 302940 0 ) ( 1661290 * )
NEW met2 ( 1661290 302940 ) ( * 303450 )
NEW met2 ( 2442830 2200140 ) ( 2443980 * 0 )
NEW met2 ( 2442830 303450 ) ( * 2200140 )
NEW met1 ( 1661290 303450 ) ( 2442830 * )
NEW met2 ( 1661290 302940 ) M2M3_PR
NEW met1 ( 1661290 303450 ) M1M2_PR
NEW met1 ( 2442830 303450 ) M1M2_PR ;
- io_wbs_adr_0\[24\] ( wfg_top_inst io_wbs_adr[24] ) ( wb_mux_inst io_wbs_adr_0[24] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 306340 0 ) ( 1661750 * )
NEW met2 ( 1661750 306340 ) ( * 309910 )
NEW met1 ( 1661750 309910 ) ( 2463530 * )
NEW met2 ( 2463530 2200140 ) ( 2464680 * 0 )
NEW met2 ( 2463530 309910 ) ( * 2200140 )
NEW met2 ( 1661750 306340 ) M2M3_PR
NEW met1 ( 1661750 309910 ) M1M2_PR
NEW met1 ( 2463530 309910 ) M1M2_PR ;
- io_wbs_adr_0\[25\] ( wfg_top_inst io_wbs_adr[25] ) ( wb_mux_inst io_wbs_adr_0[25] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 309740 0 ) ( 1661290 * )
NEW met2 ( 1661290 309740 ) ( * 310250 )
NEW met1 ( 1661290 310250 ) ( 2484230 * )
NEW met2 ( 2484230 2200140 ) ( 2485380 * 0 )
NEW met2 ( 2484230 310250 ) ( * 2200140 )
NEW met2 ( 1661290 309740 ) M2M3_PR
NEW met1 ( 1661290 310250 ) M1M2_PR
NEW met1 ( 2484230 310250 ) M1M2_PR ;
- io_wbs_adr_0\[26\] ( wfg_top_inst io_wbs_adr[26] ) ( wb_mux_inst io_wbs_adr_0[26] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 313140 0 ) ( 1657610 * )
NEW met2 ( 1657610 313140 ) ( * 317050 )
NEW met2 ( 2504930 2200140 ) ( 2506080 * 0 )
NEW met2 ( 2504930 317050 ) ( * 2200140 )
NEW met1 ( 1657610 317050 ) ( 2504930 * )
NEW met2 ( 1657610 313140 ) M2M3_PR
NEW met1 ( 1657610 317050 ) M1M2_PR
NEW met1 ( 2504930 317050 ) M1M2_PR ;
- io_wbs_adr_0\[27\] ( wfg_top_inst io_wbs_adr[27] ) ( wb_mux_inst io_wbs_adr_0[27] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 316540 0 ) ( 1661290 * )
NEW met2 ( 1661290 316540 ) ( * 317390 )
NEW met2 ( 2525630 2200140 ) ( 2526780 * 0 )
NEW met2 ( 2525630 317390 ) ( * 2200140 )
NEW met1 ( 1661290 317390 ) ( 2525630 * )
NEW met2 ( 1661290 316540 ) M2M3_PR
NEW met1 ( 1661290 317390 ) M1M2_PR
NEW met1 ( 2525630 317390 ) M1M2_PR ;
- io_wbs_adr_0\[28\] ( wfg_top_inst io_wbs_adr[28] ) ( wb_mux_inst io_wbs_adr_0[28] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 319940 0 ) ( 1657610 * )
NEW met2 ( 1657610 319940 ) ( * 323850 )
NEW met1 ( 1657610 323850 ) ( 2546330 * )
NEW met2 ( 2546330 2200140 ) ( 2547480 * 0 )
NEW met2 ( 2546330 323850 ) ( * 2200140 )
NEW met2 ( 1657610 319940 ) M2M3_PR
NEW met1 ( 1657610 323850 ) M1M2_PR
NEW met1 ( 2546330 323850 ) M1M2_PR ;
- io_wbs_adr_0\[29\] ( wfg_top_inst io_wbs_adr[29] ) ( wb_mux_inst io_wbs_adr_0[29] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 323340 0 ) ( 1661290 * )
NEW met2 ( 1661290 323340 ) ( * 324190 )
NEW met1 ( 1661290 324190 ) ( 2567030 * )
NEW met2 ( 2567030 2200140 ) ( 2568180 * 0 )
NEW met2 ( 2567030 324190 ) ( * 2200140 )
NEW met2 ( 1661290 323340 ) M2M3_PR
NEW met1 ( 1661290 324190 ) M1M2_PR
NEW met1 ( 2567030 324190 ) M1M2_PR ;
- io_wbs_adr_0\[2\] ( wfg_top_inst io_wbs_adr[2] ) ( wb_mux_inst io_wbs_adr_0[2] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 231540 0 ) ( 1661290 * )
NEW met2 ( 1661290 231540 ) ( * 234430 )
NEW met1 ( 1661290 234430 ) ( 2008130 * )
NEW met2 ( 2008130 2200140 ) ( 2009280 * 0 )
NEW met2 ( 2008130 234430 ) ( * 2200140 )
NEW met2 ( 1661290 231540 ) M2M3_PR
NEW met1 ( 1661290 234430 ) M1M2_PR
NEW met1 ( 2008130 234430 ) M1M2_PR ;
- io_wbs_adr_0\[30\] ( wfg_top_inst io_wbs_adr[30] ) ( wb_mux_inst io_wbs_adr_0[30] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 326740 0 ) ( 1661750 * )
NEW met2 ( 1661750 326740 ) ( * 330650 )
NEW met1 ( 1661750 330650 ) ( 2587730 * )
NEW met2 ( 2587730 2200140 ) ( 2588880 * 0 )
NEW met2 ( 2587730 330650 ) ( * 2200140 )
NEW met2 ( 1661750 326740 ) M2M3_PR
NEW met1 ( 1661750 330650 ) M1M2_PR
NEW met1 ( 2587730 330650 ) M1M2_PR ;
- io_wbs_adr_0\[31\] ( wfg_top_inst io_wbs_adr[31] ) ( wb_mux_inst io_wbs_adr_0[31] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 330140 0 ) ( 1661290 * )
NEW met2 ( 1661290 330140 ) ( * 330990 )
NEW met2 ( 2608430 2200140 ) ( 2609580 * 0 )
NEW met2 ( 2608430 330990 ) ( * 2200140 )
NEW met1 ( 1661290 330990 ) ( 2608430 * )
NEW met2 ( 1661290 330140 ) M2M3_PR
NEW met1 ( 1661290 330990 ) M1M2_PR
NEW met1 ( 2608430 330990 ) M1M2_PR ;
- io_wbs_adr_0\[3\] ( wfg_top_inst io_wbs_adr[3] ) ( wb_mux_inst io_wbs_adr_0[3] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 234940 0 ) ( 1659450 * )
NEW met2 ( 1659450 234940 ) ( * 240890 )
NEW met2 ( 2028830 2200140 ) ( 2029980 * 0 )
NEW met2 ( 2028830 240890 ) ( * 2200140 )
NEW met1 ( 1659450 240890 ) ( 2028830 * )
NEW met2 ( 1659450 234940 ) M2M3_PR
NEW met1 ( 1659450 240890 ) M1M2_PR
NEW met1 ( 2028830 240890 ) M1M2_PR ;
- io_wbs_adr_0\[4\] ( wfg_top_inst io_wbs_adr[4] ) ( wb_mux_inst io_wbs_adr_0[4] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 238340 0 ) ( 1661290 * )
NEW met2 ( 1661290 238340 ) ( * 241230 )
NEW met2 ( 2049530 2200140 ) ( 2050680 * 0 )
NEW met2 ( 2049530 241230 ) ( * 2200140 )
NEW met1 ( 1661290 241230 ) ( 2049530 * )
NEW met2 ( 1661290 238340 ) M2M3_PR
NEW met1 ( 1661290 241230 ) M1M2_PR
NEW met1 ( 2049530 241230 ) M1M2_PR ;
- io_wbs_adr_0\[5\] ( wfg_top_inst io_wbs_adr[5] ) ( wb_mux_inst io_wbs_adr_0[5] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 241740 0 ) ( 1661290 * )
NEW met2 ( 1661290 241740 ) ( * 248030 )
NEW met1 ( 1661290 248030 ) ( 2070230 * )
NEW met2 ( 2070230 2200140 ) ( 2071380 * 0 )
NEW met2 ( 2070230 248030 ) ( * 2200140 )
NEW met2 ( 1661290 241740 ) M2M3_PR
NEW met1 ( 1661290 248030 ) M1M2_PR
NEW met1 ( 2070230 248030 ) M1M2_PR ;
- io_wbs_adr_0\[6\] ( wfg_top_inst io_wbs_adr[6] ) ( wb_mux_inst io_wbs_adr_0[6] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 245140 0 ) ( 1657150 * )
NEW met2 ( 1657150 245140 ) ( * 248370 )
NEW met1 ( 1657150 248370 ) ( 2090930 * )
NEW met2 ( 2090930 2200140 ) ( 2092080 * 0 )
NEW met2 ( 2090930 248370 ) ( * 2200140 )
NEW met2 ( 1657150 245140 ) M2M3_PR
NEW met1 ( 1657150 248370 ) M1M2_PR
NEW met1 ( 2090930 248370 ) M1M2_PR ;
- io_wbs_adr_0\[7\] ( wfg_top_inst io_wbs_adr[7] ) ( wb_mux_inst io_wbs_adr_0[7] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 248540 0 ) ( 1661290 * )
NEW met2 ( 1661290 248540 ) ( * 254830 )
NEW met2 ( 2111630 2200140 ) ( 2112780 * 0 )
NEW met2 ( 2111630 254830 ) ( * 2200140 )
NEW met1 ( 1661290 254830 ) ( 2111630 * )
NEW met2 ( 1661290 248540 ) M2M3_PR
NEW met1 ( 1661290 254830 ) M1M2_PR
NEW met1 ( 2111630 254830 ) M1M2_PR ;
- io_wbs_adr_0\[8\] ( wfg_top_inst io_wbs_adr[8] ) ( wb_mux_inst io_wbs_adr_0[8] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 251940 0 ) ( 1657150 * )
NEW met2 ( 1657150 251940 ) ( * 255170 )
NEW met2 ( 2132330 2200140 ) ( 2133480 * 0 )
NEW met2 ( 2132330 255170 ) ( * 2200140 )
NEW met1 ( 1657150 255170 ) ( 2132330 * )
NEW met2 ( 1657150 251940 ) M2M3_PR
NEW met1 ( 1657150 255170 ) M1M2_PR
NEW met1 ( 2132330 255170 ) M1M2_PR ;
- io_wbs_adr_0\[9\] ( wfg_top_inst io_wbs_adr[9] ) ( wb_mux_inst io_wbs_adr_0[9] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 255340 0 ) ( 1659450 * )
NEW met2 ( 1659450 255340 ) ( * 261290 )
NEW met2 ( 2153030 2200140 ) ( 2154180 * 0 )
NEW met2 ( 2153030 261290 ) ( * 2200140 )
NEW met1 ( 1659450 261290 ) ( 2153030 * )
NEW met2 ( 1659450 255340 ) M2M3_PR
NEW met1 ( 1659450 261290 ) M1M2_PR
NEW met1 ( 2153030 261290 ) M1M2_PR ;
- io_wbs_adr_1\[0\] ( wb_mux_inst io_wbs_adr_1[0] ) ( wb_memory_inst io_wbs_adr[0] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 224740 ) ( * 227630 )
NEW met1 ( 552230 227630 ) ( 1235330 * )
NEW met3 ( 1235330 224740 ) ( 1250740 * 0 )
NEW met2 ( 552230 850340 ) ( 554070 * 0 )
NEW met2 ( 552230 227630 ) ( * 850340 )
NEW met1 ( 552230 227630 ) M1M2_PR
NEW met1 ( 1235330 227630 ) M1M2_PR
NEW met2 ( 1235330 224740 ) M2M3_PR ;
- io_wbs_adr_1\[10\] ( wb_mux_inst io_wbs_adr_1[10] ) ( wb_memory_inst io_wbs_adr[10] ) + USE SIGNAL
+ ROUTED met2 ( 786830 850340 ) ( 788670 * 0 )
NEW met2 ( 786830 261630 ) ( * 850340 )
NEW met2 ( 1235330 258740 ) ( * 261630 )
NEW met1 ( 786830 261630 ) ( 1235330 * )
NEW met3 ( 1235330 258740 ) ( 1250740 * 0 )
NEW met1 ( 786830 261630 ) M1M2_PR
NEW met1 ( 1235330 261630 ) M1M2_PR
NEW met2 ( 1235330 258740 ) M2M3_PR ;
- io_wbs_adr_1\[11\] ( wb_mux_inst io_wbs_adr_1[11] ) ( wb_memory_inst io_wbs_adr[11] ) + USE SIGNAL
+ ROUTED met2 ( 807530 850340 ) ( 809370 * 0 )
NEW met2 ( 807530 261290 ) ( * 850340 )
NEW met2 ( 1235790 261290 ) ( * 262140 )
NEW met1 ( 807530 261290 ) ( 1235790 * )
NEW met3 ( 1235790 262140 ) ( 1250740 * 0 )
NEW met1 ( 807530 261290 ) M1M2_PR
NEW met1 ( 1235790 261290 ) M1M2_PR
NEW met2 ( 1235790 262140 ) M2M3_PR ;
- io_wbs_adr_1\[12\] ( wb_mux_inst io_wbs_adr_1[12] ) ( wb_memory_inst io_wbs_adr[12] ) + USE SIGNAL
+ ROUTED met2 ( 828230 850340 ) ( 830070 * 0 )
NEW met2 ( 828230 268770 ) ( * 850340 )
NEW met2 ( 1235790 265540 ) ( * 268770 )
NEW met1 ( 828230 268770 ) ( 1235790 * )
NEW met3 ( 1235790 265540 ) ( 1250740 * 0 )
NEW met1 ( 828230 268770 ) M1M2_PR
NEW met1 ( 1235790 268770 ) M1M2_PR
NEW met2 ( 1235790 265540 ) M2M3_PR ;
- io_wbs_adr_1\[13\] ( wb_mux_inst io_wbs_adr_1[13] ) ( wb_memory_inst io_wbs_adr[13] ) + USE SIGNAL
+ ROUTED met2 ( 848930 850340 ) ( 850770 * 0 )
NEW met2 ( 848930 268430 ) ( * 850340 )
NEW met2 ( 1235330 268430 ) ( * 268940 )
NEW met1 ( 848930 268430 ) ( 1235330 * )
NEW met3 ( 1235330 268940 ) ( 1250740 * 0 )
NEW met1 ( 848930 268430 ) M1M2_PR
NEW met1 ( 1235330 268430 ) M1M2_PR
NEW met2 ( 1235330 268940 ) M2M3_PR ;
- io_wbs_adr_1\[14\] ( wb_mux_inst io_wbs_adr_1[14] ) ( wb_memory_inst io_wbs_adr[14] ) + USE SIGNAL
+ ROUTED met2 ( 869630 850340 ) ( 871470 * 0 )
NEW met2 ( 869630 275910 ) ( * 850340 )
NEW met2 ( 1235790 272340 ) ( * 275910 )
NEW met1 ( 869630 275910 ) ( 1235790 * )
NEW met3 ( 1235790 272340 ) ( 1250740 * 0 )
NEW met1 ( 869630 275910 ) M1M2_PR
NEW met1 ( 1235790 275910 ) M1M2_PR
NEW met2 ( 1235790 272340 ) M2M3_PR ;
- io_wbs_adr_1\[15\] ( wb_mux_inst io_wbs_adr_1[15] ) ( wb_memory_inst io_wbs_adr[15] ) + USE SIGNAL
+ ROUTED met2 ( 890330 850340 ) ( 892170 * 0 )
NEW met2 ( 890330 275570 ) ( * 850340 )
NEW met2 ( 1235330 275570 ) ( * 275740 )
NEW met1 ( 890330 275570 ) ( 1235330 * )
NEW met3 ( 1235330 275740 ) ( 1250740 * 0 )
NEW met1 ( 890330 275570 ) M1M2_PR
NEW met1 ( 1235330 275570 ) M1M2_PR
NEW met2 ( 1235330 275740 ) M2M3_PR ;
- io_wbs_adr_1\[16\] ( wb_mux_inst io_wbs_adr_1[16] ) ( wb_memory_inst io_wbs_adr[16] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 279140 ) ( * 282710 )
NEW met1 ( 911030 282710 ) ( 1235790 * )
NEW met3 ( 1235790 279140 ) ( 1250740 * 0 )
NEW met2 ( 911030 850340 ) ( 912870 * 0 )
NEW met2 ( 911030 282710 ) ( * 850340 )
NEW met1 ( 911030 282710 ) M1M2_PR
NEW met1 ( 1235790 282710 ) M1M2_PR
NEW met2 ( 1235790 279140 ) M2M3_PR ;
- io_wbs_adr_1\[17\] ( wb_mux_inst io_wbs_adr_1[17] ) ( wb_memory_inst io_wbs_adr[17] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 282370 ) ( * 282540 )
NEW met1 ( 931730 282370 ) ( 1235330 * )
NEW met3 ( 1235330 282540 ) ( 1250740 * 0 )
NEW met2 ( 931730 850340 ) ( 933570 * 0 )
NEW met2 ( 931730 282370 ) ( * 850340 )
NEW met1 ( 931730 282370 ) M1M2_PR
NEW met1 ( 1235330 282370 ) M1M2_PR
NEW met2 ( 1235330 282540 ) M2M3_PR ;
- io_wbs_adr_1\[18\] ( wb_mux_inst io_wbs_adr_1[18] ) ( wb_memory_inst io_wbs_adr[18] ) + USE SIGNAL
+ ROUTED met2 ( 952430 850340 ) ( 954270 * 0 )
NEW met2 ( 952430 289510 ) ( * 850340 )
NEW met2 ( 1235790 285940 ) ( * 289510 )
NEW met1 ( 952430 289510 ) ( 1235790 * )
NEW met3 ( 1235790 285940 ) ( 1250740 * 0 )
NEW met1 ( 952430 289510 ) M1M2_PR
NEW met1 ( 1235790 289510 ) M1M2_PR
NEW met2 ( 1235790 285940 ) M2M3_PR ;
- io_wbs_adr_1\[19\] ( wb_mux_inst io_wbs_adr_1[19] ) ( wb_memory_inst io_wbs_adr[19] ) + USE SIGNAL
+ ROUTED met2 ( 973130 850340 ) ( 974970 * 0 )
NEW met2 ( 973130 289170 ) ( * 850340 )
NEW met2 ( 1235330 289170 ) ( * 289340 )
NEW met1 ( 973130 289170 ) ( 1235330 * )
NEW met3 ( 1235330 289340 ) ( 1250740 * 0 )
NEW met1 ( 973130 289170 ) M1M2_PR
NEW met1 ( 1235330 289170 ) M1M2_PR
NEW met2 ( 1235330 289340 ) M2M3_PR ;
- io_wbs_adr_1\[1\] ( wb_mux_inst io_wbs_adr_1[1] ) ( wb_memory_inst io_wbs_adr[1] ) + USE SIGNAL
+ ROUTED met2 ( 579830 850340 ) ( 581670 * 0 )
NEW met2 ( 579830 234430 ) ( * 850340 )
NEW met2 ( 1235790 228140 ) ( * 234430 )
NEW met1 ( 579830 234430 ) ( 1235790 * )
NEW met3 ( 1235790 228140 ) ( 1250740 * 0 )
NEW met1 ( 579830 234430 ) M1M2_PR
NEW met1 ( 1235790 234430 ) M1M2_PR
NEW met2 ( 1235790 228140 ) M2M3_PR ;
- io_wbs_adr_1\[20\] ( wb_mux_inst io_wbs_adr_1[20] ) ( wb_memory_inst io_wbs_adr[20] ) + USE SIGNAL
+ ROUTED met2 ( 993830 850340 ) ( 995670 * 0 )
NEW met2 ( 993830 296650 ) ( * 850340 )
NEW met2 ( 1235790 292740 ) ( * 296650 )
NEW met1 ( 993830 296650 ) ( 1235790 * )
NEW met3 ( 1235790 292740 ) ( 1250740 * 0 )
NEW met1 ( 993830 296650 ) M1M2_PR
NEW met1 ( 1235790 296650 ) M1M2_PR
NEW met2 ( 1235790 292740 ) M2M3_PR ;
- io_wbs_adr_1\[21\] ( wb_mux_inst io_wbs_adr_1[21] ) ( wb_memory_inst io_wbs_adr[21] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 296140 ) ( * 296310 )
NEW met1 ( 1014530 296310 ) ( 1235330 * )
NEW met3 ( 1235330 296140 ) ( 1250740 * 0 )
NEW met2 ( 1014530 850340 ) ( 1016370 * 0 )
NEW met2 ( 1014530 296310 ) ( * 850340 )
NEW met1 ( 1014530 296310 ) M1M2_PR
NEW met1 ( 1235330 296310 ) M1M2_PR
NEW met2 ( 1235330 296140 ) M2M3_PR ;
- io_wbs_adr_1\[22\] ( wb_mux_inst io_wbs_adr_1[22] ) ( wb_memory_inst io_wbs_adr[22] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 299540 ) ( * 303450 )
NEW met1 ( 1035230 303450 ) ( 1235790 * )
NEW met3 ( 1235790 299540 ) ( 1250740 * 0 )
NEW met2 ( 1035230 850340 ) ( 1037070 * 0 )
NEW met2 ( 1035230 303450 ) ( * 850340 )
NEW met1 ( 1035230 303450 ) M1M2_PR
NEW met1 ( 1235790 303450 ) M1M2_PR
NEW met2 ( 1235790 299540 ) M2M3_PR ;
- io_wbs_adr_1\[23\] ( wb_mux_inst io_wbs_adr_1[23] ) ( wb_memory_inst io_wbs_adr[23] ) + USE SIGNAL
+ ROUTED met2 ( 1055930 850340 ) ( 1057770 * 0 )
NEW met2 ( 1055930 303110 ) ( * 850340 )
NEW met2 ( 1235330 302940 ) ( * 303110 )
NEW met1 ( 1055930 303110 ) ( 1235330 * )
NEW met3 ( 1235330 302940 ) ( 1250740 * 0 )
NEW met1 ( 1055930 303110 ) M1M2_PR
NEW met1 ( 1235330 303110 ) M1M2_PR
NEW met2 ( 1235330 302940 ) M2M3_PR ;
- io_wbs_adr_1\[24\] ( wb_mux_inst io_wbs_adr_1[24] ) ( wb_memory_inst io_wbs_adr[24] ) + USE SIGNAL
+ ROUTED met2 ( 1076630 850340 ) ( 1078470 * 0 )
NEW met2 ( 1076630 310250 ) ( * 850340 )
NEW met2 ( 1235790 306340 ) ( * 310250 )
NEW met1 ( 1076630 310250 ) ( 1235790 * )
NEW met3 ( 1235790 306340 ) ( 1250740 * 0 )
NEW met1 ( 1076630 310250 ) M1M2_PR
NEW met1 ( 1235790 310250 ) M1M2_PR
NEW met2 ( 1235790 306340 ) M2M3_PR ;
- io_wbs_adr_1\[25\] ( wb_mux_inst io_wbs_adr_1[25] ) ( wb_memory_inst io_wbs_adr[25] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 309740 ) ( * 309910 )
NEW met1 ( 1097330 309910 ) ( 1235330 * )
NEW met3 ( 1235330 309740 ) ( 1250740 * 0 )
NEW met2 ( 1097330 850340 ) ( 1099170 * 0 )
NEW met2 ( 1097330 309910 ) ( * 850340 )
NEW met1 ( 1097330 309910 ) M1M2_PR
NEW met1 ( 1235330 309910 ) M1M2_PR
NEW met2 ( 1235330 309740 ) M2M3_PR ;
- io_wbs_adr_1\[26\] ( wb_mux_inst io_wbs_adr_1[26] ) ( wb_memory_inst io_wbs_adr[26] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 313140 ) ( * 317390 )
NEW met1 ( 1118030 317390 ) ( 1235790 * )
NEW met3 ( 1235790 313140 ) ( 1250740 * 0 )
NEW met2 ( 1118030 850340 ) ( 1119870 * 0 )
NEW met2 ( 1118030 317390 ) ( * 850340 )
NEW met1 ( 1118030 317390 ) M1M2_PR
NEW met1 ( 1235790 317390 ) M1M2_PR
NEW met2 ( 1235790 313140 ) M2M3_PR ;
- io_wbs_adr_1\[27\] ( wb_mux_inst io_wbs_adr_1[27] ) ( wb_memory_inst io_wbs_adr[27] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 316540 ) ( * 317050 )
NEW met1 ( 1138730 317050 ) ( 1235330 * )
NEW met3 ( 1235330 316540 ) ( 1250740 * 0 )
NEW met2 ( 1138730 850340 ) ( 1140570 * 0 )
NEW met2 ( 1138730 317050 ) ( * 850340 )
NEW met1 ( 1138730 317050 ) M1M2_PR
NEW met1 ( 1235330 317050 ) M1M2_PR
NEW met2 ( 1235330 316540 ) M2M3_PR ;
- io_wbs_adr_1\[28\] ( wb_mux_inst io_wbs_adr_1[28] ) ( wb_memory_inst io_wbs_adr[28] ) + USE SIGNAL
+ ROUTED met2 ( 1159430 850340 ) ( 1161270 * 0 )
NEW met2 ( 1159430 324190 ) ( * 850340 )
NEW met2 ( 1235790 319940 ) ( * 324190 )
NEW met1 ( 1159430 324190 ) ( 1235790 * )
NEW met3 ( 1235790 319940 ) ( 1250740 * 0 )
NEW met1 ( 1159430 324190 ) M1M2_PR
NEW met1 ( 1235790 324190 ) M1M2_PR
NEW met2 ( 1235790 319940 ) M2M3_PR ;
- io_wbs_adr_1\[29\] ( wb_mux_inst io_wbs_adr_1[29] ) ( wb_memory_inst io_wbs_adr[29] ) + USE SIGNAL
+ ROUTED met2 ( 1180130 850340 ) ( 1181970 * 0 )
NEW met2 ( 1180130 323850 ) ( * 850340 )
NEW met2 ( 1235330 323340 ) ( * 323850 )
NEW met1 ( 1180130 323850 ) ( 1235330 * )
NEW met3 ( 1235330 323340 ) ( 1250740 * 0 )
NEW met1 ( 1180130 323850 ) M1M2_PR
NEW met1 ( 1235330 323850 ) M1M2_PR
NEW met2 ( 1235330 323340 ) M2M3_PR ;
- io_wbs_adr_1\[2\] ( wb_mux_inst io_wbs_adr_1[2] ) ( wb_memory_inst io_wbs_adr[2] ) + USE SIGNAL
+ ROUTED met2 ( 607430 850340 ) ( 609270 * 0 )
NEW met2 ( 607430 234090 ) ( * 850340 )
NEW met2 ( 1235330 231540 ) ( * 234090 )
NEW met1 ( 607430 234090 ) ( 1235330 * )
NEW met3 ( 1235330 231540 ) ( 1250740 * 0 )
NEW met1 ( 607430 234090 ) M1M2_PR
NEW met1 ( 1235330 234090 ) M1M2_PR
NEW met2 ( 1235330 231540 ) M2M3_PR ;
- io_wbs_adr_1\[30\] ( wb_mux_inst io_wbs_adr_1[30] ) ( wb_memory_inst io_wbs_adr[30] ) + USE SIGNAL
+ ROUTED met3 ( 1231650 326740 ) ( 1250740 * 0 )
NEW met1 ( 1202670 835210 ) ( 1231650 * )
NEW met2 ( 1202670 835210 ) ( * 850340 0 )
NEW met2 ( 1231650 326740 ) ( * 835210 )
NEW met2 ( 1231650 326740 ) M2M3_PR
NEW met1 ( 1231650 835210 ) M1M2_PR
NEW met1 ( 1202670 835210 ) M1M2_PR ;
- io_wbs_adr_1\[31\] ( wb_mux_inst io_wbs_adr_1[31] ) ( wb_memory_inst io_wbs_adr[31] ) + USE SIGNAL
+ ROUTED met1 ( 1221530 330990 ) ( 1235330 * )
NEW met2 ( 1235330 330140 ) ( * 330990 )
NEW met3 ( 1235330 330140 ) ( 1250740 * 0 )
NEW met2 ( 1221530 850340 ) ( 1223370 * 0 )
NEW met2 ( 1221530 330990 ) ( * 850340 )
NEW met1 ( 1221530 330990 ) M1M2_PR
NEW met1 ( 1235330 330990 ) M1M2_PR
NEW met2 ( 1235330 330140 ) M2M3_PR ;
- io_wbs_adr_1\[3\] ( wb_mux_inst io_wbs_adr_1[3] ) ( wb_memory_inst io_wbs_adr[3] ) + USE SIGNAL
+ ROUTED met2 ( 635030 850340 ) ( 636870 * 0 )
NEW met2 ( 635030 241230 ) ( * 850340 )
NEW met2 ( 1235790 234940 ) ( * 241230 )
NEW met1 ( 635030 241230 ) ( 1235790 * )
NEW met3 ( 1235790 234940 ) ( 1250740 * 0 )
NEW met1 ( 635030 241230 ) M1M2_PR
NEW met1 ( 1235790 241230 ) M1M2_PR
NEW met2 ( 1235790 234940 ) M2M3_PR ;
- io_wbs_adr_1\[4\] ( wb_mux_inst io_wbs_adr_1[4] ) ( wb_memory_inst io_wbs_adr[4] ) + USE SIGNAL
+ ROUTED met2 ( 662630 850340 ) ( 664470 * 0 )
NEW met2 ( 662630 240890 ) ( * 850340 )
NEW met2 ( 1235330 238340 ) ( * 240890 )
NEW met1 ( 662630 240890 ) ( 1235330 * )
NEW met3 ( 1235330 238340 ) ( 1250740 * 0 )
NEW met1 ( 662630 240890 ) M1M2_PR
NEW met1 ( 1235330 240890 ) M1M2_PR
NEW met2 ( 1235330 238340 ) M2M3_PR ;
- io_wbs_adr_1\[5\] ( wb_mux_inst io_wbs_adr_1[5] ) ( wb_memory_inst io_wbs_adr[5] ) + USE SIGNAL
+ ROUTED met2 ( 683330 850340 ) ( 685170 * 0 )
NEW met2 ( 683330 248370 ) ( * 850340 )
NEW met2 ( 1235790 241740 ) ( * 248370 )
NEW met1 ( 683330 248370 ) ( 1235790 * )
NEW met3 ( 1235790 241740 ) ( 1250740 * 0 )
NEW met1 ( 683330 248370 ) M1M2_PR
NEW met1 ( 1235790 248370 ) M1M2_PR
NEW met2 ( 1235790 241740 ) M2M3_PR ;
- io_wbs_adr_1\[6\] ( wb_mux_inst io_wbs_adr_1[6] ) ( wb_memory_inst io_wbs_adr[6] ) + USE SIGNAL
+ ROUTED met2 ( 704030 850340 ) ( 705870 * 0 )
NEW met2 ( 704030 248030 ) ( * 850340 )
NEW met2 ( 1235330 245140 ) ( * 248030 )
NEW met1 ( 704030 248030 ) ( 1235330 * )
NEW met3 ( 1235330 245140 ) ( 1250740 * 0 )
NEW met1 ( 704030 248030 ) M1M2_PR
NEW met1 ( 1235330 248030 ) M1M2_PR
NEW met2 ( 1235330 245140 ) M2M3_PR ;
- io_wbs_adr_1\[7\] ( wb_mux_inst io_wbs_adr_1[7] ) ( wb_memory_inst io_wbs_adr[7] ) + USE SIGNAL
+ ROUTED met2 ( 724730 850340 ) ( 726570 * 0 )
NEW met2 ( 724730 255170 ) ( * 850340 )
NEW met2 ( 1236250 248540 ) ( * 255170 )
NEW met1 ( 724730 255170 ) ( 1236250 * )
NEW met3 ( 1236250 248540 ) ( 1250740 * 0 )
NEW met1 ( 724730 255170 ) M1M2_PR
NEW met1 ( 1236250 255170 ) M1M2_PR
NEW met2 ( 1236250 248540 ) M2M3_PR ;
- io_wbs_adr_1\[8\] ( wb_mux_inst io_wbs_adr_1[8] ) ( wb_memory_inst io_wbs_adr[8] ) + USE SIGNAL
+ ROUTED met2 ( 745430 850340 ) ( 747270 * 0 )
NEW met2 ( 745430 254830 ) ( * 850340 )
NEW met2 ( 1235330 251940 ) ( * 254830 )
NEW met1 ( 745430 254830 ) ( 1235330 * )
NEW met3 ( 1235330 251940 ) ( 1250740 * 0 )
NEW met1 ( 745430 254830 ) M1M2_PR
NEW met1 ( 1235330 254830 ) M1M2_PR
NEW met2 ( 1235330 251940 ) M2M3_PR ;
- io_wbs_adr_1\[9\] ( wb_mux_inst io_wbs_adr_1[9] ) ( wb_memory_inst io_wbs_adr[9] ) + USE SIGNAL
+ ROUTED met2 ( 766130 850340 ) ( 767970 * 0 )
NEW met2 ( 766130 261970 ) ( * 850340 )
NEW met2 ( 1236710 255340 ) ( * 261970 )
NEW met1 ( 766130 261970 ) ( 1236710 * )
NEW met3 ( 1236710 255340 ) ( 1250740 * 0 )
NEW met1 ( 766130 261970 ) M1M2_PR
NEW met1 ( 1236710 261970 ) M1M2_PR
NEW met2 ( 1236710 255340 ) M2M3_PR ;
- io_wbs_cyc_0 ( wfg_top_inst io_wbs_cyc ) ( wb_mux_inst io_wbs_cyc_0 ) + USE SIGNAL
+ ROUTED met3 ( 1649100 574940 0 ) ( 1658990 * )
NEW met2 ( 1658990 574940 ) ( * 579530 )
NEW met2 ( 1939130 2200140 ) ( 1940280 * 0 )
NEW met2 ( 1939130 579530 ) ( * 2200140 )
NEW met1 ( 1658990 579530 ) ( 1939130 * )
NEW met2 ( 1658990 574940 ) M2M3_PR
NEW met1 ( 1658990 579530 ) M1M2_PR
NEW met1 ( 1939130 579530 ) M1M2_PR ;
- io_wbs_cyc_1 ( wb_mux_inst io_wbs_cyc_1 ) ( wb_memory_inst io_wbs_cyc ) + USE SIGNAL
+ ROUTED met2 ( 1235790 574940 ) ( * 579530 )
NEW met1 ( 524630 579530 ) ( 1235790 * )
NEW met3 ( 1235790 574940 ) ( 1250740 * 0 )
NEW met2 ( 524630 850340 ) ( 526470 * 0 )
NEW met2 ( 524630 579530 ) ( * 850340 )
NEW met1 ( 524630 579530 ) M1M2_PR
NEW met1 ( 1235790 579530 ) M1M2_PR
NEW met2 ( 1235790 574940 ) M2M3_PR ;
- io_wbs_datrd_0\[0\] ( wfg_top_inst io_wbs_datrd[0] ) ( wb_mux_inst io_wbs_datrd_0[0] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 442340 0 ) ( 1661290 * )
NEW met2 ( 1661290 442340 ) ( * 447950 )
NEW met1 ( 1661290 447950 ) ( 1973630 * )
NEW met2 ( 1973630 2200140 ) ( 1974780 * 0 )
NEW met2 ( 1973630 447950 ) ( * 2200140 )
NEW met2 ( 1661290 442340 ) M2M3_PR
NEW met1 ( 1661290 447950 ) M1M2_PR
NEW met1 ( 1973630 447950 ) M1M2_PR ;
- io_wbs_datrd_0\[10\] ( wfg_top_inst io_wbs_datrd[10] ) ( wb_mux_inst io_wbs_datrd_0[10] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 476340 0 ) ( 1661290 * )
NEW met2 ( 1661290 476340 ) ( * 482630 )
NEW met1 ( 1661290 482630 ) ( 2180630 * )
NEW met2 ( 2180630 2200140 ) ( 2181780 * 0 )
NEW met2 ( 2180630 482630 ) ( * 2200140 )
NEW met2 ( 1661290 476340 ) M2M3_PR
NEW met1 ( 1661290 482630 ) M1M2_PR
NEW met1 ( 2180630 482630 ) M1M2_PR ;
- io_wbs_datrd_0\[11\] ( wfg_top_inst io_wbs_datrd[11] ) ( wb_mux_inst io_wbs_datrd_0[11] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 479740 0 ) ( 1657150 * )
NEW met2 ( 1657150 479740 ) ( * 482970 )
NEW met1 ( 1657150 482970 ) ( 2201330 * )
NEW met2 ( 2201330 2200140 ) ( 2202480 * 0 )
NEW met2 ( 2201330 482970 ) ( * 2200140 )
NEW met2 ( 1657150 479740 ) M2M3_PR
NEW met1 ( 1657150 482970 ) M1M2_PR
NEW met1 ( 2201330 482970 ) M1M2_PR ;
- io_wbs_datrd_0\[12\] ( wfg_top_inst io_wbs_datrd[12] ) ( wb_mux_inst io_wbs_datrd_0[12] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 483140 0 ) ( 1661290 * )
NEW met2 ( 1661290 483140 ) ( * 489430 )
NEW met2 ( 2222030 2200140 ) ( 2223180 * 0 )
NEW met2 ( 2222030 489430 ) ( * 2200140 )
NEW met1 ( 1661290 489430 ) ( 2222030 * )
NEW met2 ( 1661290 483140 ) M2M3_PR
NEW met1 ( 1661290 489430 ) M1M2_PR
NEW met1 ( 2222030 489430 ) M1M2_PR ;
- io_wbs_datrd_0\[13\] ( wfg_top_inst io_wbs_datrd[13] ) ( wb_mux_inst io_wbs_datrd_0[13] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 486540 0 ) ( 1657150 * )
NEW met2 ( 1657150 486540 ) ( * 489770 )
NEW met1 ( 2239050 2187390 ) ( 2242730 * )
NEW met2 ( 2242730 2187390 ) ( * 2200140 )
NEW met2 ( 2242730 2200140 ) ( 2243880 * 0 )
NEW met2 ( 2239050 489770 ) ( * 2187390 )
NEW met1 ( 1657150 489770 ) ( 2239050 * )
NEW met2 ( 1657150 486540 ) M2M3_PR
NEW met1 ( 1657150 489770 ) M1M2_PR
NEW met1 ( 2239050 489770 ) M1M2_PR
NEW met1 ( 2239050 2187390 ) M1M2_PR
NEW met1 ( 2242730 2187390 ) M1M2_PR ;
- io_wbs_datrd_0\[14\] ( wfg_top_inst io_wbs_datrd[14] ) ( wb_mux_inst io_wbs_datrd_0[14] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 489940 0 ) ( 1659450 * )
NEW met2 ( 1659450 489940 ) ( * 495890 )
NEW met2 ( 2245950 495890 ) ( * 2187390 )
NEW met1 ( 1659450 495890 ) ( 2245950 * )
NEW met2 ( 2263430 2187390 ) ( * 2200140 )
NEW met2 ( 2263430 2200140 ) ( 2264580 * 0 )
NEW met1 ( 2245950 2187390 ) ( 2263430 * )
NEW met2 ( 1659450 489940 ) M2M3_PR
NEW met1 ( 1659450 495890 ) M1M2_PR
NEW met1 ( 2245950 495890 ) M1M2_PR
NEW met1 ( 2245950 2187390 ) M1M2_PR
NEW met1 ( 2263430 2187390 ) M1M2_PR ;
- io_wbs_datrd_0\[15\] ( wfg_top_inst io_wbs_datrd[15] ) ( wb_mux_inst io_wbs_datrd_0[15] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 493340 0 ) ( 1661750 * )
NEW met2 ( 1661750 493340 ) ( * 496230 )
NEW met1 ( 1661750 496230 ) ( 2259750 * )
NEW met1 ( 2259750 2187730 ) ( 2284130 * )
NEW met2 ( 2284130 2187730 ) ( * 2200140 )
NEW met2 ( 2284130 2200140 ) ( 2285280 * 0 )
NEW met2 ( 2259750 496230 ) ( * 2187730 )
NEW met2 ( 1661750 493340 ) M2M3_PR
NEW met1 ( 1661750 496230 ) M1M2_PR
NEW met1 ( 2259750 496230 ) M1M2_PR
NEW met1 ( 2259750 2187730 ) M1M2_PR
NEW met1 ( 2284130 2187730 ) M1M2_PR ;
- io_wbs_datrd_0\[16\] ( wfg_top_inst io_wbs_datrd[16] ) ( wb_mux_inst io_wbs_datrd_0[16] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 496740 0 ) ( 1661290 * )
NEW met2 ( 1661290 496570 ) ( * 496740 )
NEW met2 ( 2305290 2190790 ) ( * 2200140 )
NEW met2 ( 2305290 2200140 ) ( 2305980 * 0 )
NEW met1 ( 1661290 496570 ) ( 2266650 * )
NEW met2 ( 2266650 496570 ) ( * 2190790 )
NEW met1 ( 2266650 2190790 ) ( 2305290 * )
NEW met2 ( 1661290 496740 ) M2M3_PR
NEW met1 ( 1661290 496570 ) M1M2_PR
NEW met1 ( 2305290 2190790 ) M1M2_PR
NEW met1 ( 2266650 496570 ) M1M2_PR
NEW met1 ( 2266650 2190790 ) M1M2_PR ;
- io_wbs_datrd_0\[17\] ( wfg_top_inst io_wbs_datrd[17] ) ( wb_mux_inst io_wbs_datrd_0[17] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 500140 0 ) ( 1656690 * )
NEW met2 ( 1656690 500140 ) ( * 503370 )
NEW met2 ( 2325530 2200140 ) ( 2326680 * 0 )
NEW met2 ( 2325530 503370 ) ( * 2200140 )
NEW met1 ( 1656690 503370 ) ( 2325530 * )
NEW met2 ( 1656690 500140 ) M2M3_PR
NEW met1 ( 1656690 503370 ) M1M2_PR
NEW met1 ( 2325530 503370 ) M1M2_PR ;
- io_wbs_datrd_0\[18\] ( wfg_top_inst io_wbs_datrd[18] ) ( wb_mux_inst io_wbs_datrd_0[18] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 503540 0 ) ( 1661290 * )
NEW met2 ( 1661290 503030 ) ( * 503540 )
NEW met2 ( 2346230 2191810 ) ( * 2200140 )
NEW met2 ( 2346230 2200140 ) ( 2347380 * 0 )
NEW met1 ( 1661290 503030 ) ( 2287350 * )
NEW met2 ( 2287350 503030 ) ( * 2191810 )
NEW met1 ( 2287350 2191810 ) ( 2346230 * )
NEW met2 ( 1661290 503540 ) M2M3_PR
NEW met1 ( 1661290 503030 ) M1M2_PR
NEW met1 ( 2346230 2191810 ) M1M2_PR
NEW met1 ( 2287350 503030 ) M1M2_PR
NEW met1 ( 2287350 2191810 ) M1M2_PR ;
- io_wbs_datrd_0\[19\] ( wfg_top_inst io_wbs_datrd[19] ) ( wb_mux_inst io_wbs_datrd_0[19] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 506940 0 ) ( 1661750 * )
NEW met2 ( 1661750 506940 ) ( * 510510 )
NEW met1 ( 1661750 510510 ) ( 2366930 * )
NEW met2 ( 2366930 2200140 ) ( 2368080 * 0 )
NEW met2 ( 2366930 510510 ) ( * 2200140 )
NEW met2 ( 1661750 506940 ) M2M3_PR
NEW met1 ( 1661750 510510 ) M1M2_PR
NEW met1 ( 2366930 510510 ) M1M2_PR ;
- io_wbs_datrd_0\[1\] ( wfg_top_inst io_wbs_datrd[1] ) ( wb_mux_inst io_wbs_datrd_0[1] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 445740 0 ) ( 1661750 * )
NEW met2 ( 1661750 445740 ) ( * 448290 )
NEW met1 ( 1661750 448290 ) ( 1994330 * )
NEW met2 ( 1994330 2200140 ) ( 1995480 * 0 )
NEW met2 ( 1994330 448290 ) ( * 2200140 )
NEW met2 ( 1661750 445740 ) M2M3_PR
NEW met1 ( 1661750 448290 ) M1M2_PR
NEW met1 ( 1994330 448290 ) M1M2_PR ;
- io_wbs_datrd_0\[20\] ( wfg_top_inst io_wbs_datrd[20] ) ( wb_mux_inst io_wbs_datrd_0[20] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 510340 0 ) ( 1661290 * )
NEW met2 ( 1661290 510170 ) ( * 510340 )
NEW met2 ( 2308050 510170 ) ( * 2191470 )
NEW met1 ( 1661290 510170 ) ( 2308050 * )
NEW met2 ( 2387630 2191470 ) ( * 2200140 )
NEW met2 ( 2387630 2200140 ) ( 2388780 * 0 )
NEW met1 ( 2308050 2191470 ) ( 2387630 * )
NEW met2 ( 1661290 510340 ) M2M3_PR
NEW met1 ( 1661290 510170 ) M1M2_PR
NEW met1 ( 2308050 510170 ) M1M2_PR
NEW met1 ( 2308050 2191470 ) M1M2_PR
NEW met1 ( 2387630 2191470 ) M1M2_PR ;
- io_wbs_datrd_0\[21\] ( wfg_top_inst io_wbs_datrd[21] ) ( wb_mux_inst io_wbs_datrd_0[21] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 513740 0 ) ( 1656690 * )
NEW met2 ( 1656690 513740 ) ( * 516970 )
NEW met2 ( 2321850 516970 ) ( * 2190790 )
NEW met2 ( 2408330 2190790 ) ( * 2200140 )
NEW met2 ( 2408330 2200140 ) ( 2409480 * 0 )
NEW met1 ( 1656690 516970 ) ( 2321850 * )
NEW met1 ( 2321850 2190790 ) ( 2408330 * )
NEW met2 ( 1656690 513740 ) M2M3_PR
NEW met1 ( 1656690 516970 ) M1M2_PR
NEW met1 ( 2321850 516970 ) M1M2_PR
NEW met1 ( 2321850 2190790 ) M1M2_PR
NEW met1 ( 2408330 2190790 ) M1M2_PR ;
- io_wbs_datrd_0\[22\] ( wfg_top_inst io_wbs_datrd[22] ) ( wb_mux_inst io_wbs_datrd_0[22] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 517140 0 ) ( 1661290 * )
NEW met2 ( 1661290 517140 ) ( * 517310 )
NEW met2 ( 2328750 517310 ) ( * 2191130 )
NEW met2 ( 2429030 2191130 ) ( * 2200140 )
NEW met2 ( 2429030 2200140 ) ( 2430180 * 0 )
NEW met1 ( 1661290 517310 ) ( 2328750 * )
NEW met1 ( 2328750 2191130 ) ( 2429030 * )
NEW met2 ( 1661290 517140 ) M2M3_PR
NEW met1 ( 1661290 517310 ) M1M2_PR
NEW met1 ( 2328750 517310 ) M1M2_PR
NEW met1 ( 2328750 2191130 ) M1M2_PR
NEW met1 ( 2429030 2191130 ) M1M2_PR ;
- io_wbs_datrd_0\[23\] ( wfg_top_inst io_wbs_datrd[23] ) ( wb_mux_inst io_wbs_datrd_0[23] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 520540 0 ) ( 1656690 * )
NEW met2 ( 1656690 520540 ) ( * 523770 )
NEW met1 ( 1656690 523770 ) ( 2449730 * )
NEW met2 ( 2449730 2200140 ) ( 2450880 * 0 )
NEW met2 ( 2449730 523770 ) ( * 2200140 )
NEW met2 ( 1656690 520540 ) M2M3_PR
NEW met1 ( 1656690 523770 ) M1M2_PR
NEW met1 ( 2449730 523770 ) M1M2_PR ;
- io_wbs_datrd_0\[24\] ( wfg_top_inst io_wbs_datrd[24] ) ( wb_mux_inst io_wbs_datrd_0[24] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 523940 0 ) ( 1661290 * )
NEW met2 ( 1661290 523940 ) ( * 524110 )
NEW met1 ( 1661290 524110 ) ( 2470430 * )
NEW met2 ( 2470430 2200140 ) ( 2471580 * 0 )
NEW met2 ( 2470430 524110 ) ( * 2200140 )
NEW met2 ( 1661290 523940 ) M2M3_PR
NEW met1 ( 1661290 524110 ) M1M2_PR
NEW met1 ( 2470430 524110 ) M1M2_PR ;
- io_wbs_datrd_0\[25\] ( wfg_top_inst io_wbs_datrd[25] ) ( wb_mux_inst io_wbs_datrd_0[25] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 527340 0 ) ( 1661750 * )
NEW met2 ( 1661750 527340 ) ( * 530910 )
NEW met1 ( 1661750 530910 ) ( 2491130 * )
NEW met2 ( 2491130 2200140 ) ( 2492280 * 0 )
NEW met2 ( 2491130 530910 ) ( * 2200140 )
NEW met2 ( 1661750 527340 ) M2M3_PR
NEW met1 ( 1661750 530910 ) M1M2_PR
NEW met1 ( 2491130 530910 ) M1M2_PR ;
- io_wbs_datrd_0\[26\] ( wfg_top_inst io_wbs_datrd[26] ) ( wb_mux_inst io_wbs_datrd_0[26] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 530740 0 ) ( 1661290 * )
NEW met2 ( 1661290 530740 ) ( * 531250 )
NEW met2 ( 2511830 2200140 ) ( 2512980 * 0 )
NEW met2 ( 2511830 531250 ) ( * 2200140 )
NEW met1 ( 1661290 531250 ) ( 2511830 * )
NEW met2 ( 1661290 530740 ) M2M3_PR
NEW met1 ( 1661290 531250 ) M1M2_PR
NEW met1 ( 2511830 531250 ) M1M2_PR ;
- io_wbs_datrd_0\[27\] ( wfg_top_inst io_wbs_datrd[27] ) ( wb_mux_inst io_wbs_datrd_0[27] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 534140 0 ) ( 1661750 * )
NEW met2 ( 1661750 534140 ) ( * 537710 )
NEW met2 ( 2532530 2200140 ) ( 2533680 * 0 )
NEW met2 ( 2532530 537710 ) ( * 2200140 )
NEW met1 ( 1661750 537710 ) ( 2532530 * )
NEW met2 ( 1661750 534140 ) M2M3_PR
NEW met1 ( 1661750 537710 ) M1M2_PR
NEW met1 ( 2532530 537710 ) M1M2_PR ;
- io_wbs_datrd_0\[28\] ( wfg_top_inst io_wbs_datrd[28] ) ( wb_mux_inst io_wbs_datrd_0[28] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 537540 0 ) ( 1661290 * )
NEW met2 ( 1661290 537540 ) ( * 538050 )
NEW met1 ( 1661290 538050 ) ( 2553230 * )
NEW met2 ( 2553230 2200140 ) ( 2554380 * 0 )
NEW met2 ( 2553230 538050 ) ( * 2200140 )
NEW met2 ( 1661290 537540 ) M2M3_PR
NEW met1 ( 1661290 538050 ) M1M2_PR
NEW met1 ( 2553230 538050 ) M1M2_PR ;
- io_wbs_datrd_0\[29\] ( wfg_top_inst io_wbs_datrd[29] ) ( wb_mux_inst io_wbs_datrd_0[29] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 540940 0 ) ( 1657610 * )
NEW met2 ( 1657610 540940 ) ( * 544850 )
NEW met1 ( 1657610 544850 ) ( 2573930 * )
NEW met2 ( 2573930 2200140 ) ( 2575080 * 0 )
NEW met2 ( 2573930 544850 ) ( * 2200140 )
NEW met2 ( 1657610 540940 ) M2M3_PR
NEW met1 ( 1657610 544850 ) M1M2_PR
NEW met1 ( 2573930 544850 ) M1M2_PR ;
- io_wbs_datrd_0\[2\] ( wfg_top_inst io_wbs_datrd[2] ) ( wb_mux_inst io_wbs_datrd_0[2] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 449140 0 ) ( 1661290 * )
NEW met2 ( 1661290 449140 ) ( * 454750 )
NEW met2 ( 2015030 2200140 ) ( 2016180 * 0 )
NEW met2 ( 2015030 454750 ) ( * 2200140 )
NEW met1 ( 1661290 454750 ) ( 2015030 * )
NEW met2 ( 1661290 449140 ) M2M3_PR
NEW met1 ( 1661290 454750 ) M1M2_PR
NEW met1 ( 2015030 454750 ) M1M2_PR ;
- io_wbs_datrd_0\[30\] ( wfg_top_inst io_wbs_datrd[30] ) ( wb_mux_inst io_wbs_datrd_0[30] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 544340 0 ) ( 1661290 * )
NEW met2 ( 1661290 544340 ) ( * 544510 )
NEW met2 ( 2411550 544510 ) ( * 2190790 )
NEW met2 ( 2595090 2190790 ) ( * 2200140 )
NEW met2 ( 2595090 2200140 ) ( 2595780 * 0 )
NEW met1 ( 1661290 544510 ) ( 2411550 * )
NEW met1 ( 2411550 2190790 ) ( 2595090 * )
NEW met2 ( 1661290 544340 ) M2M3_PR
NEW met1 ( 1661290 544510 ) M1M2_PR
NEW met1 ( 2411550 544510 ) M1M2_PR
NEW met1 ( 2411550 2190790 ) M1M2_PR
NEW met1 ( 2595090 2190790 ) M1M2_PR ;
- io_wbs_datrd_0\[31\] ( wfg_top_inst io_wbs_datrd[31] ) ( wb_mux_inst io_wbs_datrd_0[31] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 547740 0 ) ( 1661750 * )
NEW met2 ( 1661750 547740 ) ( * 551990 )
NEW met2 ( 2615330 2200140 ) ( 2616480 * 0 )
NEW met2 ( 2615330 551990 ) ( * 2200140 )
NEW met1 ( 1661750 551990 ) ( 2615330 * )
NEW met2 ( 1661750 547740 ) M2M3_PR
NEW met1 ( 1661750 551990 ) M1M2_PR
NEW met1 ( 2615330 551990 ) M1M2_PR ;
- io_wbs_datrd_0\[3\] ( wfg_top_inst io_wbs_datrd[3] ) ( wb_mux_inst io_wbs_datrd_0[3] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 452540 0 ) ( 1661750 * )
NEW met2 ( 1661750 452540 ) ( * 455090 )
NEW met2 ( 2035730 2200140 ) ( 2036880 * 0 )
NEW met2 ( 2035730 455090 ) ( * 2200140 )
NEW met1 ( 1661750 455090 ) ( 2035730 * )
NEW met2 ( 1661750 452540 ) M2M3_PR
NEW met1 ( 1661750 455090 ) M1M2_PR
NEW met1 ( 2035730 455090 ) M1M2_PR ;
- io_wbs_datrd_0\[4\] ( wfg_top_inst io_wbs_datrd[4] ) ( wb_mux_inst io_wbs_datrd_0[4] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 455940 0 ) ( 1659450 * )
NEW met2 ( 1659450 455940 ) ( * 461890 )
NEW met2 ( 2056430 2200140 ) ( 2057580 * 0 )
NEW met2 ( 2056430 461890 ) ( * 2200140 )
NEW met1 ( 1659450 461890 ) ( 2056430 * )
NEW met2 ( 1659450 455940 ) M2M3_PR
NEW met1 ( 1659450 461890 ) M1M2_PR
NEW met1 ( 2056430 461890 ) M1M2_PR ;
- io_wbs_datrd_0\[5\] ( wfg_top_inst io_wbs_datrd[5] ) ( wb_mux_inst io_wbs_datrd_0[5] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 459340 0 ) ( 1661290 * )
NEW met2 ( 1661290 459340 ) ( * 462230 )
NEW met1 ( 1661290 462230 ) ( 2077130 * )
NEW met2 ( 2077130 2200140 ) ( 2078280 * 0 )
NEW met2 ( 2077130 462230 ) ( * 2200140 )
NEW met2 ( 1661290 459340 ) M2M3_PR
NEW met1 ( 1661290 462230 ) M1M2_PR
NEW met1 ( 2077130 462230 ) M1M2_PR ;
- io_wbs_datrd_0\[6\] ( wfg_top_inst io_wbs_datrd[6] ) ( wb_mux_inst io_wbs_datrd_0[6] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 462740 0 ) ( 1659450 * )
NEW met2 ( 1659450 462740 ) ( * 468690 )
NEW met1 ( 1659450 468690 ) ( 2097830 * )
NEW met2 ( 2097830 2200140 ) ( 2098980 * 0 )
NEW met2 ( 2097830 468690 ) ( * 2200140 )
NEW met2 ( 1659450 462740 ) M2M3_PR
NEW met1 ( 1659450 468690 ) M1M2_PR
NEW met1 ( 2097830 468690 ) M1M2_PR ;
- io_wbs_datrd_0\[7\] ( wfg_top_inst io_wbs_datrd[7] ) ( wb_mux_inst io_wbs_datrd_0[7] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 466140 0 ) ( 1661290 * )
NEW met2 ( 1661290 466140 ) ( * 469030 )
NEW met2 ( 2118530 2200140 ) ( 2119680 * 0 )
NEW met2 ( 2118530 469030 ) ( * 2200140 )
NEW met1 ( 1661290 469030 ) ( 2118530 * )
NEW met2 ( 1661290 466140 ) M2M3_PR
NEW met1 ( 1661290 469030 ) M1M2_PR
NEW met1 ( 2118530 469030 ) M1M2_PR ;
- io_wbs_datrd_0\[8\] ( wfg_top_inst io_wbs_datrd[8] ) ( wb_mux_inst io_wbs_datrd_0[8] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 469540 0 ) ( 1659450 * )
NEW met2 ( 1659450 469540 ) ( * 475490 )
NEW met2 ( 2139230 2200140 ) ( 2140380 * 0 )
NEW met2 ( 2139230 475490 ) ( * 2200140 )
NEW met1 ( 1659450 475490 ) ( 2139230 * )
NEW met2 ( 1659450 469540 ) M2M3_PR
NEW met1 ( 1659450 475490 ) M1M2_PR
NEW met1 ( 2139230 475490 ) M1M2_PR ;
- io_wbs_datrd_0\[9\] ( wfg_top_inst io_wbs_datrd[9] ) ( wb_mux_inst io_wbs_datrd_0[9] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 472940 0 ) ( 1661290 * )
NEW met2 ( 1661290 472940 ) ( * 475830 )
NEW met1 ( 1661290 475830 ) ( 2159930 * )
NEW met2 ( 2159930 2200140 ) ( 2161080 * 0 )
NEW met2 ( 2159930 475830 ) ( * 2200140 )
NEW met2 ( 1661290 472940 ) M2M3_PR
NEW met1 ( 1661290 475830 ) M1M2_PR
NEW met1 ( 2159930 475830 ) M1M2_PR ;
- io_wbs_datrd_1\[0\] ( wb_mux_inst io_wbs_datrd_1[0] ) ( wb_memory_inst io_wbs_datrd[0] ) + USE SIGNAL
+ ROUTED met2 ( 559130 850340 ) ( 560970 * 0 )
NEW met2 ( 559130 448290 ) ( * 850340 )
NEW met2 ( 1235790 442340 ) ( * 448290 )
NEW met1 ( 559130 448290 ) ( 1235790 * )
NEW met3 ( 1235790 442340 ) ( 1250740 * 0 )
NEW met1 ( 559130 448290 ) M1M2_PR
NEW met1 ( 1235790 448290 ) M1M2_PR
NEW met2 ( 1235790 442340 ) M2M3_PR ;
- io_wbs_datrd_1\[10\] ( wb_mux_inst io_wbs_datrd_1[10] ) ( wb_memory_inst io_wbs_datrd[10] ) + USE SIGNAL
+ ROUTED met2 ( 793730 850340 ) ( 795570 * 0 )
NEW met2 ( 793730 482970 ) ( * 850340 )
NEW met2 ( 1235790 476340 ) ( * 482970 )
NEW met1 ( 793730 482970 ) ( 1235790 * )
NEW met3 ( 1235790 476340 ) ( 1250740 * 0 )
NEW met1 ( 793730 482970 ) M1M2_PR
NEW met1 ( 1235790 482970 ) M1M2_PR
NEW met2 ( 1235790 476340 ) M2M3_PR ;
- io_wbs_datrd_1\[11\] ( wb_mux_inst io_wbs_datrd_1[11] ) ( wb_memory_inst io_wbs_datrd[11] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 479740 ) ( * 482630 )
NEW met1 ( 814430 482630 ) ( 1235330 * )
NEW met3 ( 1235330 479740 ) ( 1250740 * 0 )
NEW met2 ( 814430 850340 ) ( 816270 * 0 )
NEW met2 ( 814430 482630 ) ( * 850340 )
NEW met1 ( 814430 482630 ) M1M2_PR
NEW met1 ( 1235330 482630 ) M1M2_PR
NEW met2 ( 1235330 479740 ) M2M3_PR ;
- io_wbs_datrd_1\[12\] ( wb_mux_inst io_wbs_datrd_1[12] ) ( wb_memory_inst io_wbs_datrd[12] ) + USE SIGNAL
+ ROUTED met2 ( 1236710 483140 ) ( * 489770 )
NEW met1 ( 835130 489770 ) ( 1236710 * )
NEW met3 ( 1236710 483140 ) ( 1250740 * 0 )
NEW met2 ( 835130 850340 ) ( 836970 * 0 )
NEW met2 ( 835130 489770 ) ( * 850340 )
NEW met1 ( 835130 489770 ) M1M2_PR
NEW met1 ( 1236710 489770 ) M1M2_PR
NEW met2 ( 1236710 483140 ) M2M3_PR ;
- io_wbs_datrd_1\[13\] ( wb_mux_inst io_wbs_datrd_1[13] ) ( wb_memory_inst io_wbs_datrd[13] ) + USE SIGNAL
+ ROUTED met2 ( 855830 850340 ) ( 857670 * 0 )
NEW met2 ( 855830 489430 ) ( * 850340 )
NEW met2 ( 1235330 486540 ) ( * 489430 )
NEW met1 ( 855830 489430 ) ( 1235330 * )
NEW met3 ( 1235330 486540 ) ( 1250740 * 0 )
NEW met1 ( 855830 489430 ) M1M2_PR
NEW met1 ( 1235330 489430 ) M1M2_PR
NEW met2 ( 1235330 486540 ) M2M3_PR ;
- io_wbs_datrd_1\[14\] ( wb_mux_inst io_wbs_datrd_1[14] ) ( wb_memory_inst io_wbs_datrd[14] ) + USE SIGNAL
+ ROUTED met2 ( 876530 850340 ) ( 878370 * 0 )
NEW met2 ( 876530 496570 ) ( * 850340 )
NEW met2 ( 1237170 489940 ) ( * 496570 )
NEW met1 ( 876530 496570 ) ( 1237170 * )
NEW met3 ( 1237170 489940 ) ( 1250740 * 0 )
NEW met1 ( 876530 496570 ) M1M2_PR
NEW met1 ( 1237170 496570 ) M1M2_PR
NEW met2 ( 1237170 489940 ) M2M3_PR ;
- io_wbs_datrd_1\[15\] ( wb_mux_inst io_wbs_datrd_1[15] ) ( wb_memory_inst io_wbs_datrd[15] ) + USE SIGNAL
+ ROUTED met2 ( 897230 850340 ) ( 899070 * 0 )
NEW met2 ( 897230 496230 ) ( * 850340 )
NEW met2 ( 1235330 493340 ) ( * 496230 )
NEW met1 ( 897230 496230 ) ( 1235330 * )
NEW met3 ( 1235330 493340 ) ( 1250740 * 0 )
NEW met1 ( 897230 496230 ) M1M2_PR
NEW met1 ( 1235330 496230 ) M1M2_PR
NEW met2 ( 1235330 493340 ) M2M3_PR ;
- io_wbs_datrd_1\[16\] ( wb_mux_inst io_wbs_datrd_1[16] ) ( wb_memory_inst io_wbs_datrd[16] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 495890 ) ( * 496740 )
NEW met1 ( 917930 495890 ) ( 1235790 * )
NEW met3 ( 1235790 496740 ) ( 1250740 * 0 )
NEW met2 ( 917930 850340 ) ( 919770 * 0 )
NEW met2 ( 917930 495890 ) ( * 850340 )
NEW met1 ( 917930 495890 ) M1M2_PR
NEW met1 ( 1235790 495890 ) M1M2_PR
NEW met2 ( 1235790 496740 ) M2M3_PR ;
- io_wbs_datrd_1\[17\] ( wb_mux_inst io_wbs_datrd_1[17] ) ( wb_memory_inst io_wbs_datrd[17] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 500140 ) ( * 503370 )
NEW met1 ( 938630 503370 ) ( 1235790 * )
NEW met3 ( 1235790 500140 ) ( 1250740 * 0 )
NEW met2 ( 938630 850340 ) ( 940470 * 0 )
NEW met2 ( 938630 503370 ) ( * 850340 )
NEW met1 ( 938630 503370 ) M1M2_PR
NEW met1 ( 1235790 503370 ) M1M2_PR
NEW met2 ( 1235790 500140 ) M2M3_PR ;
- io_wbs_datrd_1\[18\] ( wb_mux_inst io_wbs_datrd_1[18] ) ( wb_memory_inst io_wbs_datrd[18] ) + USE SIGNAL
+ ROUTED met2 ( 959330 850340 ) ( 961170 * 0 )
NEW met2 ( 959330 503030 ) ( * 850340 )
NEW met2 ( 1235330 503030 ) ( * 503540 )
NEW met1 ( 959330 503030 ) ( 1235330 * )
NEW met3 ( 1235330 503540 ) ( 1250740 * 0 )
NEW met1 ( 959330 503030 ) M1M2_PR
NEW met1 ( 1235330 503030 ) M1M2_PR
NEW met2 ( 1235330 503540 ) M2M3_PR ;
- io_wbs_datrd_1\[19\] ( wb_mux_inst io_wbs_datrd_1[19] ) ( wb_memory_inst io_wbs_datrd[19] ) + USE SIGNAL
+ ROUTED met2 ( 980030 850340 ) ( 981870 * 0 )
NEW met2 ( 980030 510510 ) ( * 850340 )
NEW met2 ( 1235790 506940 ) ( * 510510 )
NEW met1 ( 980030 510510 ) ( 1235790 * )
NEW met3 ( 1235790 506940 ) ( 1250740 * 0 )
NEW met1 ( 980030 510510 ) M1M2_PR
NEW met1 ( 1235790 510510 ) M1M2_PR
NEW met2 ( 1235790 506940 ) M2M3_PR ;
- io_wbs_datrd_1\[1\] ( wb_mux_inst io_wbs_datrd_1[1] ) ( wb_memory_inst io_wbs_datrd[1] ) + USE SIGNAL
+ ROUTED met2 ( 586730 850340 ) ( 588570 * 0 )
NEW met2 ( 586730 447950 ) ( * 850340 )
NEW met2 ( 1235330 445740 ) ( * 447950 )
NEW met1 ( 586730 447950 ) ( 1235330 * )
NEW met3 ( 1235330 445740 ) ( 1250740 * 0 )
NEW met1 ( 586730 447950 ) M1M2_PR
NEW met1 ( 1235330 447950 ) M1M2_PR
NEW met2 ( 1235330 445740 ) M2M3_PR ;
- io_wbs_datrd_1\[20\] ( wb_mux_inst io_wbs_datrd_1[20] ) ( wb_memory_inst io_wbs_datrd[20] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 510170 ) ( * 510340 )
NEW met1 ( 1000730 510170 ) ( 1235330 * )
NEW met3 ( 1235330 510340 ) ( 1250740 * 0 )
NEW met2 ( 1000730 850340 ) ( 1002570 * 0 )
NEW met2 ( 1000730 510170 ) ( * 850340 )
NEW met1 ( 1000730 510170 ) M1M2_PR
NEW met1 ( 1235330 510170 ) M1M2_PR
NEW met2 ( 1235330 510340 ) M2M3_PR ;
- io_wbs_datrd_1\[21\] ( wb_mux_inst io_wbs_datrd_1[21] ) ( wb_memory_inst io_wbs_datrd[21] ) + USE SIGNAL
+ ROUTED met2 ( 1236250 513740 ) ( * 517310 )
NEW met1 ( 1021430 517310 ) ( 1236250 * )
NEW met3 ( 1236250 513740 ) ( 1250740 * 0 )
NEW met2 ( 1021430 850340 ) ( 1023270 * 0 )
NEW met2 ( 1021430 517310 ) ( * 850340 )
NEW met1 ( 1021430 517310 ) M1M2_PR
NEW met1 ( 1236250 517310 ) M1M2_PR
NEW met2 ( 1236250 513740 ) M2M3_PR ;
- io_wbs_datrd_1\[22\] ( wb_mux_inst io_wbs_datrd_1[22] ) ( wb_memory_inst io_wbs_datrd[22] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 516970 ) ( * 517140 )
NEW met1 ( 1042130 516970 ) ( 1235790 * )
NEW met3 ( 1235790 517140 ) ( 1250740 * 0 )
NEW met2 ( 1042130 850340 ) ( 1043970 * 0 )
NEW met2 ( 1042130 516970 ) ( * 850340 )
NEW met1 ( 1042130 516970 ) M1M2_PR
NEW met1 ( 1235790 516970 ) M1M2_PR
NEW met2 ( 1235790 517140 ) M2M3_PR ;
- io_wbs_datrd_1\[23\] ( wb_mux_inst io_wbs_datrd_1[23] ) ( wb_memory_inst io_wbs_datrd[23] ) + USE SIGNAL
+ ROUTED met2 ( 1062830 850340 ) ( 1064670 * 0 )
NEW met2 ( 1062830 524110 ) ( * 850340 )
NEW met2 ( 1236250 520540 ) ( * 524110 )
NEW met1 ( 1062830 524110 ) ( 1236250 * )
NEW met3 ( 1236250 520540 ) ( 1250740 * 0 )
NEW met1 ( 1062830 524110 ) M1M2_PR
NEW met1 ( 1236250 524110 ) M1M2_PR
NEW met2 ( 1236250 520540 ) M2M3_PR ;
- io_wbs_datrd_1\[24\] ( wb_mux_inst io_wbs_datrd_1[24] ) ( wb_memory_inst io_wbs_datrd[24] ) + USE SIGNAL
+ ROUTED met2 ( 1083530 850340 ) ( 1085370 * 0 )
NEW met2 ( 1083530 523770 ) ( * 850340 )
NEW met2 ( 1235790 523770 ) ( * 523940 )
NEW met1 ( 1083530 523770 ) ( 1235790 * )
NEW met3 ( 1235790 523940 ) ( 1250740 * 0 )
NEW met1 ( 1083530 523770 ) M1M2_PR
NEW met1 ( 1235790 523770 ) M1M2_PR
NEW met2 ( 1235790 523940 ) M2M3_PR ;
- io_wbs_datrd_1\[25\] ( wb_mux_inst io_wbs_datrd_1[25] ) ( wb_memory_inst io_wbs_datrd[25] ) + USE SIGNAL
+ ROUTED met2 ( 1104230 850340 ) ( 1106070 * 0 )
NEW met2 ( 1104230 531250 ) ( * 850340 )
NEW met2 ( 1236250 527340 ) ( * 531250 )
NEW met1 ( 1104230 531250 ) ( 1236250 * )
NEW met3 ( 1236250 527340 ) ( 1250740 * 0 )
NEW met1 ( 1104230 531250 ) M1M2_PR
NEW met1 ( 1236250 531250 ) M1M2_PR
NEW met2 ( 1236250 527340 ) M2M3_PR ;
- io_wbs_datrd_1\[26\] ( wb_mux_inst io_wbs_datrd_1[26] ) ( wb_memory_inst io_wbs_datrd[26] ) + USE SIGNAL
+ ROUTED met2 ( 1124930 850340 ) ( 1126770 * 0 )
NEW met2 ( 1124930 530910 ) ( * 850340 )
NEW met2 ( 1235790 530740 ) ( * 530910 )
NEW met1 ( 1124930 530910 ) ( 1235790 * )
NEW met3 ( 1235790 530740 ) ( 1250740 * 0 )
NEW met1 ( 1124930 530910 ) M1M2_PR
NEW met1 ( 1235790 530910 ) M1M2_PR
NEW met2 ( 1235790 530740 ) M2M3_PR ;
- io_wbs_datrd_1\[27\] ( wb_mux_inst io_wbs_datrd_1[27] ) ( wb_memory_inst io_wbs_datrd[27] ) + USE SIGNAL
+ ROUTED met2 ( 1145630 850340 ) ( 1147470 * 0 )
NEW met2 ( 1145630 538050 ) ( * 850340 )
NEW met2 ( 1236250 534140 ) ( * 538050 )
NEW met1 ( 1145630 538050 ) ( 1236250 * )
NEW met3 ( 1236250 534140 ) ( 1250740 * 0 )
NEW met1 ( 1145630 538050 ) M1M2_PR
NEW met1 ( 1236250 538050 ) M1M2_PR
NEW met2 ( 1236250 534140 ) M2M3_PR ;
- io_wbs_datrd_1\[28\] ( wb_mux_inst io_wbs_datrd_1[28] ) ( wb_memory_inst io_wbs_datrd[28] ) + USE SIGNAL
+ ROUTED met2 ( 1166330 850340 ) ( 1168170 * 0 )
NEW met2 ( 1166330 537710 ) ( * 850340 )
NEW met2 ( 1235790 537540 ) ( * 537710 )
NEW met1 ( 1166330 537710 ) ( 1235790 * )
NEW met3 ( 1235790 537540 ) ( 1250740 * 0 )
NEW met1 ( 1166330 537710 ) M1M2_PR
NEW met1 ( 1235790 537710 ) M1M2_PR
NEW met2 ( 1235790 537540 ) M2M3_PR ;
- io_wbs_datrd_1\[29\] ( wb_mux_inst io_wbs_datrd_1[29] ) ( wb_memory_inst io_wbs_datrd[29] ) + USE SIGNAL
+ ROUTED met2 ( 1187030 850340 ) ( 1188870 * 0 )
NEW met2 ( 1187030 544850 ) ( * 850340 )
NEW met2 ( 1235790 540940 ) ( * 544850 )
NEW met1 ( 1187030 544850 ) ( 1235790 * )
NEW met3 ( 1235790 540940 ) ( 1250740 * 0 )
NEW met1 ( 1187030 544850 ) M1M2_PR
NEW met1 ( 1235790 544850 ) M1M2_PR
NEW met2 ( 1235790 540940 ) M2M3_PR ;
- io_wbs_datrd_1\[2\] ( wb_mux_inst io_wbs_datrd_1[2] ) ( wb_memory_inst io_wbs_datrd[2] ) + USE SIGNAL
+ ROUTED met2 ( 614330 850340 ) ( 616170 * 0 )
NEW met2 ( 614330 455090 ) ( * 850340 )
NEW met2 ( 1235790 449140 ) ( * 455090 )
NEW met1 ( 614330 455090 ) ( 1235790 * )
NEW met3 ( 1235790 449140 ) ( 1250740 * 0 )
NEW met1 ( 614330 455090 ) M1M2_PR
NEW met1 ( 1235790 455090 ) M1M2_PR
NEW met2 ( 1235790 449140 ) M2M3_PR ;
- io_wbs_datrd_1\[30\] ( wb_mux_inst io_wbs_datrd_1[30] ) ( wb_memory_inst io_wbs_datrd[30] ) + USE SIGNAL
+ ROUTED met1 ( 1207730 544510 ) ( 1236250 * )
NEW met2 ( 1236250 544340 ) ( * 544510 )
NEW met3 ( 1236250 544340 ) ( 1250740 * 0 )
NEW met2 ( 1207730 850340 ) ( 1209570 * 0 )
NEW met2 ( 1207730 544510 ) ( * 850340 )
NEW met1 ( 1207730 544510 ) M1M2_PR
NEW met1 ( 1236250 544510 ) M1M2_PR
NEW met2 ( 1236250 544340 ) M2M3_PR ;
- io_wbs_datrd_1\[31\] ( wb_mux_inst io_wbs_datrd_1[31] ) ( wb_memory_inst io_wbs_datrd[31] ) + USE SIGNAL
+ ROUTED met1 ( 1228430 551650 ) ( 1236250 * )
NEW met2 ( 1236250 547740 ) ( * 551650 )
NEW met3 ( 1236250 547740 ) ( 1250740 * 0 )
NEW met2 ( 1228430 850340 ) ( 1230270 * 0 )
NEW met2 ( 1228430 551650 ) ( * 850340 )
NEW met1 ( 1228430 551650 ) M1M2_PR
NEW met1 ( 1236250 551650 ) M1M2_PR
NEW met2 ( 1236250 547740 ) M2M3_PR ;
- io_wbs_datrd_1\[3\] ( wb_mux_inst io_wbs_datrd_1[3] ) ( wb_memory_inst io_wbs_datrd[3] ) + USE SIGNAL
+ ROUTED met2 ( 641930 850340 ) ( 643770 * 0 )
NEW met2 ( 641930 454750 ) ( * 850340 )
NEW met2 ( 1235330 452540 ) ( * 454750 )
NEW met1 ( 641930 454750 ) ( 1235330 * )
NEW met3 ( 1235330 452540 ) ( 1250740 * 0 )
NEW met1 ( 641930 454750 ) M1M2_PR
NEW met1 ( 1235330 454750 ) M1M2_PR
NEW met2 ( 1235330 452540 ) M2M3_PR ;
- io_wbs_datrd_1\[4\] ( wb_mux_inst io_wbs_datrd_1[4] ) ( wb_memory_inst io_wbs_datrd[4] ) + USE SIGNAL
+ ROUTED met2 ( 669530 850340 ) ( 671370 * 0 )
NEW met2 ( 669530 462230 ) ( * 850340 )
NEW met2 ( 1235790 455940 ) ( * 462230 )
NEW met1 ( 669530 462230 ) ( 1235790 * )
NEW met3 ( 1235790 455940 ) ( 1250740 * 0 )
NEW met1 ( 669530 462230 ) M1M2_PR
NEW met1 ( 1235790 462230 ) M1M2_PR
NEW met2 ( 1235790 455940 ) M2M3_PR ;
- io_wbs_datrd_1\[5\] ( wb_mux_inst io_wbs_datrd_1[5] ) ( wb_memory_inst io_wbs_datrd[5] ) + USE SIGNAL
+ ROUTED met2 ( 690230 850340 ) ( 692070 * 0 )
NEW met2 ( 690230 461890 ) ( * 850340 )
NEW met2 ( 1235330 459340 ) ( * 461890 )
NEW met1 ( 690230 461890 ) ( 1235330 * )
NEW met3 ( 1235330 459340 ) ( 1250740 * 0 )
NEW met1 ( 690230 461890 ) M1M2_PR
NEW met1 ( 1235330 461890 ) M1M2_PR
NEW met2 ( 1235330 459340 ) M2M3_PR ;
- io_wbs_datrd_1\[6\] ( wb_mux_inst io_wbs_datrd_1[6] ) ( wb_memory_inst io_wbs_datrd[6] ) + USE SIGNAL
+ ROUTED met2 ( 710930 850340 ) ( 712770 * 0 )
NEW met2 ( 710930 469030 ) ( * 850340 )
NEW met2 ( 1235790 462740 ) ( * 469030 )
NEW met1 ( 710930 469030 ) ( 1235790 * )
NEW met3 ( 1235790 462740 ) ( 1250740 * 0 )
NEW met1 ( 710930 469030 ) M1M2_PR
NEW met1 ( 1235790 469030 ) M1M2_PR
NEW met2 ( 1235790 462740 ) M2M3_PR ;
- io_wbs_datrd_1\[7\] ( wb_mux_inst io_wbs_datrd_1[7] ) ( wb_memory_inst io_wbs_datrd[7] ) + USE SIGNAL
+ ROUTED met2 ( 731630 850340 ) ( 733470 * 0 )
NEW met2 ( 731630 468690 ) ( * 850340 )
NEW met2 ( 1235330 466140 ) ( * 468690 )
NEW met1 ( 731630 468690 ) ( 1235330 * )
NEW met3 ( 1235330 466140 ) ( 1250740 * 0 )
NEW met1 ( 731630 468690 ) M1M2_PR
NEW met1 ( 1235330 468690 ) M1M2_PR
NEW met2 ( 1235330 466140 ) M2M3_PR ;
- io_wbs_datrd_1\[8\] ( wb_mux_inst io_wbs_datrd_1[8] ) ( wb_memory_inst io_wbs_datrd[8] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 469540 ) ( * 475830 )
NEW met1 ( 752330 475830 ) ( 1235790 * )
NEW met3 ( 1235790 469540 ) ( 1250740 * 0 )
NEW met2 ( 752330 850340 ) ( 754170 * 0 )
NEW met2 ( 752330 475830 ) ( * 850340 )
NEW met1 ( 752330 475830 ) M1M2_PR
NEW met1 ( 1235790 475830 ) M1M2_PR
NEW met2 ( 1235790 469540 ) M2M3_PR ;
- io_wbs_datrd_1\[9\] ( wb_mux_inst io_wbs_datrd_1[9] ) ( wb_memory_inst io_wbs_datrd[9] ) + USE SIGNAL
+ ROUTED met2 ( 773030 850340 ) ( 774870 * 0 )
NEW met2 ( 773030 475490 ) ( * 850340 )
NEW met2 ( 1235330 472940 ) ( * 475490 )
NEW met1 ( 773030 475490 ) ( 1235330 * )
NEW met3 ( 1235330 472940 ) ( 1250740 * 0 )
NEW met1 ( 773030 475490 ) M1M2_PR
NEW met1 ( 1235330 475490 ) M1M2_PR
NEW met2 ( 1235330 472940 ) M2M3_PR ;
- io_wbs_datwr_0\[0\] ( wfg_top_inst io_wbs_datwr[0] ) ( wb_mux_inst io_wbs_datwr_0[0] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 333540 0 ) ( 1661750 * )
NEW met2 ( 1661750 333540 ) ( * 337450 )
NEW met1 ( 1661750 337450 ) ( 1980530 * )
NEW met2 ( 1980530 2200140 ) ( 1981680 * 0 )
NEW met2 ( 1980530 337450 ) ( * 2200140 )
NEW met2 ( 1661750 333540 ) M2M3_PR
NEW met1 ( 1661750 337450 ) M1M2_PR
NEW met1 ( 1980530 337450 ) M1M2_PR ;
- io_wbs_datwr_0\[10\] ( wfg_top_inst io_wbs_datwr[10] ) ( wb_mux_inst io_wbs_datwr_0[10] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 367540 0 ) ( 1658530 * )
NEW met2 ( 1658530 367540 ) ( * 372130 )
NEW met1 ( 1658530 372130 ) ( 2187530 * )
NEW met2 ( 2187530 2200140 ) ( 2188680 * 0 )
NEW met2 ( 2187530 372130 ) ( * 2200140 )
NEW met2 ( 1658530 367540 ) M2M3_PR
NEW met1 ( 1658530 372130 ) M1M2_PR
NEW met1 ( 2187530 372130 ) M1M2_PR ;
- io_wbs_datwr_0\[11\] ( wfg_top_inst io_wbs_datwr[11] ) ( wb_mux_inst io_wbs_datwr_0[11] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 370940 0 ) ( 1661290 * )
NEW met2 ( 1661290 370940 ) ( * 372470 )
NEW met2 ( 2208230 2200140 ) ( 2209380 * 0 )
NEW met2 ( 2208230 372470 ) ( * 2200140 )
NEW met1 ( 1661290 372470 ) ( 2208230 * )
NEW met2 ( 1661290 370940 ) M2M3_PR
NEW met1 ( 1661290 372470 ) M1M2_PR
NEW met1 ( 2208230 372470 ) M1M2_PR ;
- io_wbs_datwr_0\[12\] ( wfg_top_inst io_wbs_datwr[12] ) ( wb_mux_inst io_wbs_datwr_0[12] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 374340 0 ) ( 1658530 * )
NEW met2 ( 1658530 374340 ) ( * 378930 )
NEW met2 ( 2228930 2200140 ) ( 2230080 * 0 )
NEW met2 ( 2228930 378930 ) ( * 2200140 )
NEW met1 ( 1658530 378930 ) ( 2228930 * )
NEW met2 ( 1658530 374340 ) M2M3_PR
NEW met1 ( 1658530 378930 ) M1M2_PR
NEW met1 ( 2228930 378930 ) M1M2_PR ;
- io_wbs_datwr_0\[13\] ( wfg_top_inst io_wbs_datwr[13] ) ( wb_mux_inst io_wbs_datwr_0[13] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 377740 0 ) ( 1660830 * )
NEW met2 ( 1660830 377740 ) ( * 379270 )
NEW met2 ( 2249630 2200140 ) ( 2250780 * 0 )
NEW met2 ( 2249630 379270 ) ( * 2200140 )
NEW met1 ( 1660830 379270 ) ( 2249630 * )
NEW met2 ( 1660830 377740 ) M2M3_PR
NEW met1 ( 1660830 379270 ) M1M2_PR
NEW met1 ( 2249630 379270 ) M1M2_PR ;
- io_wbs_datwr_0\[14\] ( wfg_top_inst io_wbs_datwr[14] ) ( wb_mux_inst io_wbs_datwr_0[14] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 381140 0 ) ( 1658530 * )
NEW met2 ( 1658530 381140 ) ( * 385730 )
NEW met1 ( 1658530 385730 ) ( 2270330 * )
NEW met2 ( 2270330 2200140 ) ( 2271480 * 0 )
NEW met2 ( 2270330 385730 ) ( * 2200140 )
NEW met2 ( 1658530 381140 ) M2M3_PR
NEW met1 ( 1658530 385730 ) M1M2_PR
NEW met1 ( 2270330 385730 ) M1M2_PR ;
- io_wbs_datwr_0\[15\] ( wfg_top_inst io_wbs_datwr[15] ) ( wb_mux_inst io_wbs_datwr_0[15] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 384540 0 ) ( 1660830 * )
NEW met2 ( 1660830 384540 ) ( * 386070 )
NEW met1 ( 1660830 386070 ) ( 2291030 * )
NEW met2 ( 2291030 2200140 ) ( 2292180 * 0 )
NEW met2 ( 2291030 386070 ) ( * 2200140 )
NEW met2 ( 1660830 384540 ) M2M3_PR
NEW met1 ( 1660830 386070 ) M1M2_PR
NEW met1 ( 2291030 386070 ) M1M2_PR ;
- io_wbs_datwr_0\[16\] ( wfg_top_inst io_wbs_datwr[16] ) ( wb_mux_inst io_wbs_datwr_0[16] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 387940 0 ) ( 1658990 * )
NEW met2 ( 1658990 387940 ) ( * 392870 )
NEW met2 ( 2311730 2200140 ) ( 2312880 * 0 )
NEW met2 ( 2311730 392870 ) ( * 2200140 )
NEW met1 ( 1658990 392870 ) ( 2311730 * )
NEW met2 ( 1658990 387940 ) M2M3_PR
NEW met1 ( 1658990 392870 ) M1M2_PR
NEW met1 ( 2311730 392870 ) M1M2_PR ;
- io_wbs_datwr_0\[17\] ( wfg_top_inst io_wbs_datwr[17] ) ( wb_mux_inst io_wbs_datwr_0[17] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 391340 0 ) ( 1661290 * )
NEW met2 ( 1661290 391340 ) ( * 393210 )
NEW met2 ( 2332430 2200140 ) ( 2333580 * 0 )
NEW met2 ( 2332430 393210 ) ( * 2200140 )
NEW met1 ( 1661290 393210 ) ( 2332430 * )
NEW met2 ( 1661290 391340 ) M2M3_PR
NEW met1 ( 1661290 393210 ) M1M2_PR
NEW met1 ( 2332430 393210 ) M1M2_PR ;
- io_wbs_datwr_0\[18\] ( wfg_top_inst io_wbs_datwr[18] ) ( wb_mux_inst io_wbs_datwr_0[18] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 394740 0 ) ( 1658990 * )
NEW met2 ( 1658990 394740 ) ( * 399670 )
NEW met1 ( 1658990 399670 ) ( 2353130 * )
NEW met2 ( 2353130 2200140 ) ( 2354280 * 0 )
NEW met2 ( 2353130 399670 ) ( * 2200140 )
NEW met2 ( 1658990 394740 ) M2M3_PR
NEW met1 ( 1658990 399670 ) M1M2_PR
NEW met1 ( 2353130 399670 ) M1M2_PR ;
- io_wbs_datwr_0\[19\] ( wfg_top_inst io_wbs_datwr[19] ) ( wb_mux_inst io_wbs_datwr_0[19] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 398140 0 ) ( 1661290 * )
NEW met2 ( 1661290 398140 ) ( * 400010 )
NEW met1 ( 1661290 400010 ) ( 2373830 * )
NEW met2 ( 2373830 2200140 ) ( 2374980 * 0 )
NEW met2 ( 2373830 400010 ) ( * 2200140 )
NEW met2 ( 1661290 398140 ) M2M3_PR
NEW met1 ( 1661290 400010 ) M1M2_PR
NEW met1 ( 2373830 400010 ) M1M2_PR ;
- io_wbs_datwr_0\[1\] ( wfg_top_inst io_wbs_datwr[1] ) ( wb_mux_inst io_wbs_datwr_0[1] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 336940 0 ) ( 1661290 * )
NEW met2 ( 1661290 336940 ) ( * 337790 )
NEW met1 ( 1661290 337790 ) ( 2001230 * )
NEW met2 ( 2001230 2200140 ) ( 2002380 * 0 )
NEW met2 ( 2001230 337790 ) ( * 2200140 )
NEW met2 ( 1661290 336940 ) M2M3_PR
NEW met1 ( 1661290 337790 ) M1M2_PR
NEW met1 ( 2001230 337790 ) M1M2_PR ;
- io_wbs_datwr_0\[20\] ( wfg_top_inst io_wbs_datwr[20] ) ( wb_mux_inst io_wbs_datwr_0[20] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 401540 0 ) ( 1658990 * )
NEW met2 ( 1658990 401540 ) ( * 406470 )
NEW met1 ( 1658990 406470 ) ( 2394530 * )
NEW met2 ( 2394530 2200140 ) ( 2395680 * 0 )
NEW met2 ( 2394530 406470 ) ( * 2200140 )
NEW met2 ( 1658990 401540 ) M2M3_PR
NEW met1 ( 1658990 406470 ) M1M2_PR
NEW met1 ( 2394530 406470 ) M1M2_PR ;
- io_wbs_datwr_0\[21\] ( wfg_top_inst io_wbs_datwr[21] ) ( wb_mux_inst io_wbs_datwr_0[21] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 404940 0 ) ( 1661290 * )
NEW met2 ( 1661290 404940 ) ( * 406810 )
NEW met2 ( 2415230 2200140 ) ( 2416380 * 0 )
NEW met2 ( 2415230 406810 ) ( * 2200140 )
NEW met1 ( 1661290 406810 ) ( 2415230 * )
NEW met2 ( 1661290 404940 ) M2M3_PR
NEW met1 ( 1661290 406810 ) M1M2_PR
NEW met1 ( 2415230 406810 ) M1M2_PR ;
- io_wbs_datwr_0\[22\] ( wfg_top_inst io_wbs_datwr[22] ) ( wb_mux_inst io_wbs_datwr_0[22] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 408340 0 ) ( 1658990 * )
NEW met2 ( 1658990 408340 ) ( * 413610 )
NEW met1 ( 2432250 2187390 ) ( 2435930 * )
NEW met2 ( 2435930 2187390 ) ( * 2200140 )
NEW met2 ( 2435930 2200140 ) ( 2437080 * 0 )
NEW met2 ( 2432250 413610 ) ( * 2187390 )
NEW met1 ( 1658990 413610 ) ( 2432250 * )
NEW met2 ( 1658990 408340 ) M2M3_PR
NEW met1 ( 1658990 413610 ) M1M2_PR
NEW met1 ( 2432250 413610 ) M1M2_PR
NEW met1 ( 2432250 2187390 ) M1M2_PR
NEW met1 ( 2435930 2187390 ) M1M2_PR ;
- io_wbs_datwr_0\[23\] ( wfg_top_inst io_wbs_datwr[23] ) ( wb_mux_inst io_wbs_datwr_0[23] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 411740 0 ) ( 1661290 * )
NEW met2 ( 1661290 411740 ) ( * 413950 )
NEW met2 ( 2439150 413950 ) ( * 2187390 )
NEW met1 ( 1661290 413950 ) ( 2439150 * )
NEW met2 ( 2456630 2187390 ) ( * 2200140 )
NEW met2 ( 2456630 2200140 ) ( 2457780 * 0 )
NEW met1 ( 2439150 2187390 ) ( 2456630 * )
NEW met2 ( 1661290 411740 ) M2M3_PR
NEW met1 ( 1661290 413950 ) M1M2_PR
NEW met1 ( 2439150 413950 ) M1M2_PR
NEW met1 ( 2439150 2187390 ) M1M2_PR
NEW met1 ( 2456630 2187390 ) M1M2_PR ;
- io_wbs_datwr_0\[24\] ( wfg_top_inst io_wbs_datwr[24] ) ( wb_mux_inst io_wbs_datwr_0[24] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 415140 0 ) ( 1658990 * )
NEW met2 ( 1658990 415140 ) ( * 420410 )
NEW met2 ( 2446050 420410 ) ( * 2191130 )
NEW met1 ( 1658990 420410 ) ( 2446050 * )
NEW met2 ( 2477330 2191130 ) ( * 2200140 )
NEW met2 ( 2477330 2200140 ) ( 2478480 * 0 )
NEW met1 ( 2446050 2191130 ) ( 2477330 * )
NEW met2 ( 1658990 415140 ) M2M3_PR
NEW met1 ( 1658990 420410 ) M1M2_PR
NEW met1 ( 2446050 420410 ) M1M2_PR
NEW met1 ( 2446050 2191130 ) M1M2_PR
NEW met1 ( 2477330 2191130 ) M1M2_PR ;
- io_wbs_datwr_0\[25\] ( wfg_top_inst io_wbs_datwr[25] ) ( wb_mux_inst io_wbs_datwr_0[25] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 418540 0 ) ( 1661290 * )
NEW met2 ( 1661290 418540 ) ( * 420750 )
NEW met2 ( 2498490 2193170 ) ( * 2200140 )
NEW met2 ( 2498490 2200140 ) ( 2499180 * 0 )
NEW met1 ( 1661290 420750 ) ( 2452950 * )
NEW met2 ( 2452950 420750 ) ( * 2193170 )
NEW met1 ( 2452950 2193170 ) ( 2498490 * )
NEW met2 ( 1661290 418540 ) M2M3_PR
NEW met1 ( 1661290 420750 ) M1M2_PR
NEW met1 ( 2498490 2193170 ) M1M2_PR
NEW met1 ( 2452950 420750 ) M1M2_PR
NEW met1 ( 2452950 2193170 ) M1M2_PR ;
- io_wbs_datwr_0\[26\] ( wfg_top_inst io_wbs_datwr[26] ) ( wb_mux_inst io_wbs_datwr_0[26] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 421940 0 ) ( 1658990 * )
NEW met2 ( 1658990 421940 ) ( * 427210 )
NEW met2 ( 2518730 2192830 ) ( * 2200140 )
NEW met2 ( 2518730 2200140 ) ( 2519880 * 0 )
NEW met1 ( 1658990 427210 ) ( 2459850 * )
NEW met2 ( 2459850 427210 ) ( * 2192830 )
NEW met1 ( 2459850 2192830 ) ( 2518730 * )
NEW met2 ( 1658990 421940 ) M2M3_PR
NEW met1 ( 1658990 427210 ) M1M2_PR
NEW met1 ( 2518730 2192830 ) M1M2_PR
NEW met1 ( 2459850 427210 ) M1M2_PR
NEW met1 ( 2459850 2192830 ) M1M2_PR ;
- io_wbs_datwr_0\[27\] ( wfg_top_inst io_wbs_datwr[27] ) ( wb_mux_inst io_wbs_datwr_0[27] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 425340 0 ) ( 1661290 * )
NEW met2 ( 1661290 425340 ) ( * 427550 )
NEW met2 ( 2539430 2192490 ) ( * 2200140 )
NEW met2 ( 2539430 2200140 ) ( 2540580 * 0 )
NEW met1 ( 1661290 427550 ) ( 2466750 * )
NEW met2 ( 2466750 427550 ) ( * 2192490 )
NEW met1 ( 2466750 2192490 ) ( 2539430 * )
NEW met2 ( 1661290 425340 ) M2M3_PR
NEW met1 ( 1661290 427550 ) M1M2_PR
NEW met1 ( 2539430 2192490 ) M1M2_PR
NEW met1 ( 2466750 427550 ) M1M2_PR
NEW met1 ( 2466750 2192490 ) M1M2_PR ;
- io_wbs_datwr_0\[28\] ( wfg_top_inst io_wbs_datwr[28] ) ( wb_mux_inst io_wbs_datwr_0[28] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 428740 0 ) ( 1661290 * )
NEW met2 ( 1661290 428740 ) ( * 434350 )
NEW met1 ( 1661290 434350 ) ( 2473650 * )
NEW met2 ( 2473650 434350 ) ( * 2192150 )
NEW met2 ( 2560130 2192150 ) ( * 2200140 )
NEW met2 ( 2560130 2200140 ) ( 2561280 * 0 )
NEW met1 ( 2473650 2192150 ) ( 2560130 * )
NEW met2 ( 1661290 428740 ) M2M3_PR
NEW met1 ( 1661290 434350 ) M1M2_PR
NEW met1 ( 2473650 434350 ) M1M2_PR
NEW met1 ( 2473650 2192150 ) M1M2_PR
NEW met1 ( 2560130 2192150 ) M1M2_PR ;
- io_wbs_datwr_0\[29\] ( wfg_top_inst io_wbs_datwr[29] ) ( wb_mux_inst io_wbs_datwr_0[29] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 432140 0 ) ( 1661750 * )
NEW met2 ( 1661750 432140 ) ( * 434690 )
NEW met1 ( 1661750 434690 ) ( 2480550 * )
NEW met2 ( 2480550 434690 ) ( * 2191810 )
NEW met2 ( 2580830 2191810 ) ( * 2200140 )
NEW met2 ( 2580830 2200140 ) ( 2581980 * 0 )
NEW met1 ( 2480550 2191810 ) ( 2580830 * )
NEW met2 ( 1661750 432140 ) M2M3_PR
NEW met1 ( 1661750 434690 ) M1M2_PR
NEW met1 ( 2480550 434690 ) M1M2_PR
NEW met1 ( 2480550 2191810 ) M1M2_PR
NEW met1 ( 2580830 2191810 ) M1M2_PR ;
- io_wbs_datwr_0\[2\] ( wfg_top_inst io_wbs_datwr[2] ) ( wb_mux_inst io_wbs_datwr_0[2] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 340340 0 ) ( 1661750 * )
NEW met2 ( 1661750 340340 ) ( * 344590 )
NEW met2 ( 2021930 2200140 ) ( 2023080 * 0 )
NEW met2 ( 2021930 344590 ) ( * 2200140 )
NEW met1 ( 1661750 344590 ) ( 2021930 * )
NEW met2 ( 1661750 340340 ) M2M3_PR
NEW met1 ( 1661750 344590 ) M1M2_PR
NEW met1 ( 2021930 344590 ) M1M2_PR ;
- io_wbs_datwr_0\[30\] ( wfg_top_inst io_wbs_datwr[30] ) ( wb_mux_inst io_wbs_datwr_0[30] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 435540 0 ) ( 1661290 * )
NEW met2 ( 1661290 435540 ) ( * 441150 )
NEW met2 ( 2601530 2191470 ) ( * 2200140 )
NEW met2 ( 2601530 2200140 ) ( 2602680 * 0 )
NEW met1 ( 1661290 441150 ) ( 2487450 * )
NEW met2 ( 2487450 441150 ) ( * 2191470 )
NEW met1 ( 2487450 2191470 ) ( 2601530 * )
NEW met2 ( 1661290 435540 ) M2M3_PR
NEW met1 ( 1661290 441150 ) M1M2_PR
NEW met1 ( 2601530 2191470 ) M1M2_PR
NEW met1 ( 2487450 441150 ) M1M2_PR
NEW met1 ( 2487450 2191470 ) M1M2_PR ;
- io_wbs_datwr_0\[31\] ( wfg_top_inst io_wbs_datwr[31] ) ( wb_mux_inst io_wbs_datwr_0[31] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 438940 0 ) ( 1661750 * )
NEW met2 ( 1661750 438940 ) ( * 441490 )
NEW met2 ( 2622230 2191130 ) ( * 2200140 )
NEW met2 ( 2622230 2200140 ) ( 2623380 * 0 )
NEW met1 ( 1661750 441490 ) ( 2494350 * )
NEW met2 ( 2494350 441490 ) ( * 2191130 )
NEW met1 ( 2494350 2191130 ) ( 2622230 * )
NEW met2 ( 1661750 438940 ) M2M3_PR
NEW met1 ( 1661750 441490 ) M1M2_PR
NEW met1 ( 2622230 2191130 ) M1M2_PR
NEW met1 ( 2494350 441490 ) M1M2_PR
NEW met1 ( 2494350 2191130 ) M1M2_PR ;
- io_wbs_datwr_0\[3\] ( wfg_top_inst io_wbs_datwr[3] ) ( wb_mux_inst io_wbs_datwr_0[3] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 343740 0 ) ( 1661290 * )
NEW met2 ( 1661290 343740 ) ( * 344930 )
NEW met2 ( 2042630 2200140 ) ( 2043780 * 0 )
NEW met2 ( 2042630 344930 ) ( * 2200140 )
NEW met1 ( 1661290 344930 ) ( 2042630 * )
NEW met2 ( 1661290 343740 ) M2M3_PR
NEW met1 ( 1661290 344930 ) M1M2_PR
NEW met1 ( 2042630 344930 ) M1M2_PR ;
- io_wbs_datwr_0\[4\] ( wfg_top_inst io_wbs_datwr[4] ) ( wb_mux_inst io_wbs_datwr_0[4] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 347140 0 ) ( 1661750 * )
NEW met2 ( 1661750 347140 ) ( * 351390 )
NEW met1 ( 1661750 351390 ) ( 2063330 * )
NEW met2 ( 2063330 2200140 ) ( 2064480 * 0 )
NEW met2 ( 2063330 351390 ) ( * 2200140 )
NEW met2 ( 1661750 347140 ) M2M3_PR
NEW met1 ( 1661750 351390 ) M1M2_PR
NEW met1 ( 2063330 351390 ) M1M2_PR ;
- io_wbs_datwr_0\[5\] ( wfg_top_inst io_wbs_datwr[5] ) ( wb_mux_inst io_wbs_datwr_0[5] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 350540 0 ) ( 1661290 * )
NEW met2 ( 1661290 350540 ) ( * 351730 )
NEW met1 ( 1661290 351730 ) ( 2084030 * )
NEW met2 ( 2084030 2200140 ) ( 2085180 * 0 )
NEW met2 ( 2084030 351730 ) ( * 2200140 )
NEW met2 ( 1661290 350540 ) M2M3_PR
NEW met1 ( 1661290 351730 ) M1M2_PR
NEW met1 ( 2084030 351730 ) M1M2_PR ;
- io_wbs_datwr_0\[6\] ( wfg_top_inst io_wbs_datwr[6] ) ( wb_mux_inst io_wbs_datwr_0[6] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 353940 0 ) ( 1661750 * )
NEW met2 ( 1661750 353940 ) ( * 358190 )
NEW met1 ( 1661750 358190 ) ( 2104730 * )
NEW met2 ( 2104730 2200140 ) ( 2105880 * 0 )
NEW met2 ( 2104730 358190 ) ( * 2200140 )
NEW met2 ( 1661750 353940 ) M2M3_PR
NEW met1 ( 1661750 358190 ) M1M2_PR
NEW met1 ( 2104730 358190 ) M1M2_PR ;
- io_wbs_datwr_0\[7\] ( wfg_top_inst io_wbs_datwr[7] ) ( wb_mux_inst io_wbs_datwr_0[7] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 357340 0 ) ( 1661290 * )
NEW met2 ( 1661290 357340 ) ( * 358530 )
NEW met2 ( 2125430 2200140 ) ( 2126580 * 0 )
NEW met2 ( 2125430 358530 ) ( * 2200140 )
NEW met1 ( 1661290 358530 ) ( 2125430 * )
NEW met2 ( 1661290 357340 ) M2M3_PR
NEW met1 ( 1661290 358530 ) M1M2_PR
NEW met1 ( 2125430 358530 ) M1M2_PR ;
- io_wbs_datwr_0\[8\] ( wfg_top_inst io_wbs_datwr[8] ) ( wb_mux_inst io_wbs_datwr_0[8] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 360740 0 ) ( 1658530 * )
NEW met2 ( 1658530 360740 ) ( * 365330 )
NEW met2 ( 2146130 2200140 ) ( 2147280 * 0 )
NEW met2 ( 2146130 365330 ) ( * 2200140 )
NEW met1 ( 1658530 365330 ) ( 2146130 * )
NEW met2 ( 1658530 360740 ) M2M3_PR
NEW met1 ( 1658530 365330 ) M1M2_PR
NEW met1 ( 2146130 365330 ) M1M2_PR ;
- io_wbs_datwr_0\[9\] ( wfg_top_inst io_wbs_datwr[9] ) ( wb_mux_inst io_wbs_datwr_0[9] ) + USE SIGNAL
+ ROUTED met3 ( 1649100 364140 0 ) ( 1661290 * )
NEW met2 ( 1661290 364140 ) ( * 365670 )
NEW met1 ( 1661290 365670 ) ( 2166830 * )
NEW met2 ( 2166830 2200140 ) ( 2167980 * 0 )
NEW met2 ( 2166830 365670 ) ( * 2200140 )
NEW met2 ( 1661290 364140 ) M2M3_PR
NEW met1 ( 1661290 365670 ) M1M2_PR
NEW met1 ( 2166830 365670 ) M1M2_PR ;
- io_wbs_datwr_1\[0\] ( wb_mux_inst io_wbs_datwr_1[0] ) ( wb_memory_inst io_wbs_datwr[0] ) + USE SIGNAL
+ ROUTED met2 ( 566030 850340 ) ( 567870 * 0 )
NEW met2 ( 566030 337790 ) ( * 850340 )
NEW met2 ( 1235790 333540 ) ( * 337790 )
NEW met1 ( 566030 337790 ) ( 1235790 * )
NEW met3 ( 1235790 333540 ) ( 1250740 * 0 )
NEW met1 ( 566030 337790 ) M1M2_PR
NEW met1 ( 1235790 337790 ) M1M2_PR
NEW met2 ( 1235790 333540 ) M2M3_PR ;
- io_wbs_datwr_1\[10\] ( wb_mux_inst io_wbs_datwr_1[10] ) ( wb_memory_inst io_wbs_datwr[10] ) + USE SIGNAL
+ ROUTED met2 ( 800630 850340 ) ( 802470 * 0 )
NEW met2 ( 800630 372470 ) ( * 850340 )
NEW met2 ( 1235790 367540 ) ( * 372470 )
NEW met1 ( 800630 372470 ) ( 1235790 * )
NEW met3 ( 1235790 367540 ) ( 1250740 * 0 )
NEW met1 ( 800630 372470 ) M1M2_PR
NEW met1 ( 1235790 372470 ) M1M2_PR
NEW met2 ( 1235790 367540 ) M2M3_PR ;
- io_wbs_datwr_1\[11\] ( wb_mux_inst io_wbs_datwr_1[11] ) ( wb_memory_inst io_wbs_datwr[11] ) + USE SIGNAL
+ ROUTED met2 ( 821330 850340 ) ( 823170 * 0 )
NEW met2 ( 821330 372130 ) ( * 850340 )
NEW met2 ( 1235330 370940 ) ( * 372130 )
NEW met1 ( 821330 372130 ) ( 1235330 * )
NEW met3 ( 1235330 370940 ) ( 1250740 * 0 )
NEW met1 ( 821330 372130 ) M1M2_PR
NEW met1 ( 1235330 372130 ) M1M2_PR
NEW met2 ( 1235330 370940 ) M2M3_PR ;
- io_wbs_datwr_1\[12\] ( wb_mux_inst io_wbs_datwr_1[12] ) ( wb_memory_inst io_wbs_datwr[12] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 374340 ) ( * 379270 )
NEW met1 ( 842030 379270 ) ( 1235790 * )
NEW met3 ( 1235790 374340 ) ( 1250740 * 0 )
NEW met2 ( 842030 850340 ) ( 843870 * 0 )
NEW met2 ( 842030 379270 ) ( * 850340 )
NEW met1 ( 842030 379270 ) M1M2_PR
NEW met1 ( 1235790 379270 ) M1M2_PR
NEW met2 ( 1235790 374340 ) M2M3_PR ;
- io_wbs_datwr_1\[13\] ( wb_mux_inst io_wbs_datwr_1[13] ) ( wb_memory_inst io_wbs_datwr[13] ) + USE SIGNAL
+ ROUTED met2 ( 862730 850340 ) ( 864570 * 0 )
NEW met2 ( 862730 378930 ) ( * 850340 )
NEW met2 ( 1235330 377740 ) ( * 378930 )
NEW met1 ( 862730 378930 ) ( 1235330 * )
NEW met3 ( 1235330 377740 ) ( 1250740 * 0 )
NEW met1 ( 862730 378930 ) M1M2_PR
NEW met1 ( 1235330 378930 ) M1M2_PR
NEW met2 ( 1235330 377740 ) M2M3_PR ;
- io_wbs_datwr_1\[14\] ( wb_mux_inst io_wbs_datwr_1[14] ) ( wb_memory_inst io_wbs_datwr[14] ) + USE SIGNAL
+ ROUTED met2 ( 883430 850340 ) ( 885270 * 0 )
NEW met2 ( 883430 386070 ) ( * 850340 )
NEW met2 ( 1235790 381140 ) ( * 386070 )
NEW met1 ( 883430 386070 ) ( 1235790 * )
NEW met3 ( 1235790 381140 ) ( 1250740 * 0 )
NEW met1 ( 883430 386070 ) M1M2_PR
NEW met1 ( 1235790 386070 ) M1M2_PR
NEW met2 ( 1235790 381140 ) M2M3_PR ;
- io_wbs_datwr_1\[15\] ( wb_mux_inst io_wbs_datwr_1[15] ) ( wb_memory_inst io_wbs_datwr[15] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 384540 ) ( * 385730 )
NEW met1 ( 904130 385730 ) ( 1235330 * )
NEW met3 ( 1235330 384540 ) ( 1250740 * 0 )
NEW met2 ( 904130 850340 ) ( 905970 * 0 )
NEW met2 ( 904130 385730 ) ( * 850340 )
NEW met1 ( 904130 385730 ) M1M2_PR
NEW met1 ( 1235330 385730 ) M1M2_PR
NEW met2 ( 1235330 384540 ) M2M3_PR ;
- io_wbs_datwr_1\[16\] ( wb_mux_inst io_wbs_datwr_1[16] ) ( wb_memory_inst io_wbs_datwr[16] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 387940 ) ( * 393210 )
NEW met1 ( 924830 393210 ) ( 1235790 * )
NEW met3 ( 1235790 387940 ) ( 1250740 * 0 )
NEW met2 ( 924830 850340 ) ( 926670 * 0 )
NEW met2 ( 924830 393210 ) ( * 850340 )
NEW met1 ( 924830 393210 ) M1M2_PR
NEW met1 ( 1235790 393210 ) M1M2_PR
NEW met2 ( 1235790 387940 ) M2M3_PR ;
- io_wbs_datwr_1\[17\] ( wb_mux_inst io_wbs_datwr_1[17] ) ( wb_memory_inst io_wbs_datwr[17] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 391340 ) ( * 392870 )
NEW met1 ( 945530 392870 ) ( 1235330 * )
NEW met3 ( 1235330 391340 ) ( 1250740 * 0 )
NEW met2 ( 945530 850340 ) ( 947370 * 0 )
NEW met2 ( 945530 392870 ) ( * 850340 )
NEW met1 ( 945530 392870 ) M1M2_PR
NEW met1 ( 1235330 392870 ) M1M2_PR
NEW met2 ( 1235330 391340 ) M2M3_PR ;
- io_wbs_datwr_1\[18\] ( wb_mux_inst io_wbs_datwr_1[18] ) ( wb_memory_inst io_wbs_datwr[18] ) + USE SIGNAL
+ ROUTED met2 ( 966230 850340 ) ( 968070 * 0 )
NEW met2 ( 966230 400010 ) ( * 850340 )
NEW met2 ( 1235790 394740 ) ( * 400010 )
NEW met1 ( 966230 400010 ) ( 1235790 * )
NEW met3 ( 1235790 394740 ) ( 1250740 * 0 )
NEW met1 ( 966230 400010 ) M1M2_PR
NEW met1 ( 1235790 400010 ) M1M2_PR
NEW met2 ( 1235790 394740 ) M2M3_PR ;
- io_wbs_datwr_1\[19\] ( wb_mux_inst io_wbs_datwr_1[19] ) ( wb_memory_inst io_wbs_datwr[19] ) + USE SIGNAL
+ ROUTED met2 ( 986930 850340 ) ( 988770 * 0 )
NEW met2 ( 986930 399670 ) ( * 850340 )
NEW met2 ( 1235330 398140 ) ( * 399670 )
NEW met1 ( 986930 399670 ) ( 1235330 * )
NEW met3 ( 1235330 398140 ) ( 1250740 * 0 )
NEW met1 ( 986930 399670 ) M1M2_PR
NEW met1 ( 1235330 399670 ) M1M2_PR
NEW met2 ( 1235330 398140 ) M2M3_PR ;
- io_wbs_datwr_1\[1\] ( wb_mux_inst io_wbs_datwr_1[1] ) ( wb_memory_inst io_wbs_datwr[1] ) + USE SIGNAL
+ ROUTED met2 ( 593630 850340 ) ( 595470 * 0 )
NEW met2 ( 593630 337450 ) ( * 850340 )
NEW met2 ( 1235330 336940 ) ( * 337450 )
NEW met1 ( 593630 337450 ) ( 1235330 * )
NEW met3 ( 1235330 336940 ) ( 1250740 * 0 )
NEW met1 ( 593630 337450 ) M1M2_PR
NEW met1 ( 1235330 337450 ) M1M2_PR
NEW met2 ( 1235330 336940 ) M2M3_PR ;
- io_wbs_datwr_1\[20\] ( wb_mux_inst io_wbs_datwr_1[20] ) ( wb_memory_inst io_wbs_datwr[20] ) + USE SIGNAL
+ ROUTED met2 ( 1235790 401540 ) ( * 406810 )
NEW met1 ( 1007630 406810 ) ( 1235790 * )
NEW met3 ( 1235790 401540 ) ( 1250740 * 0 )
NEW met2 ( 1007630 850340 ) ( 1009470 * 0 )
NEW met2 ( 1007630 406810 ) ( * 850340 )
NEW met1 ( 1007630 406810 ) M1M2_PR
NEW met1 ( 1235790 406810 ) M1M2_PR
NEW met2 ( 1235790 401540 ) M2M3_PR ;
- io_wbs_datwr_1\[21\] ( wb_mux_inst io_wbs_datwr_1[21] ) ( wb_memory_inst io_wbs_datwr[21] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 404940 ) ( * 406470 )
NEW met1 ( 1028330 406470 ) ( 1235330 * )
NEW met3 ( 1235330 404940 ) ( 1250740 * 0 )
NEW met2 ( 1028330 850340 ) ( 1030170 * 0 )
NEW met2 ( 1028330 406470 ) ( * 850340 )
NEW met1 ( 1028330 406470 ) M1M2_PR
NEW met1 ( 1235330 406470 ) M1M2_PR
NEW met2 ( 1235330 404940 ) M2M3_PR ;
- io_wbs_datwr_1\[22\] ( wb_mux_inst io_wbs_datwr_1[22] ) ( wb_memory_inst io_wbs_datwr[22] ) + USE SIGNAL
+ ROUTED met2 ( 1049030 850340 ) ( 1050870 * 0 )
NEW met2 ( 1049030 413950 ) ( * 850340 )
NEW met2 ( 1235790 408340 ) ( * 413950 )
NEW met1 ( 1049030 413950 ) ( 1235790 * )
NEW met3 ( 1235790 408340 ) ( 1250740 * 0 )
NEW met1 ( 1049030 413950 ) M1M2_PR
NEW met1 ( 1235790 413950 ) M1M2_PR
NEW met2 ( 1235790 408340 ) M2M3_PR ;
- io_wbs_datwr_1\[23\] ( wb_mux_inst io_wbs_datwr_1[23] ) ( wb_memory_inst io_wbs_datwr[23] ) + USE SIGNAL
+ ROUTED met2 ( 1069730 850340 ) ( 1071570 * 0 )
NEW met2 ( 1069730 413610 ) ( * 850340 )
NEW met2 ( 1235330 411740 ) ( * 413610 )
NEW met1 ( 1069730 413610 ) ( 1235330 * )
NEW met3 ( 1235330 411740 ) ( 1250740 * 0 )
NEW met1 ( 1069730 413610 ) M1M2_PR
NEW met1 ( 1235330 413610 ) M1M2_PR
NEW met2 ( 1235330 411740 ) M2M3_PR ;
- io_wbs_datwr_1\[24\] ( wb_mux_inst io_wbs_datwr_1[24] ) ( wb_memory_inst io_wbs_datwr[24] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 850340 ) ( 1092270 * 0 )
NEW met2 ( 1090430 420750 ) ( * 850340 )
NEW met2 ( 1235790 415140 ) ( * 420750 )
NEW met1 ( 1090430 420750 ) ( 1235790 * )
NEW met3 ( 1235790 415140 ) ( 1250740 * 0 )
NEW met1 ( 1090430 420750 ) M1M2_PR
NEW met1 ( 1235790 420750 ) M1M2_PR
NEW met2 ( 1235790 415140 ) M2M3_PR ;
- io_wbs_datwr_1\[25\] ( wb_mux_inst io_wbs_datwr_1[25] ) ( wb_memory_inst io_wbs_datwr[25] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 418540 ) ( * 420410 )
NEW met1 ( 1111130 420410 ) ( 1235330 * )
NEW met3 ( 1235330 418540 ) ( 1250740 * 0 )
NEW met2 ( 1111130 850340 ) ( 1112970 * 0 )
NEW met2 ( 1111130 420410 ) ( * 850340 )
NEW met1 ( 1111130 420410 ) M1M2_PR
NEW met1 ( 1235330 420410 ) M1M2_PR
NEW met2 ( 1235330 418540 ) M2M3_PR ;
- io_wbs_datwr_1\[26\] ( wb_mux_inst io_wbs_datwr_1[26] ) ( wb_memory_inst io_wbs_datwr[26] ) + USE SIGNAL
+ ROUTED met2 ( 1131830 850340 ) ( 1133670 * 0 )
NEW met2 ( 1131830 427550 ) ( * 850340 )
NEW met2 ( 1235790 421940 ) ( * 427550 )
NEW met1 ( 1131830 427550 ) ( 1235790 * )
NEW met3 ( 1235790 421940 ) ( 1250740 * 0 )
NEW met1 ( 1131830 427550 ) M1M2_PR
NEW met1 ( 1235790 427550 ) M1M2_PR
NEW met2 ( 1235790 421940 ) M2M3_PR ;
- io_wbs_datwr_1\[27\] ( wb_mux_inst io_wbs_datwr_1[27] ) ( wb_memory_inst io_wbs_datwr[27] ) + USE SIGNAL
+ ROUTED met2 ( 1152530 850340 ) ( 1154370 * 0 )
NEW met2 ( 1152530 427210 ) ( * 850340 )
NEW met2 ( 1235330 425340 ) ( * 427210 )
NEW met1 ( 1152530 427210 ) ( 1235330 * )
NEW met3 ( 1235330 425340 ) ( 1250740 * 0 )
NEW met1 ( 1152530 427210 ) M1M2_PR
NEW met1 ( 1235330 427210 ) M1M2_PR
NEW met2 ( 1235330 425340 ) M2M3_PR ;
- io_wbs_datwr_1\[28\] ( wb_mux_inst io_wbs_datwr_1[28] ) ( wb_memory_inst io_wbs_datwr[28] ) + USE SIGNAL
+ ROUTED met2 ( 1173230 850340 ) ( 1175070 * 0 )
NEW met2 ( 1173230 434690 ) ( * 850340 )
NEW met2 ( 1235330 428740 ) ( * 434690 )
NEW met1 ( 1173230 434690 ) ( 1235330 * )
NEW met3 ( 1235330 428740 ) ( 1250740 * 0 )
NEW met1 ( 1173230 434690 ) M1M2_PR
NEW met1 ( 1235330 434690 ) M1M2_PR
NEW met2 ( 1235330 428740 ) M2M3_PR ;
- io_wbs_datwr_1\[29\] ( wb_mux_inst io_wbs_datwr_1[29] ) ( wb_memory_inst io_wbs_datwr[29] ) + USE SIGNAL
+ ROUTED met1 ( 1193930 434350 ) ( 1235790 * )
NEW met2 ( 1235790 432140 ) ( * 434350 )
NEW met3 ( 1235790 432140 ) ( 1250740 * 0 )
NEW met2 ( 1193930 850340 ) ( 1195770 * 0 )
NEW met2 ( 1193930 434350 ) ( * 850340 )
NEW met1 ( 1193930 434350 ) M1M2_PR
NEW met1 ( 1235790 434350 ) M1M2_PR
NEW met2 ( 1235790 432140 ) M2M3_PR ;
- io_wbs_datwr_1\[2\] ( wb_mux_inst io_wbs_datwr_1[2] ) ( wb_memory_inst io_wbs_datwr[2] ) + USE SIGNAL
+ ROUTED met2 ( 621230 850340 ) ( 623070 * 0 )
NEW met2 ( 621230 344930 ) ( * 850340 )
NEW met2 ( 1235790 340340 ) ( * 344930 )
NEW met1 ( 621230 344930 ) ( 1235790 * )
NEW met3 ( 1235790 340340 ) ( 1250740 * 0 )
NEW met1 ( 621230 344930 ) M1M2_PR
NEW met1 ( 1235790 344930 ) M1M2_PR
NEW met2 ( 1235790 340340 ) M2M3_PR ;
- io_wbs_datwr_1\[30\] ( wb_mux_inst io_wbs_datwr_1[30] ) ( wb_memory_inst io_wbs_datwr[30] ) + USE SIGNAL
+ ROUTED met1 ( 1214630 441490 ) ( 1235330 * )
NEW met2 ( 1235330 435540 ) ( * 441490 )
NEW met3 ( 1235330 435540 ) ( 1250740 * 0 )
NEW met2 ( 1214630 850340 ) ( 1216470 * 0 )
NEW met2 ( 1214630 441490 ) ( * 850340 )
NEW met1 ( 1214630 441490 ) M1M2_PR
NEW met1 ( 1235330 441490 ) M1M2_PR
NEW met2 ( 1235330 435540 ) M2M3_PR ;
- io_wbs_datwr_1\[31\] ( wb_mux_inst io_wbs_datwr_1[31] ) ( wb_memory_inst io_wbs_datwr[31] ) + USE SIGNAL
+ ROUTED met2 ( 1235330 511020 ) ( 1236250 * )
NEW met2 ( 1236250 438940 ) ( * 511020 )
NEW met3 ( 1236250 438940 ) ( 1250740 * 0 )
NEW met2 ( 1235330 850340 ) ( 1237170 * 0 )
NEW met2 ( 1235330 511020 ) ( * 850340 )
NEW met2 ( 1236250 438940 ) M2M3_PR ;
- io_wbs_datwr_1\[3\] ( wb_mux_inst io_wbs_datwr_1[3] ) ( wb_memory_inst io_wbs_datwr[3] ) + USE SIGNAL
+ ROUTED met2 ( 648830 850340 ) ( 650670 * 0 )
NEW met2 ( 648830 344590 ) ( * 850340 )
NEW met2 ( 1235330 343740 ) ( * 344590 )
NEW met1 ( 648830 344590 ) ( 1235330 * )
NEW met3 ( 1235330 343740 ) ( 1250740 * 0 )
NEW met1 ( 648830 344590 ) M1M2_PR
NEW met1 ( 1235330 344590 ) M1M2_PR
NEW met2 ( 1235330 343740 ) M2M3_PR ;
- io_wbs_datwr_1\[4\] ( wb_mux_inst io_wbs_datwr_1[4] ) ( wb_memory_inst io_wbs_datwr[4] ) + USE SIGNAL
+ ROUTED met2 ( 676430 850340 ) ( 678270 * 0 )
NEW met2 ( 676430 351730 ) ( * 850340 )
NEW met2 ( 1235790 347140 ) ( * 351730 )
NEW met1 ( 676430 351730 ) ( 1235790 * )
NEW met3 ( 1235790 347140 ) ( 1250740 * 0 )
NEW met1 ( 676430 351730 ) M1M2_PR
NEW met1 ( 1235790 351730 ) M1M2_PR
NEW met2 ( 1235790 347140 ) M2M3_PR ;
- io_wbs_datwr_1\[5\] ( wb_mux_inst io_wbs_datwr_1[5] ) ( wb_memory_inst io_wbs_datwr[5] ) + USE SIGNAL
+ ROUTED met2 ( 697130 850340 ) ( 698970 * 0 )
NEW met2 ( 697130 351390 ) ( * 850340 )
NEW met2 ( 1235330 350540 ) ( * 351390 )
NEW met1 ( 697130 351390 ) ( 1235330 * )
NEW met3 ( 1235330 350540 ) ( 1250740 * 0 )
NEW met1 ( 697130 351390 ) M1M2_PR
NEW met1 ( 1235330 351390 ) M1M2_PR
NEW met2 ( 1235330 350540 ) M2M3_PR ;
- io_wbs_datwr_1\[6\] ( wb_mux_inst io_wbs_datwr_1[6] ) ( wb_memory_inst io_wbs_datwr[6] ) + USE SIGNAL
+ ROUTED met2 ( 717830 850340 ) ( 719670 * 0 )
NEW met2 ( 717830 358530 ) ( * 850340 )
NEW met2 ( 1235790 353940 ) ( * 358530 )
NEW met1 ( 717830 358530 ) ( 1235790 * )
NEW met3 ( 1235790 353940 ) ( 1250740 * 0 )
NEW met1 ( 717830 358530 ) M1M2_PR
NEW met1 ( 1235790 358530 ) M1M2_PR
NEW met2 ( 1235790 353940 ) M2M3_PR ;
- io_wbs_datwr_1\[7\] ( wb_mux_inst io_wbs_datwr_1[7] ) ( wb_memory_inst io_wbs_datwr[7] ) + USE SIGNAL
+ ROUTED met2 ( 738530 850340 ) ( 740370 * 0 )
NEW met2 ( 738530 358190 ) ( * 850340 )
NEW met2 ( 1235330 357340 ) ( * 358190 )
NEW met1 ( 738530 358190 ) ( 1235330 * )
NEW met3 ( 1235330 357340 ) ( 1250740 * 0 )
NEW met1 ( 738530 358190 ) M1M2_PR
NEW met1 ( 1235330 358190 ) M1M2_PR
NEW met2 ( 1235330 357340 ) M2M3_PR ;
- io_wbs_datwr_1\[8\] ( wb_mux_inst io_wbs_datwr_1[8] ) ( wb_memory_inst io_wbs_datwr[8] ) + USE SIGNAL
+ ROUTED met2 ( 759230 850340 ) ( 761070 * 0 )
NEW met2 ( 759230 365670 ) ( * 850340 )
NEW met2 ( 1235790 360740 ) ( * 365670 )
NEW met1 ( 759230 365670 ) ( 1235790 * )
NEW met3 ( 1235790 360740 ) ( 1250740 * 0 )
NEW met1 ( 759230 365670 ) M1M2_PR
NEW met1 ( 1235790 365670 ) M1M2_PR
NEW met2 ( 1235790 360740 ) M2M3_PR ;
- io_wbs_datwr_1\[9\] ( wb_mux_inst io_wbs_datwr_1[9] ) ( wb_memory_inst io_wbs_datwr[9] ) + USE SIGNAL
+ ROUTED met2 ( 779930 850340 ) ( 781770 * 0 )
NEW met2 ( 779930 365330 ) ( * 850340 )
NEW met2 ( 1235330 364140 ) ( * 365330 )
NEW met1 ( 779930 365330 ) ( 1235330 * )
NEW met3 ( 1235330 364140 ) ( 1250740 * 0 )
NEW met1 ( 779930 365330 ) M1M2_PR
NEW met1 ( 1235330 365330 ) M1M2_PR
NEW met2 ( 1235330 364140 ) M2M3_PR ;
- io_wbs_sel_1\[0\] ( wb_mux_inst io_wbs_sel_1[0] ) ( wb_memory_inst io_wbs_sel[0] ) + USE SIGNAL
+ ROUTED met2 ( 572930 850340 ) ( 574770 * 0 )
NEW met2 ( 572930 558790 ) ( * 850340 )
NEW met2 ( 1236250 554540 ) ( * 558790 )
NEW met1 ( 572930 558790 ) ( 1236250 * )
NEW met3 ( 1236250 554540 ) ( 1250740 * 0 )
NEW met1 ( 572930 558790 ) M1M2_PR
NEW met1 ( 1236250 558790 ) M1M2_PR
NEW met2 ( 1236250 554540 ) M2M3_PR ;
- io_wbs_sel_1\[1\] ( wb_mux_inst io_wbs_sel_1[1] ) ( wb_memory_inst io_wbs_sel[1] ) + USE SIGNAL
+ ROUTED met2 ( 600530 850340 ) ( 602370 * 0 )
NEW met2 ( 600530 558450 ) ( * 850340 )
NEW met2 ( 1235790 557940 ) ( * 558450 )
NEW met1 ( 600530 558450 ) ( 1235790 * )
NEW met3 ( 1235790 557940 ) ( 1250740 * 0 )
NEW met1 ( 600530 558450 ) M1M2_PR
NEW met1 ( 1235790 558450 ) M1M2_PR
NEW met2 ( 1235790 557940 ) M2M3_PR ;
- io_wbs_sel_1\[2\] ( wb_mux_inst io_wbs_sel_1[2] ) ( wb_memory_inst io_wbs_sel[2] ) + USE SIGNAL
+ ROUTED met2 ( 628130 850340 ) ( 629970 * 0 )
NEW met2 ( 628130 565590 ) ( * 850340 )
NEW met2 ( 1236250 561340 ) ( * 565590 )
NEW met1 ( 628130 565590 ) ( 1236250 * )
NEW met3 ( 1236250 561340 ) ( 1250740 * 0 )
NEW met1 ( 628130 565590 ) M1M2_PR
NEW met1 ( 1236250 565590 ) M1M2_PR
NEW met2 ( 1236250 561340 ) M2M3_PR ;
- io_wbs_sel_1\[3\] ( wb_mux_inst io_wbs_sel_1[3] ) ( wb_memory_inst io_wbs_sel[3] ) + USE SIGNAL
+ ROUTED met2 ( 655730 850340 ) ( 657570 * 0 )
NEW met2 ( 655730 565250 ) ( * 850340 )
NEW met2 ( 1235790 564740 ) ( * 565250 )
NEW met1 ( 655730 565250 ) ( 1235790 * )
NEW met3 ( 1235790 564740 ) ( 1250740 * 0 )
NEW met1 ( 655730 565250 ) M1M2_PR
NEW met1 ( 1235790 565250 ) M1M2_PR
NEW met2 ( 1235790 564740 ) M2M3_PR ;
- io_wbs_stb_0 ( wfg_top_inst io_wbs_stb ) ( wb_mux_inst io_wbs_stb_0 ) + USE SIGNAL
+ ROUTED met3 ( 1649100 568140 0 ) ( 1661750 * )
NEW met2 ( 1661750 568140 ) ( * 572390 )
NEW met2 ( 1952930 2200140 ) ( 1954080 * 0 )
NEW met2 ( 1952930 572390 ) ( * 2200140 )
NEW met1 ( 1661750 572390 ) ( 1952930 * )
NEW met2 ( 1661750 568140 ) M2M3_PR
NEW met1 ( 1661750 572390 ) M1M2_PR
NEW met1 ( 1952930 572390 ) M1M2_PR ;
- io_wbs_stb_1 ( wb_mux_inst io_wbs_stb_1 ) ( wb_memory_inst io_wbs_stb ) + USE SIGNAL
+ ROUTED met2 ( 1236250 568140 ) ( * 572050 )
NEW met1 ( 538430 572050 ) ( 1236250 * )
NEW met3 ( 1236250 568140 ) ( 1250740 * 0 )
NEW met2 ( 538430 850340 ) ( 540270 * 0 )
NEW met2 ( 538430 572050 ) ( * 850340 )
NEW met1 ( 538430 572050 ) M1M2_PR
NEW met1 ( 1236250 572050 ) M1M2_PR
NEW met2 ( 1236250 568140 ) M2M3_PR ;
- io_wbs_we_0 ( wfg_top_inst io_wbs_we ) ( wb_mux_inst io_wbs_we_0 ) + USE SIGNAL
+ ROUTED met3 ( 1649100 551140 0 ) ( 1661290 * )
NEW met2 ( 1661290 551140 ) ( * 551650 )
NEW met2 ( 1959830 2200140 ) ( 1960980 * 0 )
NEW met2 ( 1959830 551650 ) ( * 2200140 )
NEW met1 ( 1661290 551650 ) ( 1959830 * )
NEW met2 ( 1661290 551140 ) M2M3_PR
NEW met1 ( 1661290 551650 ) M1M2_PR
NEW met1 ( 1959830 551650 ) M1M2_PR ;
- io_wbs_we_1 ( wb_mux_inst io_wbs_we_1 ) ( wb_memory_inst io_wbs_we ) + USE SIGNAL
+ ROUTED met2 ( 545330 850340 ) ( 547170 * 0 )
NEW met2 ( 545330 551990 ) ( * 850340 )
NEW met2 ( 1235790 551140 ) ( * 551990 )
NEW met1 ( 545330 551990 ) ( 1235790 * )
NEW met3 ( 1235790 551140 ) ( 1250740 * 0 )
NEW met1 ( 545330 551990 ) M1M2_PR
NEW met1 ( 1235790 551990 ) M1M2_PR
NEW met2 ( 1235790 551140 ) M2M3_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) + USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) + USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) + USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) + USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) + USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) + USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) + USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) + USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) + USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) + USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) + USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) + USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) + USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) + USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) + USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) + USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) + USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) + USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) + USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) + USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) + USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) + USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) + USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) + USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) + USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) + USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) + USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) + USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) + USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) + USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) + USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) + USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) + USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) + USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) + USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) + USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) + USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) + USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) + USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) + USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) + USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) + USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) + USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) + USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) + USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) + USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) + USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) + USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) + USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) + USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) + USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) + USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) + USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) + USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) + USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) + USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) + USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) + USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) + USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) + USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) + USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) + USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) + USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) + USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) + USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) + USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) + USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) + USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) + USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) + USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) + USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) + USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) + USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) + USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) + USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) + USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) + USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) + USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) + USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) + USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) + USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) + USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) + USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) + USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) + USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) + USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) + USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) + USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) + USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) + USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) + USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) + USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) + USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) + USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) + USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) + USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) + USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) + USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) + USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) + USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) + USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) + USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) + USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) + USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) + USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) + USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) + USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) + USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) + USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) + USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) + USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) + USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) + USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) + USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) + USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) + USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) + USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) + USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) + USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) + USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) + USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) + USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) + USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) + USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) + USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) + USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) + USE SIGNAL ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- unused\[0\] ( wfg_top_inst wfg_drive_pat_dout_o[8] ) + USE SIGNAL ;
- unused\[10\] ( wfg_top_inst wfg_drive_pat_dout_o[18] ) + USE SIGNAL ;
- unused\[11\] ( wfg_top_inst wfg_drive_pat_dout_o[19] ) + USE SIGNAL ;
- unused\[12\] ( wfg_top_inst wfg_drive_pat_dout_o[20] ) + USE SIGNAL ;
- unused\[13\] ( wfg_top_inst wfg_drive_pat_dout_o[21] ) + USE SIGNAL ;
- unused\[14\] ( wfg_top_inst wfg_drive_pat_dout_o[22] ) + USE SIGNAL ;
- unused\[15\] ( wfg_top_inst wfg_drive_pat_dout_o[23] ) + USE SIGNAL ;
- unused\[16\] ( wfg_top_inst wfg_drive_pat_dout_o[24] ) + USE SIGNAL ;
- unused\[17\] ( wfg_top_inst wfg_drive_pat_dout_o[25] ) + USE SIGNAL ;
- unused\[18\] ( wfg_top_inst wfg_drive_pat_dout_o[26] ) + USE SIGNAL ;
- unused\[19\] ( wfg_top_inst wfg_drive_pat_dout_o[27] ) + USE SIGNAL ;
- unused\[1\] ( wfg_top_inst wfg_drive_pat_dout_o[9] ) + USE SIGNAL ;
- unused\[20\] ( wfg_top_inst wfg_drive_pat_dout_o[28] ) + USE SIGNAL ;
- unused\[21\] ( wfg_top_inst wfg_drive_pat_dout_o[29] ) + USE SIGNAL ;
- unused\[22\] ( wfg_top_inst wfg_drive_pat_dout_o[30] ) + USE SIGNAL ;
- unused\[23\] ( wfg_top_inst wfg_drive_pat_dout_o[31] ) + USE SIGNAL ;
- unused\[2\] ( wfg_top_inst wfg_drive_pat_dout_o[10] ) + USE SIGNAL ;
- unused\[3\] ( wfg_top_inst wfg_drive_pat_dout_o[11] ) + USE SIGNAL ;
- unused\[4\] ( wfg_top_inst wfg_drive_pat_dout_o[12] ) + USE SIGNAL ;
- unused\[5\] ( wfg_top_inst wfg_drive_pat_dout_o[13] ) + USE SIGNAL ;
- unused\[6\] ( wfg_top_inst wfg_drive_pat_dout_o[14] ) + USE SIGNAL ;
- unused\[7\] ( wfg_top_inst wfg_drive_pat_dout_o[15] ) + USE SIGNAL ;
- unused\[8\] ( wfg_top_inst wfg_drive_pat_dout_o[16] ) + USE SIGNAL ;
- unused\[9\] ( wfg_top_inst wfg_drive_pat_dout_o[17] ) + USE SIGNAL ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( wfg_top_inst io_wbs_clk ) ( wb_memory_inst io_wbs_clk ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 clk1 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 clk0 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 clk1 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 clk0 ) + USE SIGNAL
+ ROUTED met2 ( 690 1700 ) ( 2990 * 0 )
NEW met3 ( 98900 1541670 ) ( 100280 * 0 )
NEW met3 ( 98900 1541670 ) ( * 1541900 )
NEW met3 ( 96370 1541900 ) ( 98900 * )
NEW met1 ( 783150 1542070 ) ( 786370 * )
NEW met3 ( 884350 1539860 ) ( 886420 * )
NEW met2 ( 884350 1539860 ) ( * 1542070 )
NEW met3 ( 900160 1541670 0 ) ( * 1541900 )
NEW met3 ( 884350 1541900 ) ( 900160 * )
NEW met3 ( 1554340 1925420 ) ( 1555030 * )
NEW met2 ( 1555030 1925420 ) ( * 1931710 )
NEW met2 ( 1555030 1925250 ) ( * 1925420 )
NEW met2 ( 230 82800 ) ( 690 * )
NEW met2 ( 690 1700 ) ( * 82800 )
NEW met2 ( 230 82800 ) ( * 838610 )
NEW met2 ( 96370 1497190 ) ( * 1541900 )
NEW met2 ( 783150 1497190 ) ( * 1542070 )
NEW met2 ( 786370 1542070 ) ( * 1925250 )
NEW met4 ( 886420 841500 ) ( * 1539860 )
NEW met4 ( 1554340 1918200 ) ( * 1925420 )
NEW met4 ( 1554350 1916010 0 ) ( * 1918200 )
NEW met4 ( 1554340 1918200 ) ( 1554350 * )
NEW met2 ( 1932230 2200140 ) ( 1933380 * 0 )
NEW met2 ( 1932230 1931710 ) ( * 2200140 )
NEW met3 ( 754860 1925420 ) ( 755090 * )
NEW met2 ( 755090 1925250 ) ( * 1925420 )
NEW met1 ( 755090 1925250 ) ( 786370 * )
NEW met1 ( 786370 1542070 ) ( 884350 * )
NEW met1 ( 786370 1925250 ) ( 1555030 * )
NEW met1 ( 1555030 1931710 ) ( 1932230 * )
NEW met2 ( 522330 838610 ) ( * 841500 )
NEW met2 ( 519570 850340 0 ) ( 521870 * )
NEW met2 ( 521870 841500 ) ( * 850340 )
NEW met2 ( 521870 841500 ) ( 522330 * )
NEW met1 ( 230 838610 ) ( 522330 * )
NEW met4 ( 754860 1918200 ) ( * 1925420 )
NEW met4 ( 754350 1916010 0 ) ( * 1918200 )
NEW met4 ( 754350 1918200 ) ( 754860 * )
NEW met3 ( 522330 841500 ) ( 886420 * )
NEW met1 ( 96370 1497190 ) ( 783150 * )
NEW met2 ( 96370 1541900 ) M2M3_PR
NEW met1 ( 783150 1542070 ) M1M2_PR
NEW met1 ( 786370 1542070 ) M1M2_PR
NEW met1 ( 786370 1925250 ) M1M2_PR
NEW met3 ( 886420 1539860 ) M3M4_PR
NEW met2 ( 884350 1539860 ) M2M3_PR
NEW met1 ( 884350 1542070 ) M1M2_PR
NEW met2 ( 884350 1541900 ) M2M3_PR
NEW met3 ( 1554340 1925420 ) M3M4_PR
NEW met2 ( 1555030 1925420 ) M2M3_PR
NEW met1 ( 1555030 1931710 ) M1M2_PR
NEW met1 ( 1555030 1925250 ) M1M2_PR
NEW met1 ( 1932230 1931710 ) M1M2_PR
NEW met1 ( 230 838610 ) M1M2_PR
NEW met1 ( 96370 1497190 ) M1M2_PR
NEW met1 ( 783150 1497190 ) M1M2_PR
NEW met3 ( 886420 841500 ) M3M4_PR
NEW met3 ( 754860 1925420 ) M3M4_PR
NEW met2 ( 755090 1925420 ) M2M3_PR
NEW met1 ( 755090 1925250 ) M1M2_PR
NEW met1 ( 522330 838610 ) M1M2_PR
NEW met2 ( 522330 841500 ) M2M3_PR
NEW met2 ( 884350 1541900 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1555030 1925250 ) RECT ( -70 -315 70 0 )
NEW met3 ( 754860 1925420 ) RECT ( -390 -150 0 150 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( wfg_top_inst io_wbs_rst ) ( wb_memory_inst io_wbs_rst ) + USE SIGNAL
+ ROUTED met2 ( 7130 1700 ) ( 8510 * 0 )
NEW met2 ( 7130 1700 ) ( * 838270 )
NEW met2 ( 1946030 2200140 ) ( 1947180 * 0 )
NEW met2 ( 1946030 841670 ) ( * 2200140 )
NEW met2 ( 533370 841670 ) ( * 850340 0 )
NEW met2 ( 533370 838270 ) ( * 841670 )
NEW met1 ( 7130 838270 ) ( 533370 * )
NEW met1 ( 533370 841670 ) ( 1946030 * )
NEW met1 ( 7130 838270 ) M1M2_PR
NEW met1 ( 1946030 841670 ) M1M2_PR
NEW met1 ( 533370 841670 ) M1M2_PR
NEW met1 ( 533370 838270 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( wb_mux_inst io_wbs_ack ) + USE SIGNAL
+ ROUTED met2 ( 1635760 198900 ) ( 1635990 * )
NEW met2 ( 1635760 198900 ) ( * 200260 0 )
NEW met2 ( 14490 1700 0 ) ( * 44540 )
NEW met2 ( 1635990 44540 ) ( * 198900 )
NEW met3 ( 14490 44540 ) ( 1635990 * )
NEW met2 ( 14490 44540 ) M2M3_PR
NEW met2 ( 1635990 44540 ) M2M3_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( wb_mux_inst io_wbs_adr[0] ) + USE SIGNAL
+ ROUTED met2 ( 1256030 200260 ) ( 1260400 * 0 )
NEW met2 ( 1256030 45390 ) ( * 200260 )
NEW met2 ( 38410 1700 0 ) ( * 45390 )
NEW met1 ( 38410 45390 ) ( 1256030 * )
NEW met1 ( 1256030 45390 ) M1M2_PR
NEW met1 ( 38410 45390 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( wb_mux_inst io_wbs_adr[10] ) + USE SIGNAL
+ ROUTED met2 ( 1294210 200260 ) ( 1297200 * 0 )
NEW met2 ( 239430 1700 0 ) ( * 46750 )
NEW met1 ( 239430 46750 ) ( 1290990 * )
NEW met2 ( 1290990 46750 ) ( * 131100 )
NEW met2 ( 1290990 131100 ) ( 1294210 * )
NEW met2 ( 1294210 131100 ) ( * 200260 )
NEW met1 ( 239430 46750 ) M1M2_PR
NEW met1 ( 1290990 46750 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( wb_mux_inst io_wbs_adr[11] ) + USE SIGNAL
+ ROUTED met2 ( 1297890 200260 ) ( 1300880 * 0 )
NEW met2 ( 256910 1700 0 ) ( * 47090 )
NEW met1 ( 256910 47090 ) ( 1297430 * )
NEW met2 ( 1297430 47090 ) ( * 131100 )
NEW met2 ( 1297430 131100 ) ( 1297890 * )
NEW met2 ( 1297890 131100 ) ( * 200260 )
NEW met1 ( 256910 47090 ) M1M2_PR
NEW met1 ( 1297430 47090 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( wb_mux_inst io_wbs_adr[12] ) + USE SIGNAL
+ ROUTED met2 ( 1304560 198900 ) ( 1304790 * )
NEW met2 ( 1304560 198900 ) ( * 200260 0 )
NEW met2 ( 274850 1700 0 ) ( * 47430 )
NEW met1 ( 274850 47430 ) ( 1304790 * )
NEW met2 ( 1304790 47430 ) ( * 198900 )
NEW met1 ( 274850 47430 ) M1M2_PR
NEW met1 ( 1304790 47430 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( wb_mux_inst io_wbs_adr[13] ) + USE SIGNAL
+ ROUTED met2 ( 292330 1700 0 ) ( * 24310 )
NEW met1 ( 292330 24310 ) ( 1304330 * )
NEW met1 ( 1304330 189210 ) ( 1306630 * )
NEW met2 ( 1306630 189210 ) ( * 200260 )
NEW met2 ( 1306630 200260 ) ( 1308240 * 0 )
NEW met2 ( 1304330 24310 ) ( * 189210 )
NEW met1 ( 292330 24310 ) M1M2_PR
NEW met1 ( 1304330 24310 ) M1M2_PR
NEW met1 ( 1304330 189210 ) M1M2_PR
NEW met1 ( 1306630 189210 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( wb_mux_inst io_wbs_adr[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1700 0 ) ( * 24650 )
NEW met1 ( 310270 24650 ) ( 1311230 * )
NEW met2 ( 1311230 200260 ) ( 1311920 * 0 )
NEW met2 ( 1311230 24650 ) ( * 200260 )
NEW met1 ( 310270 24650 ) M1M2_PR
NEW met1 ( 1311230 24650 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( wb_mux_inst io_wbs_adr[15] ) + USE SIGNAL
+ ROUTED met2 ( 327750 1700 0 ) ( * 24990 )
NEW met1 ( 327750 24990 ) ( 1311690 * )
NEW met2 ( 1312610 200260 ) ( 1315600 * 0 )
NEW met2 ( 1311690 24990 ) ( * 131100 )
NEW met2 ( 1311690 131100 ) ( 1312610 * )
NEW met2 ( 1312610 131100 ) ( * 200260 )
NEW met1 ( 327750 24990 ) M1M2_PR
NEW met1 ( 1311690 24990 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( wb_mux_inst io_wbs_adr[16] ) + USE SIGNAL
+ ROUTED met2 ( 345690 1700 0 ) ( * 25330 )
NEW met1 ( 345690 25330 ) ( 1318130 * )
NEW met2 ( 1318130 200260 ) ( 1319280 * 0 )
NEW met2 ( 1318130 25330 ) ( * 200260 )
NEW met1 ( 345690 25330 ) M1M2_PR
NEW met1 ( 1318130 25330 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( wb_mux_inst io_wbs_adr[17] ) + USE SIGNAL
+ ROUTED met2 ( 363170 1700 0 ) ( * 25670 )
NEW met1 ( 363170 25670 ) ( 1318590 * )
NEW met2 ( 1319970 200260 ) ( 1322960 * 0 )
NEW met2 ( 1318590 25670 ) ( * 131100 )
NEW met2 ( 1318590 131100 ) ( 1319970 * )
NEW met2 ( 1319970 131100 ) ( * 200260 )
NEW met1 ( 363170 25670 ) M1M2_PR
NEW met1 ( 1318590 25670 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( wb_mux_inst io_wbs_adr[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1700 0 ) ( * 26010 )
NEW met1 ( 381110 26010 ) ( 1325490 * )
NEW met2 ( 1325490 200260 ) ( 1326640 * 0 )
NEW met2 ( 1325490 26010 ) ( * 200260 )
NEW met1 ( 381110 26010 ) M1M2_PR
NEW met1 ( 1325490 26010 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( wb_mux_inst io_wbs_adr[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 1700 0 ) ( * 26350 )
NEW met1 ( 398590 26350 ) ( 1325030 * )
NEW met1 ( 1325030 189210 ) ( 1328710 * )
NEW met2 ( 1328710 189210 ) ( * 200260 )
NEW met2 ( 1328710 200260 ) ( 1330320 * 0 )
NEW met2 ( 1325030 26350 ) ( * 189210 )
NEW met1 ( 398590 26350 ) M1M2_PR
NEW met1 ( 1325030 26350 ) M1M2_PR
NEW met1 ( 1325030 189210 ) M1M2_PR
NEW met1 ( 1328710 189210 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( wb_mux_inst io_wbs_adr[1] ) + USE SIGNAL
+ ROUTED met2 ( 1263390 200260 ) ( 1264080 * 0 )
NEW met2 ( 1263390 24140 ) ( * 200260 )
NEW met2 ( 61870 1700 0 ) ( * 24140 )
NEW met3 ( 61870 24140 ) ( 1263390 * )
NEW met2 ( 1263390 24140 ) M2M3_PR
NEW met2 ( 61870 24140 ) M2M3_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( wb_mux_inst io_wbs_adr[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 1700 0 ) ( * 26690 )
NEW met1 ( 416530 26690 ) ( 1331930 * )
NEW met2 ( 1331930 200260 ) ( 1334000 * 0 )
NEW met2 ( 1331930 26690 ) ( * 200260 )
NEW met1 ( 416530 26690 ) M1M2_PR
NEW met1 ( 1331930 26690 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( wb_mux_inst io_wbs_adr[21] ) + USE SIGNAL
+ ROUTED met2 ( 434470 1700 0 ) ( * 27030 )
NEW met1 ( 434470 27030 ) ( 1332390 * )
NEW met2 ( 1336070 200260 ) ( 1337680 * 0 )
NEW met2 ( 1332390 27030 ) ( * 131100 )
NEW met2 ( 1332390 131100 ) ( 1336070 * )
NEW met2 ( 1336070 131100 ) ( * 200260 )
NEW met1 ( 434470 27030 ) M1M2_PR
NEW met1 ( 1332390 27030 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( wb_mux_inst io_wbs_adr[22] ) + USE SIGNAL
+ ROUTED met2 ( 1338830 200260 ) ( 1341360 * 0 )
NEW met2 ( 1338830 27370 ) ( * 200260 )
NEW met2 ( 451950 1700 0 ) ( * 27370 )
NEW met1 ( 451950 27370 ) ( 1338830 * )
NEW met1 ( 1338830 27370 ) M1M2_PR
NEW met1 ( 451950 27370 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( wb_mux_inst io_wbs_adr[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1700 0 ) ( * 23630 )
NEW met2 ( 1342050 200260 ) ( 1345040 * 0 )
NEW met2 ( 1339290 23630 ) ( * 131100 )
NEW met2 ( 1339290 131100 ) ( 1342050 * )
NEW met2 ( 1342050 131100 ) ( * 200260 )
NEW met1 ( 469890 23630 ) ( 1339290 * )
NEW met1 ( 469890 23630 ) M1M2_PR
NEW met1 ( 1339290 23630 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( wb_mux_inst io_wbs_adr[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 1700 0 ) ( * 23290 )
NEW met2 ( 1345730 200260 ) ( 1348720 * 0 )
NEW met2 ( 1345730 23290 ) ( * 200260 )
NEW met1 ( 487370 23290 ) ( 1345730 * )
NEW met1 ( 487370 23290 ) M1M2_PR
NEW met1 ( 1345730 23290 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( wb_mux_inst io_wbs_adr[25] ) + USE SIGNAL
+ ROUTED met2 ( 505310 1700 0 ) ( * 22950 )
NEW met2 ( 1349870 200260 ) ( 1352400 * 0 )
NEW met2 ( 1346190 22950 ) ( * 131100 )
NEW met2 ( 1346190 131100 ) ( 1349870 * )
NEW met2 ( 1349870 131100 ) ( * 200260 )
NEW met1 ( 505310 22950 ) ( 1346190 * )
NEW met1 ( 505310 22950 ) M1M2_PR
NEW met1 ( 1346190 22950 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( wb_mux_inst io_wbs_adr[26] ) + USE SIGNAL
+ ROUTED met2 ( 1353090 200260 ) ( 1356080 * 0 )
NEW met2 ( 1352630 48110 ) ( * 131100 )
NEW met2 ( 1352630 131100 ) ( 1353090 * )
NEW met2 ( 1353090 131100 ) ( * 200260 )
NEW met2 ( 522790 1700 0 ) ( * 48110 )
NEW met1 ( 522790 48110 ) ( 1352630 * )
NEW met1 ( 1352630 48110 ) M1M2_PR
NEW met1 ( 522790 48110 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( wb_mux_inst io_wbs_adr[27] ) + USE SIGNAL
+ ROUTED met2 ( 1359530 200260 ) ( 1359760 * 0 )
NEW met2 ( 1359530 44370 ) ( * 200260 )
NEW met2 ( 540730 1700 0 ) ( * 44370 )
NEW met1 ( 540730 44370 ) ( 1359530 * )
NEW met1 ( 1359530 44370 ) M1M2_PR
NEW met1 ( 540730 44370 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( wb_mux_inst io_wbs_adr[28] ) + USE SIGNAL
+ ROUTED met2 ( 1360450 200260 ) ( 1363440 * 0 )
NEW met2 ( 1359990 44030 ) ( * 131100 )
NEW met2 ( 1359990 131100 ) ( 1360450 * )
NEW met2 ( 1360450 131100 ) ( * 200260 )
NEW met2 ( 558210 1700 0 ) ( * 44030 )
NEW met1 ( 558210 44030 ) ( 1359990 * )
NEW met1 ( 1359990 44030 ) M1M2_PR
NEW met1 ( 558210 44030 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( wb_mux_inst io_wbs_adr[29] ) + USE SIGNAL
+ ROUTED met2 ( 1366890 200260 ) ( 1367120 * 0 )
NEW met2 ( 576150 1700 0 ) ( * 43690 )
NEW met2 ( 1366890 43690 ) ( * 200260 )
NEW met1 ( 576150 43690 ) ( 1366890 * )
NEW met1 ( 576150 43690 ) M1M2_PR
NEW met1 ( 1366890 43690 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( wb_mux_inst io_wbs_adr[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 1700 0 ) ( * 23970 )
NEW met1 ( 1262930 189210 ) ( 1266150 * )
NEW met2 ( 1266150 189210 ) ( * 200260 )
NEW met2 ( 1266150 200260 ) ( 1267760 * 0 )
NEW met2 ( 1262930 23970 ) ( * 189210 )
NEW met1 ( 85330 23970 ) ( 1262930 * )
NEW met1 ( 85330 23970 ) M1M2_PR
NEW met1 ( 1262930 23970 ) M1M2_PR
NEW met1 ( 1262930 189210 ) M1M2_PR
NEW met1 ( 1266150 189210 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( wb_mux_inst io_wbs_adr[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1700 0 ) ( * 30090 )
NEW met1 ( 1366430 189210 ) ( 1369190 * )
NEW met2 ( 1369190 189210 ) ( * 200260 )
NEW met2 ( 1369190 200260 ) ( 1370800 * 0 )
NEW met2 ( 1366430 30090 ) ( * 189210 )
NEW met1 ( 594090 30090 ) ( 1366430 * )
NEW met1 ( 594090 30090 ) M1M2_PR
NEW met1 ( 1366430 30090 ) M1M2_PR
NEW met1 ( 1366430 189210 ) M1M2_PR
NEW met1 ( 1369190 189210 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( wb_mux_inst io_wbs_adr[31] ) + USE SIGNAL
+ ROUTED met2 ( 611570 1700 0 ) ( * 29750 )
NEW met2 ( 1373790 200260 ) ( 1374480 * 0 )
NEW met2 ( 1373790 29750 ) ( * 200260 )
NEW met1 ( 611570 29750 ) ( 1373790 * )
NEW met1 ( 611570 29750 ) M1M2_PR
NEW met1 ( 1373790 29750 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( wb_mux_inst io_wbs_adr[3] ) + USE SIGNAL
+ ROUTED met2 ( 109250 1700 0 ) ( * 31790 )
NEW met2 ( 1270290 200260 ) ( 1271440 * 0 )
NEW met2 ( 1270290 31790 ) ( * 200260 )
NEW met1 ( 109250 31790 ) ( 1270290 * )
NEW met1 ( 109250 31790 ) M1M2_PR
NEW met1 ( 1270290 31790 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( wb_mux_inst io_wbs_adr[4] ) + USE SIGNAL
+ ROUTED met1 ( 1269830 189210 ) ( 1273510 * )
NEW met2 ( 1273510 189210 ) ( * 200260 )
NEW met2 ( 1273510 200260 ) ( 1275120 * 0 )
NEW met2 ( 1269830 32810 ) ( * 189210 )
NEW met2 ( 132710 1700 0 ) ( * 32810 )
NEW met1 ( 132710 32810 ) ( 1269830 * )
NEW met1 ( 1269830 32810 ) M1M2_PR
NEW met1 ( 1269830 189210 ) M1M2_PR
NEW met1 ( 1273510 189210 ) M1M2_PR
NEW met1 ( 132710 32810 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( wb_mux_inst io_wbs_adr[5] ) + USE SIGNAL
+ ROUTED met2 ( 1277190 200260 ) ( 1278800 * 0 )
NEW met2 ( 1277190 33150 ) ( * 200260 )
NEW met2 ( 150650 1700 0 ) ( * 33150 )
NEW met1 ( 150650 33150 ) ( 1277190 * )
NEW met1 ( 1277190 33150 ) M1M2_PR
NEW met1 ( 150650 33150 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( wb_mux_inst io_wbs_adr[6] ) + USE SIGNAL
+ ROUTED met1 ( 1276730 189210 ) ( 1280870 * )
NEW met2 ( 1280870 189210 ) ( * 200260 )
NEW met2 ( 1280870 200260 ) ( 1282480 * 0 )
NEW met2 ( 1276730 33490 ) ( * 189210 )
NEW met2 ( 168130 1700 0 ) ( * 33490 )
NEW met1 ( 168130 33490 ) ( 1276730 * )
NEW met1 ( 1276730 33490 ) M1M2_PR
NEW met1 ( 1276730 189210 ) M1M2_PR
NEW met1 ( 1280870 189210 ) M1M2_PR
NEW met1 ( 168130 33490 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( wb_mux_inst io_wbs_adr[7] ) + USE SIGNAL
+ ROUTED met2 ( 186070 1700 0 ) ( * 33830 )
NEW met2 ( 1284090 200260 ) ( 1286160 * 0 )
NEW met2 ( 1284090 33830 ) ( * 200260 )
NEW met1 ( 186070 33830 ) ( 1284090 * )
NEW met1 ( 186070 33830 ) M1M2_PR
NEW met1 ( 1284090 33830 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( wb_mux_inst io_wbs_adr[8] ) + USE SIGNAL
+ ROUTED met2 ( 203550 1700 0 ) ( * 34170 )
NEW met1 ( 1283630 189210 ) ( 1288230 * )
NEW met2 ( 1288230 189210 ) ( * 200260 )
NEW met2 ( 1288230 200260 ) ( 1289840 * 0 )
NEW met2 ( 1283630 34170 ) ( * 189210 )
NEW met1 ( 203550 34170 ) ( 1283630 * )
NEW met1 ( 203550 34170 ) M1M2_PR
NEW met1 ( 1283630 34170 ) M1M2_PR
NEW met1 ( 1283630 189210 ) M1M2_PR
NEW met1 ( 1288230 189210 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( wb_mux_inst io_wbs_adr[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 1700 0 ) ( * 30430 )
NEW met1 ( 221490 30430 ) ( 1290530 * )
NEW met2 ( 1290530 200260 ) ( 1293520 * 0 )
NEW met2 ( 1290530 30430 ) ( * 200260 )
NEW met1 ( 221490 30430 ) M1M2_PR
NEW met1 ( 1290530 30430 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( wb_mux_inst io_wbs_cyc ) + USE SIGNAL
+ ROUTED met2 ( 20470 1700 0 ) ( * 30940 )
NEW met1 ( 1635530 189890 ) ( 1637830 * )
NEW met2 ( 1637830 189890 ) ( * 200260 )
NEW met2 ( 1637830 200260 ) ( 1639440 * 0 )
NEW met2 ( 1635530 30940 ) ( * 189890 )
NEW met3 ( 20470 30940 ) ( 1635530 * )
NEW met2 ( 20470 30940 ) M2M3_PR
NEW met2 ( 1635530 30940 ) M2M3_PR
NEW met1 ( 1635530 189890 ) M1M2_PR
NEW met1 ( 1637830 189890 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( wb_mux_inst io_wbs_datwr[0] ) + USE SIGNAL
+ ROUTED met1 ( 1373330 189210 ) ( 1376550 * )
NEW met2 ( 1376550 189210 ) ( * 200260 )
NEW met2 ( 1376550 200260 ) ( 1378160 * 0 )
NEW met2 ( 1373330 30770 ) ( * 189210 )
NEW met2 ( 43930 1700 0 ) ( * 30770 )
NEW met1 ( 43930 30770 ) ( 1373330 * )
NEW met1 ( 1373330 30770 ) M1M2_PR
NEW met1 ( 1373330 189210 ) M1M2_PR
NEW met1 ( 1376550 189210 ) M1M2_PR
NEW met1 ( 43930 30770 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( wb_mux_inst io_wbs_datwr[10] ) + USE SIGNAL
+ ROUTED met2 ( 244950 1700 0 ) ( * 31450 )
NEW met1 ( 244950 31450 ) ( 1414730 * )
NEW met2 ( 1414730 200260 ) ( 1414960 * 0 )
NEW met2 ( 1414730 31450 ) ( * 200260 )
NEW met1 ( 244950 31450 ) M1M2_PR
NEW met1 ( 1414730 31450 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( wb_mux_inst io_wbs_datwr[11] ) + USE SIGNAL
+ ROUTED met2 ( 262890 1700 0 ) ( * 32130 )
NEW met1 ( 262890 32130 ) ( 1415190 * )
NEW met2 ( 1415650 200260 ) ( 1418640 * 0 )
NEW met2 ( 1415190 32130 ) ( * 131100 )
NEW met2 ( 1415190 131100 ) ( 1415650 * )
NEW met2 ( 1415650 131100 ) ( * 200260 )
NEW met1 ( 262890 32130 ) M1M2_PR
NEW met1 ( 1415190 32130 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( wb_mux_inst io_wbs_datwr[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 1700 0 ) ( * 32470 )
NEW met1 ( 280370 32470 ) ( 1421630 * )
NEW met2 ( 1421630 200260 ) ( 1422320 * 0 )
NEW met2 ( 1421630 32470 ) ( * 200260 )
NEW met1 ( 280370 32470 ) M1M2_PR
NEW met1 ( 1421630 32470 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( wb_mux_inst io_wbs_datwr[13] ) + USE SIGNAL
+ ROUTED met2 ( 296930 1700 ) ( 298310 * 0 )
NEW met2 ( 296930 1700 ) ( * 54570 )
NEW met2 ( 1423010 200260 ) ( 1426000 * 0 )
NEW met1 ( 296930 54570 ) ( 1422090 * )
NEW met2 ( 1422090 54570 ) ( * 131100 )
NEW met2 ( 1422090 131100 ) ( 1423010 * )
NEW met2 ( 1423010 131100 ) ( * 200260 )
NEW met1 ( 296930 54570 ) M1M2_PR
NEW met1 ( 1422090 54570 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( wb_mux_inst io_wbs_datwr[14] ) + USE SIGNAL
+ ROUTED met2 ( 316250 1700 0 ) ( * 54910 )
NEW met2 ( 1428990 200260 ) ( 1429680 * 0 )
NEW met1 ( 316250 54910 ) ( 1428990 * )
NEW met2 ( 1428990 54910 ) ( * 200260 )
NEW met1 ( 316250 54910 ) M1M2_PR
NEW met1 ( 1428990 54910 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( wb_mux_inst io_wbs_datwr[15] ) + USE SIGNAL
+ ROUTED met1 ( 1428530 189210 ) ( 1431750 * )
NEW met2 ( 1431750 189210 ) ( * 200260 )
NEW met2 ( 1431750 200260 ) ( 1433360 * 0 )
NEW met2 ( 333730 1700 0 ) ( * 51170 )
NEW met1 ( 333730 51170 ) ( 1428530 * )
NEW met2 ( 1428530 51170 ) ( * 189210 )
NEW met1 ( 1428530 189210 ) M1M2_PR
NEW met1 ( 1431750 189210 ) M1M2_PR
NEW met1 ( 333730 51170 ) M1M2_PR
NEW met1 ( 1428530 51170 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( wb_mux_inst io_wbs_datwr[16] ) + USE SIGNAL
+ ROUTED met2 ( 1435890 200260 ) ( 1437040 * 0 )
NEW met2 ( 1435890 50830 ) ( * 200260 )
NEW met2 ( 349370 1700 ) ( 351670 * 0 )
NEW met2 ( 349370 1700 ) ( * 50830 )
NEW met1 ( 349370 50830 ) ( 1435890 * )
NEW met1 ( 1435890 50830 ) M1M2_PR
NEW met1 ( 349370 50830 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( wb_mux_inst io_wbs_datwr[17] ) + USE SIGNAL
+ ROUTED met1 ( 1435430 189210 ) ( 1439110 * )
NEW met2 ( 1439110 189210 ) ( * 200260 )
NEW met2 ( 1439110 200260 ) ( 1440720 * 0 )
NEW met2 ( 1435430 50490 ) ( * 189210 )
NEW met2 ( 366850 1700 ) ( 369150 * 0 )
NEW met2 ( 366850 1700 ) ( * 50490 )
NEW met1 ( 366850 50490 ) ( 1435430 * )
NEW met1 ( 1435430 189210 ) M1M2_PR
NEW met1 ( 1439110 189210 ) M1M2_PR
NEW met1 ( 1435430 50490 ) M1M2_PR
NEW met1 ( 366850 50490 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( wb_mux_inst io_wbs_datwr[18] ) + USE SIGNAL
+ ROUTED met2 ( 1442330 200260 ) ( 1444400 * 0 )
NEW met2 ( 387090 1700 0 ) ( * 38250 )
NEW met2 ( 1442330 38250 ) ( * 200260 )
NEW met1 ( 387090 38250 ) ( 1442330 * )
NEW met1 ( 387090 38250 ) M1M2_PR
NEW met1 ( 1442330 38250 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( wb_mux_inst io_wbs_datwr[19] ) + USE SIGNAL
+ ROUTED met2 ( 1445090 200260 ) ( 1448080 * 0 )
NEW met2 ( 404570 1700 0 ) ( * 38590 )
NEW met2 ( 1442790 38590 ) ( * 131100 )
NEW met2 ( 1442790 131100 ) ( 1445090 * )
NEW met2 ( 1445090 131100 ) ( * 200260 )
NEW met1 ( 404570 38590 ) ( 1442790 * )
NEW met1 ( 404570 38590 ) M1M2_PR
NEW met1 ( 1442790 38590 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( wb_mux_inst io_wbs_datwr[1] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 200260 ) ( 1381840 * 0 )
NEW met2 ( 1380230 31110 ) ( * 200260 )
NEW met2 ( 67850 1700 0 ) ( * 31110 )
NEW met1 ( 67850 31110 ) ( 1380230 * )
NEW met1 ( 1380230 31110 ) M1M2_PR
NEW met1 ( 67850 31110 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( wb_mux_inst io_wbs_datwr[20] ) + USE SIGNAL
+ ROUTED met2 ( 1449690 200260 ) ( 1451760 * 0 )
NEW met2 ( 1449690 38930 ) ( * 200260 )
NEW met2 ( 422510 1700 0 ) ( * 38930 )
NEW met1 ( 422510 38930 ) ( 1449690 * )
NEW met1 ( 1449690 38930 ) M1M2_PR
NEW met1 ( 422510 38930 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( wb_mux_inst io_wbs_datwr[21] ) + USE SIGNAL
+ ROUTED met1 ( 1449230 182410 ) ( 1453830 * )
NEW met2 ( 1453830 182410 ) ( * 200260 )
NEW met2 ( 1453830 200260 ) ( 1455440 * 0 )
NEW met2 ( 1449230 39270 ) ( * 182410 )
NEW met2 ( 439990 1700 0 ) ( * 39270 )
NEW met1 ( 439990 39270 ) ( 1449230 * )
NEW met1 ( 1449230 182410 ) M1M2_PR
NEW met1 ( 1453830 182410 ) M1M2_PR
NEW met1 ( 1449230 39270 ) M1M2_PR
NEW met1 ( 439990 39270 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( wb_mux_inst io_wbs_datwr[22] ) + USE SIGNAL
+ ROUTED met2 ( 1456590 200260 ) ( 1459120 * 0 )
NEW met2 ( 1456590 39610 ) ( * 200260 )
NEW met2 ( 457930 1700 0 ) ( * 39610 )
NEW met1 ( 457930 39610 ) ( 1456590 * )
NEW met1 ( 1456590 39610 ) M1M2_PR
NEW met1 ( 457930 39610 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( wb_mux_inst io_wbs_datwr[23] ) + USE SIGNAL
+ ROUTED met1 ( 1456130 180030 ) ( 1461190 * )
NEW met2 ( 1461190 180030 ) ( * 200260 )
NEW met2 ( 1461190 200260 ) ( 1462800 * 0 )
NEW met2 ( 475870 1700 0 ) ( * 39950 )
NEW met2 ( 1456130 39950 ) ( * 180030 )
NEW met1 ( 475870 39950 ) ( 1456130 * )
NEW met1 ( 1456130 180030 ) M1M2_PR
NEW met1 ( 1461190 180030 ) M1M2_PR
NEW met1 ( 475870 39950 ) M1M2_PR
NEW met1 ( 1456130 39950 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( wb_mux_inst io_wbs_datwr[24] ) + USE SIGNAL
+ ROUTED met2 ( 1463490 200260 ) ( 1466480 * 0 )
NEW met2 ( 493350 1700 0 ) ( * 40290 )
NEW met2 ( 1463030 40290 ) ( * 131100 )
NEW met2 ( 1463030 131100 ) ( 1463490 * )
NEW met2 ( 1463490 131100 ) ( * 200260 )
NEW met1 ( 493350 40290 ) ( 1463030 * )
NEW met1 ( 493350 40290 ) M1M2_PR
NEW met1 ( 1463030 40290 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( wb_mux_inst io_wbs_datwr[25] ) + USE SIGNAL
+ ROUTED met2 ( 1469930 200260 ) ( 1470160 * 0 )
NEW met2 ( 511290 1700 0 ) ( * 40630 )
NEW met2 ( 1469930 40630 ) ( * 200260 )
NEW met1 ( 511290 40630 ) ( 1469930 * )
NEW met1 ( 511290 40630 ) M1M2_PR
NEW met1 ( 1469930 40630 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( wb_mux_inst io_wbs_datwr[26] ) + USE SIGNAL
+ ROUTED met2 ( 1470850 200260 ) ( 1473840 * 0 )
NEW met2 ( 1470390 40970 ) ( * 131100 )
NEW met2 ( 1470390 131100 ) ( 1470850 * )
NEW met2 ( 1470850 131100 ) ( * 200260 )
NEW met2 ( 528770 1700 0 ) ( * 40970 )
NEW met1 ( 528770 40970 ) ( 1470390 * )
NEW met1 ( 1470390 40970 ) M1M2_PR
NEW met1 ( 528770 40970 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( wb_mux_inst io_wbs_datwr[27] ) + USE SIGNAL
+ ROUTED met2 ( 1476830 200260 ) ( 1477520 * 0 )
NEW met2 ( 1476830 41310 ) ( * 200260 )
NEW met2 ( 546710 1700 0 ) ( * 41310 )
NEW met1 ( 546710 41310 ) ( 1476830 * )
NEW met1 ( 1476830 41310 ) M1M2_PR
NEW met1 ( 546710 41310 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( wb_mux_inst io_wbs_datwr[28] ) + USE SIGNAL
+ ROUTED met2 ( 1478210 200260 ) ( 1481200 * 0 )
NEW met2 ( 1477290 37570 ) ( * 131100 )
NEW met2 ( 1477290 131100 ) ( 1478210 * )
NEW met2 ( 1478210 131100 ) ( * 200260 )
NEW met2 ( 564190 1700 0 ) ( * 37570 )
NEW met1 ( 564190 37570 ) ( 1477290 * )
NEW met1 ( 1477290 37570 ) M1M2_PR
NEW met1 ( 564190 37570 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( wb_mux_inst io_wbs_datwr[29] ) + USE SIGNAL
+ ROUTED met2 ( 582130 1700 0 ) ( * 37230 )
NEW met2 ( 1484190 200260 ) ( 1484880 * 0 )
NEW met1 ( 582130 37230 ) ( 1484190 * )
NEW met2 ( 1484190 37230 ) ( * 200260 )
NEW met1 ( 582130 37230 ) M1M2_PR
NEW met1 ( 1484190 37230 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( wb_mux_inst io_wbs_datwr[2] ) + USE SIGNAL
+ ROUTED met2 ( 1382530 200260 ) ( 1385520 * 0 )
NEW met2 ( 91310 1700 0 ) ( * 37740 )
NEW met2 ( 1380690 37740 ) ( * 131100 )
NEW met2 ( 1380690 131100 ) ( 1382530 * )
NEW met2 ( 1382530 131100 ) ( * 200260 )
NEW met3 ( 91310 37740 ) ( 1380690 * )
NEW met2 ( 91310 37740 ) M2M3_PR
NEW met2 ( 1380690 37740 ) M2M3_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( wb_mux_inst io_wbs_datwr[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1700 0 ) ( * 36890 )
NEW met1 ( 1483730 189210 ) ( 1486950 * )
NEW met2 ( 1486950 189210 ) ( * 200260 )
NEW met2 ( 1486950 200260 ) ( 1488560 * 0 )
NEW met1 ( 599610 36890 ) ( 1483730 * )
NEW met2 ( 1483730 36890 ) ( * 189210 )
NEW met1 ( 599610 36890 ) M1M2_PR
NEW met1 ( 1483730 189210 ) M1M2_PR
NEW met1 ( 1486950 189210 ) M1M2_PR
NEW met1 ( 1483730 36890 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( wb_mux_inst io_wbs_datwr[31] ) + USE SIGNAL
+ ROUTED met2 ( 1490630 200260 ) ( 1492240 * 0 )
NEW met2 ( 617550 1700 0 ) ( * 47770 )
NEW met1 ( 617550 47770 ) ( 1490630 * )
NEW met2 ( 1490630 47770 ) ( * 200260 )
NEW met1 ( 617550 47770 ) M1M2_PR
NEW met1 ( 1490630 47770 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( wb_mux_inst io_wbs_datwr[3] ) + USE SIGNAL
+ ROUTED met2 ( 115230 1700 0 ) ( * 37910 )
NEW met2 ( 1387130 200260 ) ( 1389200 * 0 )
NEW met1 ( 115230 37910 ) ( 1387130 * )
NEW met2 ( 1387130 37910 ) ( * 200260 )
NEW met1 ( 115230 37910 ) M1M2_PR
NEW met1 ( 1387130 37910 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( wb_mux_inst io_wbs_datwr[4] ) + USE SIGNAL
+ ROUTED met2 ( 1389890 200260 ) ( 1392880 * 0 )
NEW met2 ( 138690 1700 0 ) ( * 44710 )
NEW met1 ( 138690 44710 ) ( 1387590 * )
NEW met2 ( 1387590 44710 ) ( * 131100 )
NEW met2 ( 1387590 131100 ) ( 1389890 * )
NEW met2 ( 1389890 131100 ) ( * 200260 )
NEW met1 ( 138690 44710 ) M1M2_PR
NEW met1 ( 1387590 44710 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( wb_mux_inst io_wbs_datwr[5] ) + USE SIGNAL
+ ROUTED met2 ( 1394490 200260 ) ( 1396560 * 0 )
NEW met2 ( 156630 1700 0 ) ( * 45050 )
NEW met1 ( 156630 45050 ) ( 1394490 * )
NEW met2 ( 1394490 45050 ) ( * 200260 )
NEW met1 ( 156630 45050 ) M1M2_PR
NEW met1 ( 1394490 45050 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( wb_mux_inst io_wbs_datwr[6] ) + USE SIGNAL
+ ROUTED met1 ( 1394030 189210 ) ( 1398630 * )
NEW met2 ( 1398630 189210 ) ( * 200260 )
NEW met2 ( 1398630 200260 ) ( 1400240 * 0 )
NEW met2 ( 174110 1700 0 ) ( * 45730 )
NEW met1 ( 174110 45730 ) ( 1394030 * )
NEW met2 ( 1394030 45730 ) ( * 189210 )
NEW met1 ( 1394030 189210 ) M1M2_PR
NEW met1 ( 1398630 189210 ) M1M2_PR
NEW met1 ( 174110 45730 ) M1M2_PR
NEW met1 ( 1394030 45730 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( wb_mux_inst io_wbs_datwr[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 1700 0 ) ( * 46070 )
NEW met2 ( 1401390 200260 ) ( 1403920 * 0 )
NEW met1 ( 192050 46070 ) ( 1401390 * )
NEW met2 ( 1401390 46070 ) ( * 200260 )
NEW met1 ( 192050 46070 ) M1M2_PR
NEW met1 ( 1401390 46070 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( wb_mux_inst io_wbs_datwr[8] ) + USE SIGNAL
+ ROUTED met2 ( 209530 1700 0 ) ( * 46410 )
NEW met1 ( 1400930 189210 ) ( 1405990 * )
NEW met2 ( 1405990 189210 ) ( * 200260 )
NEW met2 ( 1405990 200260 ) ( 1407600 * 0 )
NEW met1 ( 209530 46410 ) ( 1400930 * )
NEW met2 ( 1400930 46410 ) ( * 189210 )
NEW met1 ( 209530 46410 ) M1M2_PR
NEW met1 ( 1400930 189210 ) M1M2_PR
NEW met1 ( 1405990 189210 ) M1M2_PR
NEW met1 ( 1400930 46410 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( wb_mux_inst io_wbs_datwr[9] ) + USE SIGNAL
+ ROUTED met2 ( 225170 1700 ) ( 227470 * 0 )
NEW met2 ( 225170 1700 ) ( * 54230 )
NEW met2 ( 1408290 200260 ) ( 1411280 * 0 )
NEW met1 ( 225170 54230 ) ( 1407830 * )
NEW met2 ( 1407830 54230 ) ( * 131100 )
NEW met2 ( 1407830 131100 ) ( 1408290 * )
NEW met2 ( 1408290 131100 ) ( * 200260 )
NEW met1 ( 225170 54230 ) M1M2_PR
NEW met1 ( 1407830 54230 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( wb_mux_inst io_wbs_datrd[0] ) + USE SIGNAL
+ ROUTED met2 ( 48530 1700 ) ( 49910 * 0 )
NEW met2 ( 1492930 200260 ) ( 1495920 * 0 )
NEW met2 ( 48530 1700 ) ( * 51510 )
NEW met1 ( 48530 51510 ) ( 1491090 * )
NEW met2 ( 1491090 51510 ) ( * 131100 )
NEW met2 ( 1491090 131100 ) ( 1492930 * )
NEW met2 ( 1492930 131100 ) ( * 200260 )
NEW met1 ( 48530 51510 ) M1M2_PR
NEW met1 ( 1491090 51510 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( wb_mux_inst io_wbs_datrd[10] ) + USE SIGNAL
+ ROUTED met2 ( 1532490 200260 ) ( 1532720 * 0 )
NEW met2 ( 1532490 51850 ) ( * 200260 )
NEW met2 ( 250930 1700 0 ) ( * 51850 )
NEW met1 ( 250930 51850 ) ( 1532490 * )
NEW met1 ( 1532490 51850 ) M1M2_PR
NEW met1 ( 250930 51850 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( wb_mux_inst io_wbs_datrd[11] ) + USE SIGNAL
+ ROUTED met1 ( 1532030 190230 ) ( 1534790 * )
NEW met2 ( 1534790 190230 ) ( * 200260 )
NEW met2 ( 1534790 200260 ) ( 1536400 * 0 )
NEW met2 ( 1532030 52190 ) ( * 190230 )
NEW met2 ( 266570 1700 ) ( 268870 * 0 )
NEW met2 ( 266570 1700 ) ( * 52190 )
NEW met1 ( 266570 52190 ) ( 1532030 * )
NEW met1 ( 1532030 190230 ) M1M2_PR
NEW met1 ( 1534790 190230 ) M1M2_PR
NEW met1 ( 1532030 52190 ) M1M2_PR
NEW met1 ( 266570 52190 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( wb_mux_inst io_wbs_datrd[12] ) + USE SIGNAL
+ ROUTED met2 ( 284050 1700 ) ( 286350 * 0 )
NEW met2 ( 1539390 200260 ) ( 1540080 * 0 )
NEW met2 ( 284050 1700 ) ( * 52530 )
NEW met2 ( 1539390 52530 ) ( * 200260 )
NEW met1 ( 284050 52530 ) ( 1539390 * )
NEW met1 ( 284050 52530 ) M1M2_PR
NEW met1 ( 1539390 52530 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( wb_mux_inst io_wbs_datrd[13] ) + USE SIGNAL
+ ROUTED met1 ( 1538930 190230 ) ( 1542150 * )
NEW met2 ( 1542150 190230 ) ( * 200260 )
NEW met2 ( 1542150 200260 ) ( 1543760 * 0 )
NEW met2 ( 304290 1700 0 ) ( * 52870 )
NEW met2 ( 1538930 52870 ) ( * 190230 )
NEW met1 ( 304290 52870 ) ( 1538930 * )
NEW met1 ( 1538930 190230 ) M1M2_PR
NEW met1 ( 1542150 190230 ) M1M2_PR
NEW met1 ( 304290 52870 ) M1M2_PR
NEW met1 ( 1538930 52870 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( wb_mux_inst io_wbs_datrd[14] ) + USE SIGNAL
+ ROUTED met2 ( 1545830 200260 ) ( 1547440 * 0 )
NEW met2 ( 321770 1700 0 ) ( * 53210 )
NEW met2 ( 1545830 53210 ) ( * 200260 )
NEW met1 ( 321770 53210 ) ( 1545830 * )
NEW met1 ( 321770 53210 ) M1M2_PR
NEW met1 ( 1545830 53210 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( wb_mux_inst io_wbs_datrd[15] ) + USE SIGNAL
+ ROUTED met2 ( 1548130 200260 ) ( 1551120 * 0 )
NEW met2 ( 1546290 53550 ) ( * 131100 )
NEW met2 ( 1546290 131100 ) ( 1548130 * )
NEW met2 ( 1548130 131100 ) ( * 200260 )
NEW met2 ( 338330 1700 ) ( 339710 * 0 )
NEW met2 ( 338330 1700 ) ( * 53550 )
NEW met1 ( 338330 53550 ) ( 1546290 * )
NEW met1 ( 1546290 53550 ) M1M2_PR
NEW met1 ( 338330 53550 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( wb_mux_inst io_wbs_datrd[16] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 200260 ) ( 1554800 * 0 )
NEW met2 ( 1552730 53890 ) ( * 200260 )
NEW met2 ( 357650 1700 0 ) ( * 53890 )
NEW met1 ( 357650 53890 ) ( 1552730 * )
NEW met1 ( 1552730 53890 ) M1M2_PR
NEW met1 ( 357650 53890 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( wb_mux_inst io_wbs_datrd[17] ) + USE SIGNAL
+ ROUTED met2 ( 1555490 200260 ) ( 1558480 * 0 )
NEW met2 ( 375130 1700 0 ) ( * 58650 )
NEW met2 ( 1553190 58650 ) ( * 131100 )
NEW met2 ( 1553190 131100 ) ( 1555490 * )
NEW met2 ( 1555490 131100 ) ( * 200260 )
NEW met1 ( 375130 58650 ) ( 1553190 * )
NEW met1 ( 375130 58650 ) M1M2_PR
NEW met1 ( 1553190 58650 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( wb_mux_inst io_wbs_datrd[18] ) + USE SIGNAL
+ ROUTED met2 ( 390770 1700 ) ( 393070 * 0 )
NEW met2 ( 1560090 200260 ) ( 1562160 * 0 )
NEW met2 ( 390770 1700 ) ( * 58990 )
NEW met2 ( 1560090 58990 ) ( * 200260 )
NEW met1 ( 390770 58990 ) ( 1560090 * )
NEW met1 ( 390770 58990 ) M1M2_PR
NEW met1 ( 1560090 58990 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( wb_mux_inst io_wbs_datrd[19] ) + USE SIGNAL
+ ROUTED met2 ( 408250 1700 ) ( 410550 * 0 )
NEW met1 ( 1559630 190230 ) ( 1564230 * )
NEW met2 ( 1564230 190230 ) ( * 200260 )
NEW met2 ( 1564230 200260 ) ( 1565840 * 0 )
NEW met2 ( 408250 1700 ) ( * 59330 )
NEW met2 ( 1559630 59330 ) ( * 190230 )
NEW met1 ( 408250 59330 ) ( 1559630 * )
NEW met1 ( 1559630 190230 ) M1M2_PR
NEW met1 ( 1564230 190230 ) M1M2_PR
NEW met1 ( 408250 59330 ) M1M2_PR
NEW met1 ( 1559630 59330 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( wb_mux_inst io_wbs_datrd[1] ) + USE SIGNAL
+ ROUTED met2 ( 73830 1700 0 ) ( * 18190 )
NEW met1 ( 73830 18190 ) ( 1497990 * )
NEW met2 ( 1497990 200260 ) ( 1499600 * 0 )
NEW met2 ( 1497990 18190 ) ( * 200260 )
NEW met1 ( 73830 18190 ) M1M2_PR
NEW met1 ( 1497990 18190 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( wb_mux_inst io_wbs_datrd[20] ) + USE SIGNAL
+ ROUTED met2 ( 1566990 200260 ) ( 1569520 * 0 )
NEW met2 ( 1566990 59670 ) ( * 200260 )
NEW met2 ( 428490 1700 0 ) ( * 59670 )
NEW met1 ( 428490 59670 ) ( 1566990 * )
NEW met1 ( 1566990 59670 ) M1M2_PR
NEW met1 ( 428490 59670 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( wb_mux_inst io_wbs_datrd[21] ) + USE SIGNAL
+ ROUTED met1 ( 1566530 189210 ) ( 1571590 * )
NEW met2 ( 1571590 189210 ) ( * 200260 )
NEW met2 ( 1571590 200260 ) ( 1573200 * 0 )
NEW met2 ( 1566530 20230 ) ( * 189210 )
NEW met2 ( 445970 1700 0 ) ( * 20230 )
NEW met1 ( 445970 20230 ) ( 1566530 * )
NEW met1 ( 1566530 20230 ) M1M2_PR
NEW met1 ( 1566530 189210 ) M1M2_PR
NEW met1 ( 1571590 189210 ) M1M2_PR
NEW met1 ( 445970 20230 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( wb_mux_inst io_wbs_datrd[22] ) + USE SIGNAL
+ ROUTED met2 ( 1573890 200260 ) ( 1576880 * 0 )
NEW met2 ( 1573430 60010 ) ( * 131100 )
NEW met2 ( 1573430 131100 ) ( 1573890 * )
NEW met2 ( 1573890 131100 ) ( * 200260 )
NEW met2 ( 462530 1700 ) ( 463910 * 0 )
NEW met2 ( 462530 1700 ) ( * 60010 )
NEW met1 ( 462530 60010 ) ( 1573430 * )
NEW met1 ( 1573430 60010 ) M1M2_PR
NEW met1 ( 462530 60010 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( wb_mux_inst io_wbs_datrd[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1700 0 ) ( * 20570 )
NEW met1 ( 481390 20570 ) ( 1580790 * )
NEW met2 ( 1580560 198900 ) ( 1580790 * )
NEW met2 ( 1580560 198900 ) ( * 200260 0 )
NEW met2 ( 1580790 20570 ) ( * 198900 )
NEW met1 ( 481390 20570 ) M1M2_PR
NEW met1 ( 1580790 20570 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( wb_mux_inst io_wbs_datrd[24] ) + USE SIGNAL
+ ROUTED met2 ( 497030 82800 ) ( 499330 * )
NEW met2 ( 499330 1700 0 ) ( * 82800 )
NEW met2 ( 497030 82800 ) ( * 190910 )
NEW met2 ( 1582630 190910 ) ( * 200260 )
NEW met2 ( 1582630 200260 ) ( 1584240 * 0 )
NEW met1 ( 497030 190910 ) ( 1582630 * )
NEW met1 ( 497030 190910 ) M1M2_PR
NEW met1 ( 1582630 190910 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( wb_mux_inst io_wbs_datrd[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1700 0 ) ( * 16830 )
NEW met1 ( 516810 16830 ) ( 1587690 * )
NEW met2 ( 1587690 200260 ) ( 1587920 * 0 )
NEW met2 ( 1587690 16830 ) ( * 200260 )
NEW met1 ( 516810 16830 ) M1M2_PR
NEW met1 ( 1587690 16830 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( wb_mux_inst io_wbs_datrd[26] ) + USE SIGNAL
+ ROUTED met2 ( 532450 1700 ) ( 534750 * 0 )
NEW met2 ( 1589990 191590 ) ( * 200260 )
NEW met2 ( 1589990 200260 ) ( 1591600 * 0 )
NEW met1 ( 531530 191590 ) ( 1589990 * )
NEW met2 ( 531530 82800 ) ( 532450 * )
NEW met2 ( 532450 1700 ) ( * 82800 )
NEW met2 ( 531530 82800 ) ( * 191590 )
NEW met1 ( 531530 191590 ) M1M2_PR
NEW met1 ( 1589990 191590 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( wb_mux_inst io_wbs_datrd[27] ) + USE SIGNAL
+ ROUTED met2 ( 552690 1700 0 ) ( * 16490 )
NEW met1 ( 552690 16490 ) ( 1594590 * )
NEW met2 ( 1594590 200260 ) ( 1595280 * 0 )
NEW met2 ( 1594590 16490 ) ( * 200260 )
NEW met1 ( 552690 16490 ) M1M2_PR
NEW met1 ( 1594590 16490 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( wb_mux_inst io_wbs_datrd[28] ) + USE SIGNAL
+ ROUTED met2 ( 566030 82800 ) ( 570170 * )
NEW met2 ( 570170 1700 0 ) ( * 82800 )
NEW met2 ( 566030 82800 ) ( * 191930 )
NEW met2 ( 1597350 191930 ) ( * 200260 )
NEW met2 ( 1597350 200260 ) ( 1598960 * 0 )
NEW met1 ( 566030 191930 ) ( 1597350 * )
NEW met1 ( 566030 191930 ) M1M2_PR
NEW met1 ( 1597350 191930 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( wb_mux_inst io_wbs_datrd[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 1700 0 ) ( * 16150 )
NEW met1 ( 588110 16150 ) ( 1601490 * )
NEW met2 ( 1601490 200260 ) ( 1602640 * 0 )
NEW met2 ( 1601490 16150 ) ( * 200260 )
NEW met1 ( 588110 16150 ) M1M2_PR
NEW met1 ( 1601490 16150 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( wb_mux_inst io_wbs_datrd[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 18530 )
NEW met1 ( 97290 18530 ) ( 1497530 * )
NEW met1 ( 1497530 190230 ) ( 1501670 * )
NEW met2 ( 1501670 190230 ) ( * 200260 )
NEW met2 ( 1501670 200260 ) ( 1503280 * 0 )
NEW met2 ( 1497530 18530 ) ( * 190230 )
NEW met1 ( 97290 18530 ) M1M2_PR
NEW met1 ( 1497530 18530 ) M1M2_PR
NEW met1 ( 1497530 190230 ) M1M2_PR
NEW met1 ( 1501670 190230 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( wb_mux_inst io_wbs_datrd[30] ) + USE SIGNAL
+ ROUTED met2 ( 603290 1700 ) ( 605590 * 0 )
NEW met2 ( 600530 82800 ) ( 603290 * )
NEW met2 ( 603290 1700 ) ( * 82800 )
NEW met2 ( 600530 82800 ) ( * 192270 )
NEW met2 ( 1604710 192270 ) ( * 200260 )
NEW met2 ( 1604710 200260 ) ( 1606320 * 0 )
NEW met1 ( 600530 192270 ) ( 1604710 * )
NEW met1 ( 600530 192270 ) M1M2_PR
NEW met1 ( 1604710 192270 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( wb_mux_inst io_wbs_datrd[31] ) + USE SIGNAL
+ ROUTED met2 ( 1608390 192610 ) ( * 200260 )
NEW met2 ( 1608390 200260 ) ( 1610000 * 0 )
NEW met1 ( 621230 192610 ) ( 1608390 * )
NEW met2 ( 621230 82800 ) ( 623530 * )
NEW met2 ( 623530 1700 0 ) ( * 82800 )
NEW met2 ( 621230 82800 ) ( * 192610 )
NEW met1 ( 621230 192610 ) M1M2_PR
NEW met1 ( 1608390 192610 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( wb_mux_inst io_wbs_datrd[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 1700 0 ) ( * 18870 )
NEW met1 ( 121210 18870 ) ( 1504430 * )
NEW met2 ( 1504430 200260 ) ( 1506960 * 0 )
NEW met2 ( 1504430 18870 ) ( * 200260 )
NEW met1 ( 121210 18870 ) M1M2_PR
NEW met1 ( 1504430 18870 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( wb_mux_inst io_wbs_datrd[4] ) + USE SIGNAL
+ ROUTED met2 ( 144670 1700 0 ) ( * 19210 )
NEW met1 ( 144670 19210 ) ( 1504890 * )
NEW met2 ( 1507650 200260 ) ( 1510640 * 0 )
NEW met2 ( 1504890 19210 ) ( * 131100 )
NEW met2 ( 1504890 131100 ) ( 1507650 * )
NEW met2 ( 1507650 131100 ) ( * 200260 )
NEW met1 ( 144670 19210 ) M1M2_PR
NEW met1 ( 1504890 19210 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( wb_mux_inst io_wbs_datrd[5] ) + USE SIGNAL
+ ROUTED met2 ( 162150 1700 0 ) ( * 19550 )
NEW met1 ( 162150 19550 ) ( 1511790 * )
NEW met2 ( 1511790 200260 ) ( 1514320 * 0 )
NEW met2 ( 1511790 19550 ) ( * 200260 )
NEW met1 ( 162150 19550 ) M1M2_PR
NEW met1 ( 1511790 19550 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( wb_mux_inst io_wbs_datrd[6] ) + USE SIGNAL
+ ROUTED met2 ( 179630 82800 ) ( 180090 * )
NEW met2 ( 180090 1700 0 ) ( * 82800 )
NEW met2 ( 179630 82800 ) ( * 190230 )
NEW met1 ( 1497070 190230 ) ( * 190570 )
NEW met1 ( 1497070 190570 ) ( 1516390 * )
NEW met2 ( 1516390 190570 ) ( * 200260 )
NEW met2 ( 1516390 200260 ) ( 1518000 * 0 )
NEW met1 ( 179630 190230 ) ( 1497070 * )
NEW met1 ( 179630 190230 ) M1M2_PR
NEW met1 ( 1516390 190570 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( wb_mux_inst io_wbs_datrd[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 1700 0 ) ( * 19890 )
NEW met1 ( 198030 19890 ) ( 1518230 * )
NEW met2 ( 1518690 200260 ) ( 1521680 * 0 )
NEW met2 ( 1518230 19890 ) ( * 131100 )
NEW met2 ( 1518230 131100 ) ( 1518690 * )
NEW met2 ( 1518690 131100 ) ( * 200260 )
NEW met1 ( 198030 19890 ) M1M2_PR
NEW met1 ( 1518230 19890 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( wb_mux_inst io_wbs_datrd[8] ) + USE SIGNAL
+ ROUTED met2 ( 214130 1700 ) ( 215510 * 0 )
NEW met2 ( 214130 1700 ) ( * 190570 )
NEW met2 ( 1496610 190570 ) ( * 192950 )
NEW met1 ( 1496610 192950 ) ( 1525130 * )
NEW met2 ( 1525130 192950 ) ( * 200260 )
NEW met2 ( 1525130 200260 ) ( 1525360 * 0 )
NEW met1 ( 214130 190570 ) ( 1496610 * )
NEW met1 ( 214130 190570 ) M1M2_PR
NEW met1 ( 1496610 190570 ) M1M2_PR
NEW met1 ( 1496610 192950 ) M1M2_PR
NEW met1 ( 1525130 192950 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( wb_mux_inst io_wbs_datrd[9] ) + USE SIGNAL
+ ROUTED met2 ( 1527430 191250 ) ( * 200260 )
NEW met2 ( 1527430 200260 ) ( 1529040 * 0 )
NEW met1 ( 227930 191250 ) ( 1527430 * )
NEW met2 ( 227930 82800 ) ( 233450 * )
NEW met2 ( 233450 1700 0 ) ( * 82800 )
NEW met2 ( 227930 82800 ) ( * 191250 )
NEW met1 ( 227930 191250 ) M1M2_PR
NEW met1 ( 1527430 191250 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( wb_mux_inst io_wbs_sel[0] ) + USE SIGNAL
+ ROUTED met2 ( 55890 1700 0 ) ( * 17170 )
NEW met1 ( 55890 17170 ) ( 1615290 * )
NEW met2 ( 1615290 200260 ) ( 1617360 * 0 )
NEW met2 ( 1615290 17170 ) ( * 200260 )
NEW met1 ( 55890 17170 ) M1M2_PR
NEW met1 ( 1615290 17170 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( wb_mux_inst io_wbs_sel[1] ) + USE SIGNAL
+ ROUTED met2 ( 79810 1700 0 ) ( * 17510 )
NEW met1 ( 79810 17510 ) ( 1614830 * )
NEW met1 ( 1614830 185470 ) ( 1619430 * )
NEW met2 ( 1619430 185470 ) ( * 200260 )
NEW met2 ( 1619430 200260 ) ( 1621040 * 0 )
NEW met2 ( 1614830 17510 ) ( * 185470 )
NEW met1 ( 79810 17510 ) M1M2_PR
NEW met1 ( 1614830 17510 ) M1M2_PR
NEW met1 ( 1614830 185470 ) M1M2_PR
NEW met1 ( 1619430 185470 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( wb_mux_inst io_wbs_sel[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 1700 0 ) ( * 19890 )
NEW met1 ( 103270 19890 ) ( 175950 * )
NEW met2 ( 1623110 189890 ) ( * 200260 )
NEW met2 ( 1623110 200260 ) ( 1624720 * 0 )
NEW met1 ( 175950 189890 ) ( 1623110 * )
NEW met2 ( 175950 19890 ) ( * 189890 )
NEW met1 ( 103270 19890 ) M1M2_PR
NEW met1 ( 175950 19890 ) M1M2_PR
NEW met1 ( 175950 189890 ) M1M2_PR
NEW met1 ( 1623110 189890 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( wb_mux_inst io_wbs_sel[3] ) + USE SIGNAL
+ ROUTED met2 ( 126730 1700 0 ) ( * 17850 )
NEW met1 ( 126730 17850 ) ( 1622190 * )
NEW met2 ( 1625870 200260 ) ( 1628400 * 0 )
NEW met2 ( 1622190 17850 ) ( * 131100 )
NEW met2 ( 1622190 131100 ) ( 1625870 * )
NEW met2 ( 1625870 131100 ) ( * 200260 )
NEW met1 ( 126730 17850 ) M1M2_PR
NEW met1 ( 1622190 17850 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( wb_mux_inst io_wbs_stb ) + USE SIGNAL
+ ROUTED met2 ( 26450 1700 0 ) ( * 17170 )
NEW met2 ( 1630470 189550 ) ( * 200260 )
NEW met2 ( 1630470 200260 ) ( 1632080 * 0 )
NEW met1 ( 26450 17170 ) ( 51750 * )
NEW met1 ( 51750 189550 ) ( 1630470 * )
NEW met2 ( 51750 17170 ) ( * 189550 )
NEW met1 ( 26450 17170 ) M1M2_PR
NEW met1 ( 1630470 189550 ) M1M2_PR
NEW met1 ( 51750 17170 ) M1M2_PR
NEW met1 ( 51750 189550 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( wb_mux_inst io_wbs_we ) + USE SIGNAL
+ ROUTED met2 ( 32430 1700 0 ) ( * 16660 )
NEW met3 ( 32430 16660 ) ( 1608390 * )
NEW met2 ( 1610690 200260 ) ( 1613680 * 0 )
NEW met2 ( 1608390 16660 ) ( * 131100 )
NEW met2 ( 1608390 131100 ) ( 1610690 * )
NEW met2 ( 1610690 131100 ) ( * 200260 )
NEW met2 ( 32430 16660 ) M2M3_PR
NEW met2 ( 1608390 16660 ) M2M3_PR ;
- web0_mem0 ( wb_memory_inst web_mem0 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 web0 ) + USE SIGNAL
+ ROUTED met3 ( 97060 1549830 ) ( 100280 * 0 )
NEW met3 ( 97060 1549830 ) ( * 1550060 )
NEW met3 ( 86250 1550060 ) ( 97060 * )
NEW met2 ( 86250 1058930 ) ( * 1550060 )
NEW met2 ( 518190 1049580 0 ) ( * 1058930 )
NEW met1 ( 86250 1058930 ) ( 518190 * )
NEW met1 ( 86250 1058930 ) M1M2_PR
NEW met2 ( 86250 1550060 ) M2M3_PR
NEW met1 ( 518190 1058930 ) M1M2_PR ;
- web0_mem1 ( wb_memory_inst web_mem1 ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 web0 ) + USE SIGNAL
+ ROUTED met2 ( 879750 1049580 ) ( 881590 * 0 )
NEW met2 ( 879750 1049580 ) ( * 1072870 )
NEW met1 ( 876530 1072870 ) ( 879750 * )
NEW met1 ( 876530 1545810 ) ( 888950 * )
NEW met2 ( 888950 1545810 ) ( * 1546660 )
NEW met3 ( 888950 1546660 ) ( 900160 * )
NEW met3 ( 900160 1546660 ) ( * 1549830 0 )
NEW met2 ( 876530 1072870 ) ( * 1545810 )
NEW met1 ( 879750 1072870 ) M1M2_PR
NEW met1 ( 876530 1072870 ) M1M2_PR
NEW met1 ( 876530 1545810 ) M1M2_PR
NEW met1 ( 888950 1545810 ) M1M2_PR
NEW met2 ( 888950 1546660 ) M2M3_PR ;
- wmask0_mem0\[0\] ( wb_memory_inst wmask_mem0[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 wmask0[0] ) + USE SIGNAL
+ ROUTED met4 ( 191310 1497700 ) ( * 1500530 0 )
NEW met4 ( 191310 1497700 ) ( 192740 * )
NEW met4 ( 192740 1490220 ) ( * 1497700 )
NEW met3 ( 192740 1490220 ) ( 192970 * )
NEW met2 ( 192970 1484270 ) ( * 1490220 )
NEW met2 ( 536590 1049580 0 ) ( * 1059610 )
NEW met1 ( 527850 1059610 ) ( 536590 * )
NEW met1 ( 192970 1484270 ) ( 527850 * )
NEW met2 ( 527850 1059610 ) ( * 1484270 )
NEW met3 ( 192740 1490220 ) M3M4_PR
NEW met2 ( 192970 1490220 ) M2M3_PR
NEW met1 ( 192970 1484270 ) M1M2_PR
NEW met1 ( 536590 1059610 ) M1M2_PR
NEW met1 ( 527850 1059610 ) M1M2_PR
NEW met1 ( 527850 1484270 ) M1M2_PR
NEW met3 ( 192740 1490220 ) RECT ( -390 -150 0 150 ) ;
- wmask0_mem0\[1\] ( wb_memory_inst wmask_mem0[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 wmask0[1] ) + USE SIGNAL
+ ROUTED met4 ( 198110 1497700 ) ( * 1500530 0 )
NEW met4 ( 198110 1497700 ) ( 198260 * )
NEW met4 ( 198260 1490220 ) ( * 1497700 )
NEW met3 ( 198260 1490220 ) ( 199870 * )
NEW met2 ( 199870 1059610 ) ( * 1490220 )
NEW met1 ( 199870 1059610 ) ( 517500 * )
NEW met2 ( 554990 1049580 0 ) ( * 1058930 )
NEW met1 ( 532450 1058930 ) ( 554990 * )
NEW met1 ( 532450 1058930 ) ( * 1059270 )
NEW met1 ( 517500 1059270 ) ( 532450 * )
NEW met1 ( 517500 1059270 ) ( * 1059610 )
NEW met1 ( 199870 1059610 ) M1M2_PR
NEW met3 ( 198260 1490220 ) M3M4_PR
NEW met2 ( 199870 1490220 ) M2M3_PR
NEW met1 ( 554990 1058930 ) M1M2_PR ;
- wmask0_mem0\[2\] ( wb_memory_inst wmask_mem0[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 wmask0[2] ) + USE SIGNAL
+ ROUTED met2 ( 573390 1049580 0 ) ( * 1055870 )
NEW met4 ( 202870 1497700 ) ( * 1500530 0 )
NEW met4 ( 202860 1497700 ) ( 202870 * )
NEW met4 ( 202860 1490220 ) ( * 1497700 )
NEW met3 ( 202860 1490220 ) ( 204930 * )
NEW met2 ( 204930 1483930 ) ( * 1490220 )
NEW met1 ( 549010 1055870 ) ( 573390 * )
NEW met1 ( 204930 1483930 ) ( 549010 * )
NEW met2 ( 549010 1055870 ) ( * 1483930 )
NEW met1 ( 573390 1055870 ) M1M2_PR
NEW met3 ( 202860 1490220 ) M3M4_PR
NEW met2 ( 204930 1490220 ) M2M3_PR
NEW met1 ( 204930 1483930 ) M1M2_PR
NEW met1 ( 549010 1055870 ) M1M2_PR
NEW met1 ( 549010 1483930 ) M1M2_PR ;
- wmask0_mem0\[3\] ( wb_memory_inst wmask_mem0[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst0 wmask0[3] ) + USE SIGNAL
+ ROUTED met2 ( 591790 1049580 0 ) ( * 1062500 )
NEW met3 ( 576150 1062500 ) ( 591790 * )
NEW met4 ( 208990 1497700 ) ( * 1500530 0 )
NEW met4 ( 208990 1497700 ) ( 209300 * )
NEW met4 ( 209300 1490900 ) ( * 1497700 )
NEW met3 ( 209300 1490220 ) ( * 1490900 )
NEW met3 ( 209300 1490220 ) ( 213210 * )
NEW met2 ( 213210 1488010 ) ( * 1490220 )
NEW met2 ( 576150 1062500 ) ( * 1488010 )
NEW met1 ( 213210 1488010 ) ( 576150 * )
NEW met2 ( 591790 1062500 ) M2M3_PR
NEW met2 ( 576150 1062500 ) M2M3_PR
NEW met3 ( 209300 1490900 ) M3M4_PR
NEW met2 ( 213210 1490220 ) M2M3_PR
NEW met1 ( 213210 1488010 ) M1M2_PR
NEW met1 ( 576150 1488010 ) M1M2_PR ;
- wmask0_mem1\[0\] ( wb_memory_inst wmask_mem1[0] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 wmask0[0] ) + USE SIGNAL
+ ROUTED met2 ( 899990 1049580 0 ) ( * 1062330 )
NEW met4 ( 991310 1497700 ) ( * 1500530 0 )
NEW met4 ( 991300 1497700 ) ( 991310 * )
NEW met4 ( 991300 1490220 ) ( * 1497700 )
NEW met3 ( 986930 1490220 ) ( 991300 * )
NEW met2 ( 986930 1062330 ) ( * 1490220 )
NEW met1 ( 899990 1062330 ) ( 986930 * )
NEW met1 ( 899990 1062330 ) M1M2_PR
NEW met1 ( 986930 1062330 ) M1M2_PR
NEW met3 ( 991300 1490220 ) M3M4_PR
NEW met2 ( 986930 1490220 ) M2M3_PR ;
- wmask0_mem1\[1\] ( wb_memory_inst wmask_mem1[1] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 wmask0[1] ) + USE SIGNAL
+ ROUTED met4 ( 998110 1497700 ) ( * 1500530 0 )
NEW met4 ( 997740 1497700 ) ( 998110 * )
NEW met4 ( 997740 1490220 ) ( * 1497700 )
NEW met3 ( 993830 1490220 ) ( 997740 * )
NEW met2 ( 993830 1484610 ) ( * 1490220 )
NEW met2 ( 918390 1049580 0 ) ( * 1484610 )
NEW met1 ( 918390 1484610 ) ( 993830 * )
NEW met3 ( 997740 1490220 ) M3M4_PR
NEW met2 ( 993830 1490220 ) M2M3_PR
NEW met1 ( 993830 1484610 ) M1M2_PR
NEW met1 ( 918390 1484610 ) M1M2_PR ;
- wmask0_mem1\[2\] ( wb_memory_inst wmask_mem1[2] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 wmask0[2] ) + USE SIGNAL
+ ROUTED met4 ( 999580 1485460 ) ( * 1497300 )
NEW met2 ( 934490 1049580 ) ( 936790 * 0 )
NEW met2 ( 932190 1145400 ) ( 934490 * )
NEW met2 ( 934490 1049580 ) ( * 1145400 )
NEW met2 ( 932190 1145400 ) ( * 1484950 )
NEW met2 ( 1000730 1484950 ) ( * 1485460 )
NEW met4 ( 1002870 1497700 ) ( * 1500530 0 )
NEW met4 ( 1002340 1497700 ) ( 1002870 * )
NEW met4 ( 1002340 1497300 ) ( * 1497700 )
NEW met1 ( 932190 1484950 ) ( 1000730 * )
NEW met3 ( 999580 1485460 ) ( 1000730 * )
NEW met4 ( 999580 1497300 ) ( 1002340 * )
NEW met3 ( 999580 1485460 ) M3M4_PR
NEW met1 ( 932190 1484950 ) M1M2_PR
NEW met1 ( 1000730 1484950 ) M1M2_PR
NEW met2 ( 1000730 1485460 ) M2M3_PR ;
- wmask0_mem1\[3\] ( wb_memory_inst wmask_mem1[3] ) ( sky130_sram_2kbyte_1rw1r_32x512_8_inst1 wmask0[3] ) + USE SIGNAL
+ ROUTED met2 ( 952890 1049580 ) ( 955190 * 0 )
NEW met2 ( 952430 1145400 ) ( 952890 * )
NEW met2 ( 952890 1049580 ) ( * 1145400 )
NEW met2 ( 952430 1145400 ) ( * 1485290 )
NEW met4 ( 1008990 1497700 ) ( * 1500530 0 )
NEW met4 ( 1008780 1497700 ) ( 1008990 * )
NEW met4 ( 1008780 1490220 ) ( * 1497700 )
NEW met3 ( 1007630 1490220 ) ( 1008780 * )
NEW met2 ( 1007630 1485290 ) ( * 1490220 )
NEW met1 ( 952430 1485290 ) ( 1007630 * )
NEW met1 ( 952430 1485290 ) M1M2_PR
NEW met3 ( 1008780 1490220 ) M3M4_PR
NEW met2 ( 1007630 1490220 ) M2M3_PR
NEW met1 ( 1007630 1485290 ) M1M2_PR ;
END NETS
END DESIGN