blob: c560e1051de2322f0de761eeef3274feb4e31179 [file] [log] [blame]
###############################################################################
# Created by write_sdc
# Thu Sep 15 12:52:04 2022
###############################################################################
current_design user_project_wrapper
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clk -period 12.5000 [get_ports {clk}]
set_propagated_clock [get_clocks {clk}]
###############################################################################
# Environment
###############################################################################
###############################################################################
# Design Rules
###############################################################################