blob: 0b64f499f92a1785889b94882e0bf5aca31f1856 [file] [log] [blame]
###############################################################################
# Created by write_sdc
# Wed Nov 10 17:01:46 2021
###############################################################################
current_design $Your sub-project name
#current_design core_empty
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clk -period 10.0000 [get_ports {clk}]
set_propagated_clock [get_clocks {clk}]
###############################################################################
# Design Rules
###############################################################################