Addition of FP_PDN_MACRO_HOOKS
diff --git a/gds/chaos_subarray.gds b/gds/chaos_subarray.gds
index 100a61b..c5ee9d2 100644
--- a/gds/chaos_subarray.gds
+++ b/gds/chaos_subarray.gds
Binary files differ
diff --git a/mag/chaos_subarray.mag b/mag/chaos_subarray.mag
index 67b92c0..36ac8c0 100644
--- a/mag/chaos_subarray.mag
+++ b/mag/chaos_subarray.mag
Binary files differ
diff --git a/maglef/chaos_subarray.mag b/maglef/chaos_subarray.mag
index 931ecbe..e28b9b2 100644
--- a/maglef/chaos_subarray.mag
+++ b/maglef/chaos_subarray.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130B
 magscale 1 2
-timestamp 1660077170
+timestamp 1660092104
 << obsli1 >>
 rect 1104 2159 178848 117521
 << obsm1 >>
@@ -415,7 +415,7 @@
 string LEFclass BLOCK
 string LEFview TRUE
 string GDS_END 53501688
-string GDS_FILE /home/alex/chaos_automaton_Summer_2022/openlane/chaos_subarray/runs/22_08_09_16_23/results/signoff/chaos_subarray.magic.gds
+string GDS_FILE /home/alex/chaos_automaton_Summer_2022/openlane/chaos_subarray/runs/22_08_09_20_32/results/signoff/chaos_subarray.magic.gds
 string GDS_START 141920
 << end >>
 
diff --git a/openlane/chaos_automaton/config.tcl b/openlane/chaos_automaton/config.tcl
index d1328d0..12daf1c 100755
--- a/openlane/chaos_automaton/config.tcl
+++ b/openlane/chaos_automaton/config.tcl
@@ -24,7 +24,7 @@
 	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
 	$script_dir/../../verilog/rtl/chaos_automaton.v"
 
-set ::env(DESIGN_IS_CORE) 0
+# set ::env(DESIGN_IS_CORE) 0
 
 set ::env(CLOCK_PORT) "wb_clk_i"
 set ::env(CLOCK_NET) "counter.clk"
@@ -49,7 +49,7 @@
 # This is because this macro will be inserted in a top level (user_project_wrapper) 
 # where the PDN is planned on metal 5. So, to avoid having shorts between routes
 # in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
-# set ::env(RT_MAX_LAYER) {met4}
+set ::env(RT_MAX_LAYER) {met5}
 
 # You can draw more power domains if you need to 
 set ::env(VDD_NETS) [list {vccd1}]
@@ -79,4 +79,5 @@
 set ::env(FP_PDN_CORE_RING) 1
 set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
 set ::env(FP_PDN_VWIDTH) 18
-set ::env(FP_PDN_HWIDTH) 17
\ No newline at end of file
+set ::env(FP_PDN_HWIDTH) 17
+set ::env(FP_PDN_MACRO_HOOKS) "chaos_array_inst.subarrayy\[*\].subarrayx\[*\].chaos_subarray_inst vccd1 vssd1 vccd1 vssd1"
\ No newline at end of file
diff --git a/openlane/chaos_subarray/config.tcl b/openlane/chaos_subarray/config.tcl
index 50e70a6..93a7af3 100755
--- a/openlane/chaos_subarray/config.tcl
+++ b/openlane/chaos_subarray/config.tcl
@@ -65,6 +65,5 @@
 # Designation of the subarray as a macro/core
 set ::env(DESIGN_IS_CORE) 0
 set ::env(FP_PDN_CORE_RING) 0
-set ::env(VDD_NETS) [list {vccd1}]
-set ::env(GND_NETS) [list {vssd1}]
-set ::env(FP_PDN_VWIDTH) 25
\ No newline at end of file
+set ::env(FP_PDN_VWIDTH) 25
+set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
\ No newline at end of file
diff --git a/sdc/chaos_subarray.sdc b/sdc/chaos_subarray.sdc
index d4bf798..6d0ab88 100644
--- a/sdc/chaos_subarray.sdc
+++ b/sdc/chaos_subarray.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Tue Aug  9 20:25:07 2022
+# Wed Aug 10 00:33:46 2022
 ###############################################################################
 current_design chaos_subarray
 ###############################################################################
diff --git a/sdf/chaos_subarray.sdf b/sdf/chaos_subarray.sdf
index d32c8c3..4bf0485 100644
--- a/sdf/chaos_subarray.sdf
+++ b/sdf/chaos_subarray.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "chaos_subarray")
- (DATE "Tue Aug  9 20:31:40 2022")
+ (DATE "Wed Aug 10 00:40:34 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.1")
diff --git a/signoff/chaos_subarray/metrics.csv b/signoff/chaos_subarray/metrics.csv
index cbb32bc..959edce 100644
--- a/signoff/chaos_subarray/metrics.csv
+++ b/signoff/chaos_subarray/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY

-/home/alex/chaos_automaton_Summer_2022/openlane/chaos_subarray,chaos_subarray,22_08_09_16_23,flow completed,0h15m29s0ms,0h7m0s0ms,-2.0,0.54,-1,39.46,4329.61,-1,0,0,0,0,0,0,0,4,0,-1,-1,399429,106288,-26.09,-26.09,0.0,0.0,-1,-26.09,-26.09,0.0,0.0,-1,132918716.0,0.0,32.48,19.61,0.55,0.44,-1,1040,7346,1040,7346,0,0,0,6500,0,100,0,0,0,0,0,0,2,6600,5,424,7276,0,7700,514032.2304,0.012,0.00302,0.000174,0.0154,0.00392,2.02e-07,0.0179,0.00469,3.87e-07,1.9500000000000002,26.0,38.46153846153846,25,AREA 0,12,50,1,153.6,153.18,0.48,0.3,sky130_fd_sc_hd,4,4

+/home/alex/chaos_automaton_Summer_2022/openlane/chaos_subarray,chaos_subarray,22_08_09_20_32,flow completed,0h16m19s0ms,0h7m22s0ms,-2.0,0.54,-1,39.46,4373.61,-1,0,0,0,0,0,0,0,4,0,-1,-1,399429,106288,-26.09,-26.09,0.0,0.0,-1,-26.09,-26.09,0.0,0.0,-1,132918716.0,0.0,32.48,19.61,0.55,0.44,-1,1242,7548,1242,7548,0,0,0,6500,0,100,0,0,0,0,0,0,2,6600,5,424,7276,0,7700,514032.2304,0.012,0.00302,0.000174,0.0154,0.00392,2.02e-07,0.0179,0.00469,3.87e-07,1.9500000000000002,26.0,38.46153846153846,25,AREA 0,12,50,1,153.6,153.18,0.48,0.3,sky130_fd_sc_hd,4,4