blob: 3214082525bf57e29ff470c571e87f12661332a1 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 510 STEP 6900 ;
VIAS 4 ;
- via5_6_3100_3100_2_2_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 190 310 350 + ROWCOL 2 2 ;
- via5_6_2450_3100_2_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 825 190 310 350 + ROWCOL 2 1 ;
- via5_6_1600_1600_1_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ;
- via5_6_1600_3100_2_1_1600_1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 310 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 1 ;
- dut_top top_wrapper + FIXED ( 500000 1000000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -347685 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -1469395 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -1469395 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -1469395 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -1469395 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -1469395 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( -1469395 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met5 ( -2659195 -2521550 ) ( 347685 -2518450 )
+ LAYER met4 ( 273405 -2574150 ) ( 276505 1022070 )
+ LAYER met4 ( 93405 -2574150 ) ( 96505 1022070 )
+ LAYER met4 ( -86595 -2574150 ) ( -83495 1022070 )
+ LAYER met4 ( -266595 -2574150 ) ( -263495 1022070 )
+ LAYER met4 ( -446595 164010 ) ( -443495 1022070 )
+ LAYER met4 ( -446595 -2574150 ) ( -443495 -1539980 )
+ LAYER met4 ( -626595 164010 ) ( -623495 1022070 )
+ LAYER met4 ( -626595 -2574150 ) ( -623495 -1539980 )
+ LAYER met4 ( -806595 164010 ) ( -803495 1022070 )
+ LAYER met4 ( -806595 -2574150 ) ( -803495 -1539980 )
+ LAYER met4 ( -986595 164010 ) ( -983495 1022070 )
+ LAYER met4 ( -986595 -2574150 ) ( -983495 -1539980 )
+ LAYER met4 ( -1166595 164010 ) ( -1163495 1022070 )
+ LAYER met4 ( -1166595 -2574150 ) ( -1163495 -1539980 )
+ LAYER met4 ( -1346595 164010 ) ( -1343495 1022070 )
+ LAYER met4 ( -1346595 -2574150 ) ( -1343495 -1539980 )
+ LAYER met4 ( -1526595 164010 ) ( -1523495 1022070 )
+ LAYER met4 ( -1526595 -2574150 ) ( -1523495 -1539980 )
+ LAYER met4 ( -1706595 164010 ) ( -1703495 1022070 )
+ LAYER met4 ( -1706595 -2574150 ) ( -1703495 -1539980 )
+ LAYER met4 ( -1886595 164010 ) ( -1883495 1022070 )
+ LAYER met4 ( -1886595 -2574150 ) ( -1883495 -1539980 )
+ LAYER met4 ( -2066595 164010 ) ( -2063495 1022070 )
+ LAYER met4 ( -2066595 -2574150 ) ( -2063495 -1539980 )
+ LAYER met4 ( -2246595 -2574150 ) ( -2243495 1022070 )
+ LAYER met4 ( -2426595 -2574150 ) ( -2423495 1022070 )
+ LAYER met4 ( -2606595 -2574150 ) ( -2603495 1022070 )
+ LAYER met4 ( 310985 -2540550 ) ( 314085 988470 )
+ LAYER met5 ( -2625595 985370 ) ( 314085 988470 )
+ LAYER met5 ( -2625595 -2540550 ) ( 314085 -2537450 )
+ LAYER met4 ( -2625595 -2540550 ) ( -2622495 988470 )
+ FIXED ( 2615565 2535880 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -2659195 1078450 ) ( 347685 1081550 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -1469395 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -1469395 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -1469395 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -2132545 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -1469395 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met4 ( 130605 -2431350 ) ( 133705 1164870 )
+ LAYER met4 ( -49395 -2431350 ) ( -46295 1164870 )
+ LAYER met4 ( -229395 -2431350 ) ( -226295 1164870 )
+ LAYER met4 ( -409395 306810 ) ( -406295 1164870 )
+ LAYER met4 ( -409395 -2431350 ) ( -406295 -1397180 )
+ LAYER met4 ( -589395 306810 ) ( -586295 1164870 )
+ LAYER met4 ( -589395 -2431350 ) ( -586295 -1397180 )
+ LAYER met4 ( -769395 306810 ) ( -766295 1164870 )
+ LAYER met4 ( -769395 -2431350 ) ( -766295 -1397180 )
+ LAYER met4 ( -949395 306810 ) ( -946295 1164870 )
+ LAYER met4 ( -949395 -2431350 ) ( -946295 -1397180 )
+ LAYER met4 ( -1129395 306810 ) ( -1126295 1164870 )
+ LAYER met4 ( -1129395 -2431350 ) ( -1126295 -1397180 )
+ LAYER met4 ( -1309395 306810 ) ( -1306295 1164870 )
+ LAYER met4 ( -1309395 -2431350 ) ( -1306295 -1397180 )
+ LAYER met4 ( -1489395 306810 ) ( -1486295 1164870 )
+ LAYER met4 ( -1489395 -2431350 ) ( -1486295 -1397180 )
+ LAYER met4 ( -1669395 306810 ) ( -1666295 1164870 )
+ LAYER met4 ( -1669395 -2431350 ) ( -1666295 -1397180 )
+ LAYER met4 ( -1849395 306810 ) ( -1846295 1164870 )
+ LAYER met4 ( -1849395 -2431350 ) ( -1846295 -1397180 )
+ LAYER met4 ( -2029395 306810 ) ( -2026295 1164870 )
+ LAYER met4 ( -2029395 -2431350 ) ( -2026295 -1397180 )
+ LAYER met4 ( -2209395 -2431350 ) ( -2206295 1164870 )
+ LAYER met4 ( -2389395 -2431350 ) ( -2386295 1164870 )
+ LAYER met4 ( -2569395 -2431350 ) ( -2566295 1164870 )
+ LAYER met4 ( 320585 -2407350 ) ( 323685 1140870 )
+ LAYER met5 ( -2635195 1137770 ) ( 323685 1140870 )
+ LAYER met5 ( -2635195 -2407350 ) ( 323685 -2404250 )
+ LAYER met4 ( -2635195 -2407350 ) ( -2632095 1140870 )
+ FIXED ( 2615565 2393080 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -1469395 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -2132545 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -1469395 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -1469395 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -1469395 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met4 ( 167805 -2468550 ) ( 170905 1127670 )
+ LAYER met4 ( -12195 -2468550 ) ( -9095 1127670 )
+ LAYER met4 ( -192195 -2468550 ) ( -189095 1127670 )
+ LAYER met4 ( -372195 269610 ) ( -369095 1127670 )
+ LAYER met4 ( -372195 -2468550 ) ( -369095 -1434380 )
+ LAYER met4 ( -552195 269610 ) ( -549095 1127670 )
+ LAYER met4 ( -552195 -2468550 ) ( -549095 -1434380 )
+ LAYER met4 ( -732195 269610 ) ( -729095 1127670 )
+ LAYER met4 ( -732195 -2468550 ) ( -729095 -1434380 )
+ LAYER met4 ( -912195 269610 ) ( -909095 1127670 )
+ LAYER met4 ( -912195 -2468550 ) ( -909095 -1434380 )
+ LAYER met4 ( -1092195 269610 ) ( -1089095 1127670 )
+ LAYER met4 ( -1092195 -2468550 ) ( -1089095 -1434380 )
+ LAYER met4 ( -1272195 269610 ) ( -1269095 1127670 )
+ LAYER met4 ( -1272195 -2468550 ) ( -1269095 -1434380 )
+ LAYER met4 ( -1452195 269610 ) ( -1449095 1127670 )
+ LAYER met4 ( -1452195 -2468550 ) ( -1449095 -1434380 )
+ LAYER met4 ( -1632195 280040 ) ( -1629095 1127670 )
+ LAYER met4 ( -1632195 -2468550 ) ( -1629095 -1440600 )
+ LAYER met4 ( -1812195 269610 ) ( -1809095 1127670 )
+ LAYER met4 ( -1812195 -2468550 ) ( -1809095 -1434380 )
+ LAYER met4 ( -1992195 269610 ) ( -1989095 1127670 )
+ LAYER met4 ( -1992195 -2468550 ) ( -1989095 -1434380 )
+ LAYER met4 ( -2172195 -2468550 ) ( -2169095 1127670 )
+ LAYER met4 ( -2352195 -2468550 ) ( -2349095 1127670 )
+ LAYER met4 ( -2532195 -2468550 ) ( -2529095 1127670 )
+ LAYER met4 ( 330185 -2454150 ) ( 333285 1113270 )
+ LAYER met5 ( -2644795 1110170 ) ( 333285 1113270 )
+ LAYER met5 ( -2644795 -2454150 ) ( 333285 -2451050 )
+ LAYER met4 ( -2644795 -2454150 ) ( -2641695 1113270 )
+ FIXED ( 2615565 2430280 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met5 ( -323145 -1550 ) ( 323145 1550 )
+ LAYER met5 ( -372225 1438450 ) ( 323145 1441550 )
+ LAYER met5 ( -372225 1258450 ) ( 323145 1261550 )
+ LAYER met5 ( -372225 1078450 ) ( 323145 1081550 )
+ LAYER met5 ( -372225 898450 ) ( 323145 901550 )
+ LAYER met5 ( -372225 718450 ) ( 323145 721550 )
+ LAYER met5 ( -372225 538450 ) ( 323145 541550 )
+ LAYER met5 ( -372225 358450 ) ( 323145 361550 )
+ LAYER met5 ( -372225 178450 ) ( 323145 181550 )
+ LAYER met5 ( -2683735 2338450 ) ( 323145 2341550 )
+ LAYER met5 ( -2683735 2158450 ) ( 323145 2161550 )
+ LAYER met5 ( -2683735 1978450 ) ( 323145 1981550 )
+ LAYER met5 ( -2683735 1798450 ) ( 323145 1801550 )
+ LAYER met5 ( -2683735 1618450 ) ( 323145 1621550 )
+ LAYER met5 ( -2683735 1438450 ) ( -1493935 1441550 )
+ LAYER met5 ( -2683735 1258450 ) ( -1493935 1261550 )
+ LAYER met5 ( -2683735 1078450 ) ( -1493935 1081550 )
+ LAYER met5 ( -2683735 898450 ) ( -1493935 901550 )
+ LAYER met5 ( -2683735 718450 ) ( -1493935 721550 )
+ LAYER met5 ( -2683735 538450 ) ( -1493935 541550 )
+ LAYER met5 ( -2683735 358450 ) ( -1493935 361550 )
+ LAYER met5 ( -2683735 178450 ) ( -1493935 181550 )
+ LAYER met5 ( -2683735 -1550 ) ( -2157085 1550 )
+ LAYER met5 ( -2683735 -181550 ) ( 323145 -178450 )
+ LAYER met5 ( -2683735 -361550 ) ( 323145 -358450 )
+ LAYER met5 ( -2683735 -541550 ) ( 323145 -538450 )
+ LAYER met5 ( -2683735 -721550 ) ( 323145 -718450 )
+ LAYER met5 ( -2683735 -901550 ) ( 323145 -898450 )
+ LAYER met4 ( 180465 -1065750 ) ( 183565 2530470 )
+ LAYER met4 ( 465 -1065750 ) ( 3565 2530470 )
+ LAYER met4 ( -179535 -1065750 ) ( -176435 2530470 )
+ LAYER met4 ( -359535 1672410 ) ( -356435 2530470 )
+ LAYER met4 ( -359535 -1065750 ) ( -356435 -31580 )
+ LAYER met4 ( -539535 1672410 ) ( -536435 2530470 )
+ LAYER met4 ( -539535 -1065750 ) ( -536435 -31580 )
+ LAYER met4 ( -719535 1672410 ) ( -716435 2530470 )
+ LAYER met4 ( -719535 -1065750 ) ( -716435 -31580 )
+ LAYER met4 ( -899535 1672410 ) ( -896435 2530470 )
+ LAYER met4 ( -899535 -1065750 ) ( -896435 -31580 )
+ LAYER met4 ( -1079535 1672410 ) ( -1076435 2530470 )
+ LAYER met4 ( -1079535 -1065750 ) ( -1076435 -31580 )
+ LAYER met4 ( -1259535 1672410 ) ( -1256435 2530470 )
+ LAYER met4 ( -1259535 -1065750 ) ( -1256435 -31580 )
+ LAYER met4 ( -1439535 1672410 ) ( -1436435 2530470 )
+ LAYER met4 ( -1439535 -1065750 ) ( -1436435 -31580 )
+ LAYER met4 ( -1619535 1672410 ) ( -1616435 2530470 )
+ LAYER met4 ( -1619535 -1065750 ) ( -1616435 -31580 )
+ LAYER met4 ( -1799535 1672410 ) ( -1796435 2530470 )
+ LAYER met4 ( -1799535 -1065750 ) ( -1796435 -31580 )
+ LAYER met4 ( -1979535 1672410 ) ( -1976435 2530470 )
+ LAYER met4 ( -1979535 -1065750 ) ( -1976435 -31580 )
+ LAYER met4 ( -2159535 -1065750 ) ( -2156435 2530470 )
+ LAYER met4 ( -2339535 -1065750 ) ( -2336435 2530470 )
+ LAYER met4 ( -2519535 -1065750 ) ( -2516435 2530470 )
+ LAYER met4 ( 315245 -1060950 ) ( 318345 2525670 )
+ LAYER met5 ( -2678935 2522570 ) ( 318345 2525670 )
+ LAYER met5 ( -2678935 -1060950 ) ( 318345 -1057850 )
+ LAYER met4 ( -2678935 -1060950 ) ( -2675835 2525670 )
+ FIXED ( 2640105 1027480 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -1469395 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -1469395 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -1469395 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -1469395 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -1469395 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met4 ( 186405 -2487150 ) ( 189505 1109070 )
+ LAYER met4 ( 6405 -2487150 ) ( 9505 1109070 )
+ LAYER met4 ( -173595 -2487150 ) ( -170495 1109070 )
+ LAYER met4 ( -353595 251010 ) ( -350495 1109070 )
+ LAYER met4 ( -353595 -2487150 ) ( -350495 -1452980 )
+ LAYER met4 ( -533595 251010 ) ( -530495 1109070 )
+ LAYER met4 ( -533595 -2487150 ) ( -530495 -1452980 )
+ LAYER met4 ( -713595 261440 ) ( -710495 1109070 )
+ LAYER met4 ( -713595 -2487150 ) ( -710495 -1459200 )
+ LAYER met4 ( -893595 251010 ) ( -890495 1109070 )
+ LAYER met4 ( -893595 -2487150 ) ( -890495 -1452980 )
+ LAYER met4 ( -1073595 251010 ) ( -1070495 1109070 )
+ LAYER met4 ( -1073595 -2487150 ) ( -1070495 -1452980 )
+ LAYER met4 ( -1253595 251010 ) ( -1250495 1109070 )
+ LAYER met4 ( -1253595 -2487150 ) ( -1250495 -1452980 )
+ LAYER met4 ( -1433595 251010 ) ( -1430495 1109070 )
+ LAYER met4 ( -1433595 -2487150 ) ( -1430495 -1452980 )
+ LAYER met4 ( -1613595 251010 ) ( -1610495 1109070 )
+ LAYER met4 ( -1613595 -2487150 ) ( -1610495 -1452980 )
+ LAYER met4 ( -1793595 251010 ) ( -1790495 1109070 )
+ LAYER met4 ( -1793595 -2487150 ) ( -1790495 -1452980 )
+ LAYER met4 ( -1973595 251010 ) ( -1970495 1109070 )
+ LAYER met4 ( -1973595 -2487150 ) ( -1970495 -1452980 )
+ LAYER met4 ( -2153595 -2487150 ) ( -2150495 1109070 )
+ LAYER met4 ( -2333595 -2487150 ) ( -2330495 1109070 )
+ LAYER met4 ( -2513595 -2487150 ) ( -2510495 1109070 )
+ LAYER met4 ( 334985 -2477550 ) ( 338085 1099470 )
+ LAYER met5 ( -2649595 1096370 ) ( 338085 1099470 )
+ LAYER met5 ( -2649595 -2477550 ) ( 338085 -2474450 )
+ LAYER met4 ( -2649595 -2477550 ) ( -2646495 1099470 )
+ FIXED ( 2615565 2448880 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -347685 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -1469395 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -1469395 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -2132545 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -1469395 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -1469395 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( -1469395 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met4 ( 223605 -2524350 ) ( 226705 1071870 )
+ LAYER met4 ( 43605 -2524350 ) ( 46705 1071870 )
+ LAYER met4 ( -136395 -2524350 ) ( -133295 1071870 )
+ LAYER met4 ( -316395 220940 ) ( -313295 1071870 )
+ LAYER met4 ( -316395 -2524350 ) ( -313295 -1493100 )
+ LAYER met4 ( -496395 213810 ) ( -493295 1071870 )
+ LAYER met4 ( -496395 -2524350 ) ( -493295 -1490180 )
+ LAYER met4 ( -676395 213810 ) ( -673295 1071870 )
+ LAYER met4 ( -676395 -2524350 ) ( -673295 -1490180 )
+ LAYER met4 ( -856395 213810 ) ( -853295 1071870 )
+ LAYER met4 ( -856395 -2524350 ) ( -853295 -1490180 )
+ LAYER met4 ( -1036395 213810 ) ( -1033295 1071870 )
+ LAYER met4 ( -1036395 -2524350 ) ( -1033295 -1490180 )
+ LAYER met4 ( -1216395 213810 ) ( -1213295 1071870 )
+ LAYER met4 ( -1216395 -2524350 ) ( -1213295 -1490180 )
+ LAYER met4 ( -1396395 213810 ) ( -1393295 1071870 )
+ LAYER met4 ( -1396395 -2524350 ) ( -1393295 -1490180 )
+ LAYER met4 ( -1576395 213810 ) ( -1573295 1071870 )
+ LAYER met4 ( -1576395 -2524350 ) ( -1573295 -1490180 )
+ LAYER met4 ( -1756395 213810 ) ( -1753295 1071870 )
+ LAYER met4 ( -1756395 -2524350 ) ( -1753295 -1490180 )
+ LAYER met4 ( -1936395 224240 ) ( -1933295 1071870 )
+ LAYER met4 ( -1936395 -2524350 ) ( -1933295 -1496400 )
+ LAYER met4 ( -2116395 220940 ) ( -2113295 1071870 )
+ LAYER met4 ( -2116395 -2524350 ) ( -2113295 -1493100 )
+ LAYER met4 ( -2296395 -2524350 ) ( -2293295 1071870 )
+ LAYER met4 ( -2476395 -2524350 ) ( -2473295 1071870 )
+ LAYER met4 ( 344585 -2524350 ) ( 347685 1071870 )
+ LAYER met5 ( -2659195 1068770 ) ( 347685 1071870 )
+ LAYER met5 ( -2659195 -2524350 ) ( 347685 -2521250 )
+ LAYER met4 ( -2659195 -2524350 ) ( -2656095 1071870 )
+ FIXED ( 2615565 2486080 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -2659195 1078450 ) ( 347685 1081550 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -1469395 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -1469395 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -1469395 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -1469395 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -1469395 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met4 ( 292005 -2412750 ) ( 295105 1183470 )
+ LAYER met4 ( 112005 -2412750 ) ( 115105 1183470 )
+ LAYER met4 ( -67995 -2412750 ) ( -64895 1183470 )
+ LAYER met4 ( -247995 -2412750 ) ( -244895 1183470 )
+ LAYER met4 ( -427995 325410 ) ( -424895 1183470 )
+ LAYER met4 ( -427995 -2412750 ) ( -424895 -1378580 )
+ LAYER met4 ( -607995 325410 ) ( -604895 1183470 )
+ LAYER met4 ( -607995 -2412750 ) ( -604895 -1378580 )
+ LAYER met4 ( -787995 325410 ) ( -784895 1183470 )
+ LAYER met4 ( -787995 -2412750 ) ( -784895 -1378580 )
+ LAYER met4 ( -967995 325410 ) ( -964895 1183470 )
+ LAYER met4 ( -967995 -2412750 ) ( -964895 -1378580 )
+ LAYER met4 ( -1147995 325410 ) ( -1144895 1183470 )
+ LAYER met4 ( -1147995 -2412750 ) ( -1144895 -1378580 )
+ LAYER met4 ( -1327995 335840 ) ( -1324895 1183470 )
+ LAYER met4 ( -1327995 -2412750 ) ( -1324895 -1384800 )
+ LAYER met4 ( -1507995 325410 ) ( -1504895 1183470 )
+ LAYER met4 ( -1507995 -2412750 ) ( -1504895 -1378580 )
+ LAYER met4 ( -1687995 325410 ) ( -1684895 1183470 )
+ LAYER met4 ( -1687995 -2412750 ) ( -1684895 -1378580 )
+ LAYER met4 ( -1867995 325410 ) ( -1864895 1183470 )
+ LAYER met4 ( -1867995 -2412750 ) ( -1864895 -1378580 )
+ LAYER met4 ( -2047995 325410 ) ( -2044895 1183470 )
+ LAYER met4 ( -2047995 -2412750 ) ( -2044895 -1378580 )
+ LAYER met4 ( -2227995 -2412750 ) ( -2224895 1183470 )
+ LAYER met4 ( -2407995 -2412750 ) ( -2404895 1183470 )
+ LAYER met4 ( -2587995 -2412750 ) ( -2584895 1183470 )
+ LAYER met4 ( 315785 -2383950 ) ( 318885 1154670 )
+ LAYER met5 ( -2630395 1151570 ) ( 318885 1154670 )
+ LAYER met5 ( -2630395 -2383950 ) ( 318885 -2380850 )
+ LAYER met4 ( -2630395 -2383950 ) ( -2627295 1154670 )
+ FIXED ( 2615565 2374480 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met5 ( -347685 -1550 ) ( 347685 1550 )
+ LAYER met5 ( -347685 -181550 ) ( 347685 -178450 )
+ LAYER met5 ( -347685 -361550 ) ( 347685 -358450 )
+ LAYER met5 ( -347685 -541550 ) ( 347685 -538450 )
+ LAYER met5 ( -347685 -721550 ) ( 347685 -718450 )
+ LAYER met5 ( -347685 -901550 ) ( 347685 -898450 )
+ LAYER met5 ( -347685 -1081550 ) ( 347685 -1078450 )
+ LAYER met5 ( -2659195 1078450 ) ( 347685 1081550 )
+ LAYER met5 ( -2659195 898450 ) ( 347685 901550 )
+ LAYER met5 ( -2659195 718450 ) ( 347685 721550 )
+ LAYER met5 ( -2659195 538450 ) ( 347685 541550 )
+ LAYER met5 ( -2659195 358450 ) ( 347685 361550 )
+ LAYER met5 ( -2659195 178450 ) ( 347685 181550 )
+ LAYER met5 ( -2659195 -1550 ) ( -2132545 1550 )
+ LAYER met5 ( -2659195 -181550 ) ( -1469395 -178450 )
+ LAYER met5 ( -2659195 -361550 ) ( -1469395 -358450 )
+ LAYER met5 ( -2659195 -541550 ) ( -1469395 -538450 )
+ LAYER met5 ( -2659195 -721550 ) ( -1469395 -718450 )
+ LAYER met5 ( -2659195 -901550 ) ( -1469395 -898450 )
+ LAYER met5 ( -2659195 -1081550 ) ( -2132545 -1078450 )
+ LAYER met5 ( -2659195 -1261550 ) ( 347685 -1258450 )
+ LAYER met5 ( -2659195 -1441550 ) ( 347685 -1438450 )
+ LAYER met5 ( -2659195 -1621550 ) ( 347685 -1618450 )
+ LAYER met5 ( -2659195 -1801550 ) ( 347685 -1798450 )
+ LAYER met5 ( -2659195 -1981550 ) ( 347685 -1978450 )
+ LAYER met5 ( -2659195 -2161550 ) ( 347685 -2158450 )
+ LAYER met5 ( -2659195 -2341550 ) ( 347685 -2338450 )
+ LAYER met4 ( 149205 -2449950 ) ( 152305 1146270 )
+ LAYER met4 ( -30795 -2449950 ) ( -27695 1146270 )
+ LAYER met4 ( -210795 -2449950 ) ( -207695 1146270 )
+ LAYER met4 ( -390795 288210 ) ( -387695 1146270 )
+ LAYER met4 ( -390795 -2449950 ) ( -387695 -1415780 )
+ LAYER met4 ( -570795 288210 ) ( -567695 1146270 )
+ LAYER met4 ( -570795 -2449950 ) ( -567695 -1415780 )
+ LAYER met4 ( -750795 288210 ) ( -747695 1146270 )
+ LAYER met4 ( -750795 -2449950 ) ( -747695 -1415780 )
+ LAYER met4 ( -930795 288210 ) ( -927695 1146270 )
+ LAYER met4 ( -930795 -2449950 ) ( -927695 -1415780 )
+ LAYER met4 ( -1110795 288210 ) ( -1107695 1146270 )
+ LAYER met4 ( -1110795 -2449950 ) ( -1107695 -1415780 )
+ LAYER met4 ( -1290795 288210 ) ( -1287695 1146270 )
+ LAYER met4 ( -1290795 -2449950 ) ( -1287695 -1415780 )
+ LAYER met4 ( -1470795 288210 ) ( -1467695 1146270 )
+ LAYER met4 ( -1470795 -2449950 ) ( -1467695 -1415780 )
+ LAYER met4 ( -1650795 288210 ) ( -1647695 1146270 )
+ LAYER met4 ( -1650795 -2449950 ) ( -1647695 -1415780 )
+ LAYER met4 ( -1830795 288210 ) ( -1827695 1146270 )
+ LAYER met4 ( -1830795 -2449950 ) ( -1827695 -1415780 )
+ LAYER met4 ( -2010795 288210 ) ( -2007695 1146270 )
+ LAYER met4 ( -2010795 -2449950 ) ( -2007695 -1415780 )
+ LAYER met4 ( -2190795 -2449950 ) ( -2187695 1146270 )
+ LAYER met4 ( -2370795 -2449950 ) ( -2367695 1146270 )
+ LAYER met4 ( -2550795 -2449950 ) ( -2547695 1146270 )
+ LAYER met4 ( 325385 -2430750 ) ( 328485 1127070 )
+ LAYER met5 ( -2639995 1123970 ) ( 328485 1127070 )
+ LAYER met5 ( -2639995 -2430750 ) ( 328485 -2427650 )
+ LAYER met4 ( -2639995 -2430750 ) ( -2636895 1127070 )
+ FIXED ( 2615565 2411680 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 ( 2301260 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2301260 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2301260 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2301260 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2301260 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2301260 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2211440 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2211440 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2211440 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2211440 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2211440 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2211440 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2057840 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2057840 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2057840 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2057840 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2057840 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2057840 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1904240 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1904240 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1904240 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1904240 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1904240 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1904240 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1750640 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1750640 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1750640 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1750640 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1750640 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1750640 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1597040 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1597040 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1597040 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1597040 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1597040 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1597040 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1443440 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1443440 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1443440 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1443440 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1443440 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1443440 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1289840 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1289840 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1289840 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289840 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1289840 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1289840 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1136240 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1136240 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 2406150 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 2252970 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 2099790 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 1946610 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 1793430 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1136240 1640250 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 1487070 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 1333890 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1136240 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1136240 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1136240 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 982640 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 982640 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 2406150 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 2252970 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 2099790 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 1946610 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 1793430 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 982640 1640250 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 1487070 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 1333890 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 982640 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 982640 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 982640 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 829040 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 829040 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 2406150 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 2252970 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 2099790 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 1946610 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 1793430 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 829040 1640250 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 1487070 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 1333890 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 829040 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 829040 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 829040 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 675440 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 675440 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 2406150 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 2252970 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 2099790 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 1946610 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 1793430 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 675440 1640250 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 1487070 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 1333890 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 675440 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 675440 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 675440 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 521840 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 521840 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 2406150 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 2252970 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 2099790 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 1946610 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 1793430 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 521840 1640250 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 1487070 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 1333890 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 521840 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 521840 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 521840 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 498720 2695920 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 498720 2559330 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 2535880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 2406150 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 2355880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 2252970 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 2175880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 2099790 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 1995880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 1946610 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 1815880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 1793430 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 498720 1640250 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 1635880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 1487070 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 1455880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 1333890 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 1275880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 1180710 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 498720 1095880 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 498720 1027530 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 498720 1004080 ) via5_6_1600_1600_1_1_1600_1600
NEW met5 3100 + SHAPE STRIPE ( 2267880 2535880 ) ( 2963250 2535880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2355880 ) ( 2963250 2355880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2175880 ) ( 2963250 2175880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1995880 ) ( 2963250 1995880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1815880 ) ( 2963250 1815880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1635880 ) ( 2963250 1635880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1455880 ) ( 2963250 1455880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1275880 ) ( 2963250 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3435880 ) ( 2963250 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3255880 ) ( 2963250 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3075880 ) ( 2963250 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2895880 ) ( 2963250 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2715880 ) ( 2963250 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2535880 ) ( 1146170 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2355880 ) ( 1146170 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2175880 ) ( 1146170 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1995880 ) ( 1146170 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1815880 ) ( 1146170 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1635880 ) ( 1146170 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1455880 ) ( 1146170 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1275880 ) ( 1146170 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1095880 ) ( 2963250 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 915880 ) ( 2963250 915880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 735880 ) ( 2963250 735880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 555880 ) ( 2963250 555880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 375880 ) ( 2963250 375880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 195880 ) ( 2963250 195880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 15880 ) ( 2963250 15880 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -38270 ) ( 2890520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -38270 ) ( 2710520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -38270 ) ( 2530520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -38270 ) ( 2350520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 2699890 ) ( 2170520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -38270 ) ( 2170520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 2699890 ) ( 1990520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -38270 ) ( 1990520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 2699890 ) ( 1810520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -38270 ) ( 1810520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 2699890 ) ( 1630520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -38270 ) ( 1630520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 2699890 ) ( 1450520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -38270 ) ( 1450520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 2699890 ) ( 1270520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -38270 ) ( 1270520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 2699890 ) ( 1090520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -38270 ) ( 1090520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 910520 2699890 ) ( 910520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -38270 ) ( 910520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 730520 2699890 ) ( 730520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -38270 ) ( 730520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 550520 2699890 ) ( 550520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -38270 ) ( 550520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -38270 ) ( 370520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -38270 ) ( 190520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -38270 ) ( 10520 3557950 )
NEW met4 3100 + SHAPE RING ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met5 3100 + SHAPE RING ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE RING ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE RING ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 0 + SHAPE RING ( 2928100 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2928100 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -8480 3522800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -8480 -3120 ) via5_6_3100_3100_2_2_1600_1600 ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met5 3100 + SHAPE STRIPE ( 2267880 2393080 ) ( 2963250 2393080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2213080 ) ( 2963250 2213080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2033080 ) ( 2963250 2033080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1853080 ) ( 2963250 1853080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1673080 ) ( 2963250 1673080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1493080 ) ( 2963250 1493080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1313080 ) ( 2963250 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3473080 ) ( 2963250 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3293080 ) ( 2963250 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3113080 ) ( 2963250 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2933080 ) ( 2963250 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2753080 ) ( 2963250 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2573080 ) ( 2963250 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2393080 ) ( 1146170 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2213080 ) ( 1146170 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2033080 ) ( 1146170 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1853080 ) ( 1146170 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1673080 ) ( 1146170 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1493080 ) ( 483020 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1313080 ) ( 1146170 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1133080 ) ( 2963250 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 953080 ) ( 2963250 953080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 773080 ) ( 2963250 773080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 593080 ) ( 2963250 593080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 413080 ) ( 2963250 413080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 233080 ) ( 2963250 233080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 53080 ) ( 2963250 53080 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -38270 ) ( 2747720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -38270 ) ( 2567720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -38270 ) ( 2387720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 2699890 ) ( 2207720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -38270 ) ( 2207720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 2699890 ) ( 2027720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -38270 ) ( 2027720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 2699890 ) ( 1847720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -38270 ) ( 1847720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 2699890 ) ( 1667720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -38270 ) ( 1667720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 2699890 ) ( 1487720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -38270 ) ( 1487720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 2699890 ) ( 1307720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -38270 ) ( 1307720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 2699890 ) ( 1127720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -38270 ) ( 1127720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 947720 2699890 ) ( 947720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -38270 ) ( 947720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 767720 2699890 ) ( 767720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -38270 ) ( 767720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 587720 2699890 ) ( 587720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -38270 ) ( 587720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -38270 ) ( 407720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -38270 ) ( 227720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -38270 ) ( 47720 3557950 )
NEW met4 3100 + SHAPE RING ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met5 3100 + SHAPE RING ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE RING ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE RING ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 0 + SHAPE RING ( 2937700 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2937700 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2937700 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2747720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2567720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2387720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2207720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2027720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1847720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1667720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1487720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1307720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1127720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 947720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 767720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 587720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 407720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 227720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 47720 -12720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -18080 3532400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3473080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3293080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 3113080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2933080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2753080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2573080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2393080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2213080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 2033080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1853080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1673080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1493080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1313080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 1133080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 953080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 773080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 593080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 413080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 233080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -18080 53080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -18080 -12720 ) via5_6_3100_3100_2_2_1600_1600 ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met5 3100 + SHAPE STRIPE ( 2267880 2430280 ) ( 2963250 2430280 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2250280 ) ( 2963250 2250280 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2070280 ) ( 2963250 2070280 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1890280 ) ( 2963250 1890280 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1710280 ) ( 2963250 1710280 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1530280 ) ( 2963250 1530280 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1350280 ) ( 2963250 1350280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3330280 ) ( 2963250 3330280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3150280 ) ( 2963250 3150280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2970280 ) ( 2963250 2970280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2790280 ) ( 2963250 2790280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2610280 ) ( 2963250 2610280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2430280 ) ( 1146170 2430280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2250280 ) ( 483020 2250280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2070280 ) ( 1146170 2070280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1890280 ) ( 1146170 1890280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1710280 ) ( 1146170 1710280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1530280 ) ( 1146170 1530280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1350280 ) ( 1146170 1350280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1170280 ) ( 2963250 1170280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 990280 ) ( 2963250 990280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 810280 ) ( 2963250 810280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 630280 ) ( 2963250 630280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 450280 ) ( 2963250 450280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 270280 ) ( 2963250 270280 )
NEW met5 3100 + SHAPE STRIPE ( -43630 90280 ) ( 2963250 90280 )
NEW met4 3100 + SHAPE STRIPE ( 2784920 -38270 ) ( 2784920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2604920 -38270 ) ( 2604920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2424920 -38270 ) ( 2424920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2244920 2699890 ) ( 2244920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2244920 -38270 ) ( 2244920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 2064920 2699890 ) ( 2064920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2064920 -38270 ) ( 2064920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1884920 2699890 ) ( 1884920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1884920 -38270 ) ( 1884920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1704920 2699890 ) ( 1704920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1704920 -38270 ) ( 1704920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1524920 2699890 ) ( 1524920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1524920 -38270 ) ( 1524920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1344920 2699890 ) ( 1344920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1344920 -38270 ) ( 1344920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1164920 2699890 ) ( 1164920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1164920 -38270 ) ( 1164920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 984920 2710320 ) ( 984920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 984920 -38270 ) ( 984920 989680 )
NEW met4 3100 + SHAPE STRIPE ( 804920 2699890 ) ( 804920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 804920 -38270 ) ( 804920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 624920 2699890 ) ( 624920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 624920 -38270 ) ( 624920 995900 )
NEW met4 3100 + SHAPE STRIPE ( 444920 -38270 ) ( 444920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 264920 -38270 ) ( 264920 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 84920 -38270 ) ( 84920 3557950 )
NEW met4 3100 + SHAPE RING ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met5 3100 + SHAPE RING ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE RING ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE RING ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 0 + SHAPE RING ( 2947300 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2947300 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2947300 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2784920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2604920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2424920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2244920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2064920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1884920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1704920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1524920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1344920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1164920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 984920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 804920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 624920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 444920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 264920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 84920 -22320 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -27680 3542000 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 3330280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 3150280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2970280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2790280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2610280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2430280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2250280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 2070280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1890280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1710280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1530280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1350280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 1170280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 990280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 810280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 630280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 450280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 270280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -27680 90280 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -27680 -22320 ) via5_6_3100_3100_2_2_1600_1600 ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met5 3100 + SHAPE STRIPE ( 2316960 1027480 ) ( 2963250 1027480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2467480 ) ( 2963250 2467480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2287480 ) ( 2963250 2287480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2107480 ) ( 2963250 2107480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1927480 ) ( 2963250 1927480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1747480 ) ( 2963250 1747480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1567480 ) ( 2963250 1567480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1387480 ) ( 2963250 1387480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1207480 ) ( 2963250 1207480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3367480 ) ( 2963250 3367480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3187480 ) ( 2963250 3187480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3007480 ) ( 2963250 3007480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2827480 ) ( 2963250 2827480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2647480 ) ( 2963250 2647480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2467480 ) ( 1146170 2467480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2287480 ) ( 1146170 2287480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2107480 ) ( 1146170 2107480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1927480 ) ( 1146170 1927480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1747480 ) ( 1146170 1747480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1567480 ) ( 1146170 1567480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1387480 ) ( 1146170 1387480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1207480 ) ( 1146170 1207480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1027480 ) ( 483020 1027480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 847480 ) ( 2963250 847480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 667480 ) ( 2963250 667480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 487480 ) ( 2963250 487480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 307480 ) ( 2963250 307480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 127480 ) ( 2963250 127480 )
NEW met4 3100 + SHAPE STRIPE ( 2822120 -38270 ) ( 2822120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2642120 -38270 ) ( 2642120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2462120 -38270 ) ( 2462120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2282120 2699890 ) ( 2282120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2282120 -38270 ) ( 2282120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 2102120 2699890 ) ( 2102120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2102120 -38270 ) ( 2102120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1922120 2699890 ) ( 1922120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1922120 -38270 ) ( 1922120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1742120 2699890 ) ( 1742120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1742120 -38270 ) ( 1742120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1562120 2699890 ) ( 1562120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1562120 -38270 ) ( 1562120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1382120 2699890 ) ( 1382120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1382120 -38270 ) ( 1382120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1202120 2699890 ) ( 1202120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1202120 -38270 ) ( 1202120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1022120 2699890 ) ( 1022120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1022120 -38270 ) ( 1022120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 842120 2699890 ) ( 842120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 842120 -38270 ) ( 842120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 662120 2699890 ) ( 662120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 662120 -38270 ) ( 662120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 482120 -38270 ) ( 482120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 302120 -38270 ) ( 302120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 122120 -38270 ) ( 122120 3557950 )
NEW met4 3100 + SHAPE RING ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met5 3100 + SHAPE RING ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE RING ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE RING ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 0 + SHAPE RING ( 2956900 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2956900 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2956900 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2822120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2642120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2462120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2282120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2102120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1922120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1742120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1562120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1382120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1202120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1022120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 842120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 662120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 481795 1027480 ) via5_6_2450_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 482120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 302120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 122120 -31920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -37280 3551600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3367480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3187480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 3007480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2827480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2647480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2467480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2287480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 2107480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1927480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1747480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1567480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1387480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1207480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 1027480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 847480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 667480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 487480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 307480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -37280 127480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -37280 -31920 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met5 3100 + SHAPE STRIPE ( 2267880 2448880 ) ( 2963250 2448880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2268880 ) ( 2963250 2268880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2088880 ) ( 2963250 2088880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1908880 ) ( 2963250 1908880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1728880 ) ( 2963250 1728880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1548880 ) ( 2963250 1548880 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1368880 ) ( 2963250 1368880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3348880 ) ( 2963250 3348880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3168880 ) ( 2963250 3168880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2988880 ) ( 2963250 2988880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2808880 ) ( 2963250 2808880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2628880 ) ( 2963250 2628880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2448880 ) ( 1146170 2448880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2268880 ) ( 1146170 2268880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2088880 ) ( 1146170 2088880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1908880 ) ( 1146170 1908880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1728880 ) ( 1146170 1728880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1548880 ) ( 1146170 1548880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1368880 ) ( 1146170 1368880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1188880 ) ( 2963250 1188880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1008880 ) ( 2963250 1008880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 828880 ) ( 2963250 828880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 648880 ) ( 2963250 648880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 468880 ) ( 2963250 468880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 288880 ) ( 2963250 288880 )
NEW met5 3100 + SHAPE STRIPE ( -43630 108880 ) ( 2963250 108880 )
NEW met4 3100 + SHAPE STRIPE ( 2803520 -38270 ) ( 2803520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2623520 -38270 ) ( 2623520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2443520 -38270 ) ( 2443520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2263520 2699890 ) ( 2263520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2263520 -38270 ) ( 2263520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 2083520 2699890 ) ( 2083520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2083520 -38270 ) ( 2083520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1903520 2710320 ) ( 1903520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1903520 -38270 ) ( 1903520 989680 )
NEW met4 3100 + SHAPE STRIPE ( 1723520 2699890 ) ( 1723520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1723520 -38270 ) ( 1723520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1543520 2699890 ) ( 1543520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1543520 -38270 ) ( 1543520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1363520 2699890 ) ( 1363520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1363520 -38270 ) ( 1363520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1183520 2699890 ) ( 1183520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1183520 -38270 ) ( 1183520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1003520 2699890 ) ( 1003520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1003520 -38270 ) ( 1003520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 823520 2699890 ) ( 823520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 823520 -38270 ) ( 823520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 643520 2699890 ) ( 643520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 643520 -38270 ) ( 643520 995900 )
NEW met4 3100 + SHAPE STRIPE ( 463520 -38270 ) ( 463520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 283520 -38270 ) ( 283520 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 103520 -38270 ) ( 103520 3557950 )
NEW met4 3100 + SHAPE RING ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met5 3100 + SHAPE RING ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE RING ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE RING ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 0 + SHAPE RING ( 2952100 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2952100 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2952100 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2803520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2623520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2443520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2263520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2083520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1903520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1723520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1543520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1363520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1183520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1003520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 823520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 643520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 463520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 283520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 103520 -27120 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -32480 3546800 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3348880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 3168880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2988880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2808880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2628880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2448880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2268880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 2088880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1908880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1728880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1548880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1368880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1188880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 1008880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 828880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 648880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 468880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 288880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -32480 108880 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -32480 -27120 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met5 3100 + SHAPE STRIPE ( 2267880 2486080 ) ( 2963250 2486080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2306080 ) ( 2963250 2306080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2126080 ) ( 2963250 2126080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1946080 ) ( 2963250 1946080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1766080 ) ( 2963250 1766080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1586080 ) ( 2963250 1586080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1406080 ) ( 2963250 1406080 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1226080 ) ( 2963250 1226080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3386080 ) ( 2963250 3386080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3206080 ) ( 2963250 3206080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3026080 ) ( 2963250 3026080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2846080 ) ( 2963250 2846080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2666080 ) ( 2963250 2666080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2486080 ) ( 1146170 2486080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2306080 ) ( 1146170 2306080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2126080 ) ( 1146170 2126080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1946080 ) ( 483020 1946080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1766080 ) ( 1146170 1766080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1586080 ) ( 1146170 1586080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1406080 ) ( 1146170 1406080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1226080 ) ( 1146170 1226080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1046080 ) ( 2963250 1046080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 866080 ) ( 2963250 866080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 686080 ) ( 2963250 686080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 506080 ) ( 2963250 506080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 326080 ) ( 2963250 326080 )
NEW met5 3100 + SHAPE STRIPE ( -43630 146080 ) ( 2963250 146080 )
NEW met4 3100 + SHAPE STRIPE ( 2840720 -38270 ) ( 2840720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2660720 -38270 ) ( 2660720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2480720 -38270 ) ( 2480720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2300720 2707020 ) ( 2300720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2300720 -38270 ) ( 2300720 992980 )
NEW met4 3100 + SHAPE STRIPE ( 2120720 2699890 ) ( 2120720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2120720 -38270 ) ( 2120720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1940720 2699890 ) ( 1940720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1940720 -38270 ) ( 1940720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1760720 2699890 ) ( 1760720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1760720 -38270 ) ( 1760720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1580720 2699890 ) ( 1580720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1580720 -38270 ) ( 1580720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1400720 2699890 ) ( 1400720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1400720 -38270 ) ( 1400720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1220720 2699890 ) ( 1220720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1220720 -38270 ) ( 1220720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1040720 2699890 ) ( 1040720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1040720 -38270 ) ( 1040720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 860720 2699890 ) ( 860720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 860720 -38270 ) ( 860720 995900 )
NEW met4 3100 + SHAPE STRIPE ( 680720 2710320 ) ( 680720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 680720 -38270 ) ( 680720 989680 )
NEW met4 3100 + SHAPE STRIPE ( 500720 2707020 ) ( 500720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 500720 -38270 ) ( 500720 992980 )
NEW met4 3100 + SHAPE STRIPE ( 320720 -38270 ) ( 320720 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 140720 -38270 ) ( 140720 3557950 )
NEW met4 3100 + SHAPE RING ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met5 3100 + SHAPE RING ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE RING ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE RING ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 0 + SHAPE RING ( 2961700 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2961700 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2961700 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2840720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2660720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2480720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2300720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2120720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1940720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1760720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1580720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1400720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1220720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1040720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 860720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 680720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 500720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 320720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 140720 -36720 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -42080 3556400 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3386080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3206080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 3026080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2846080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2666080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2486080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2306080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 2126080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1946080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1766080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1586080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1406080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1226080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 1046080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 866080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 686080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 506080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 326080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -42080 146080 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -42080 -36720 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 ( 2304560 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2304560 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2304560 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2304560 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2304560 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2304560 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2214740 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2214740 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2214740 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2214740 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2214740 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2214740 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2214740 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2061140 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2061140 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2061140 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2061140 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2061140 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 2061140 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 2061140 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1907540 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1907540 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1907540 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1907540 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1907540 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1907540 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1907540 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1753940 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1753940 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1753940 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1753940 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1753940 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1753940 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1753940 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1600340 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1600340 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1600340 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1600340 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1600340 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1600340 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1600340 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1446740 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1446740 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1446740 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1446740 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1446740 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1446740 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1446740 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1293140 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1293140 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293140 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1293140 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1293140 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1293140 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1293140 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1139540 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1139540 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 2409450 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 2256270 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 2103090 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 1949910 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 1796730 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 1643550 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1139540 1490370 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 1337190 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1139540 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 1139540 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 1139540 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 985940 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 985940 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 2409450 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 2256270 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 2103090 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 1949910 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 1796730 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 1643550 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 985940 1490370 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 1337190 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 985940 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 985940 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 985940 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 832340 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 832340 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 2409450 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 2256270 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 2103090 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 1949910 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 1796730 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 1643550 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 832340 1490370 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 1337190 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 832340 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 832340 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 832340 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 678740 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 678740 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 2409450 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 2256270 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 2103090 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 1949910 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 1796730 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 1643550 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 678740 1490370 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 1337190 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 678740 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 678740 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 678740 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 525140 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 525140 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 2409450 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 2256270 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 2103090 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 1949910 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 1796730 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 1643550 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 525140 1490370 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 1337190 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 525140 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 525140 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 525140 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 495420 2699220 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 495420 2562630 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 2554480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 2409450 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 2374480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 2256270 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 2194480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 2103090 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 2014480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 1949910 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 1834480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 1796730 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 1654480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 1643550 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 495420 1490370 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 1474480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 1337190 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 1294480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 1184010 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 + SHAPE STRIPE ( 495420 1114480 ) via5_6_1600_3100_2_1_1600_1600
NEW met4 0 ( 495420 1030830 ) via5_6_1600_1600_1_1_1600_1600
NEW met4 0 ( 495420 1000780 ) via5_6_1600_1600_1_1_1600_1600
NEW met5 3100 + SHAPE STRIPE ( 2267880 2374480 ) ( 2963250 2374480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2194480 ) ( 2963250 2194480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2014480 ) ( 2963250 2014480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1834480 ) ( 2963250 1834480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1654480 ) ( 2963250 1654480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1474480 ) ( 2963250 1474480 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1294480 ) ( 2963250 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3454480 ) ( 2963250 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3274480 ) ( 2963250 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3094480 ) ( 2963250 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2914480 ) ( 2963250 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2734480 ) ( 2963250 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2554480 ) ( 2963250 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2374480 ) ( 1146170 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2194480 ) ( 1146170 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2014480 ) ( 1146170 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1834480 ) ( 1146170 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1654480 ) ( 1146170 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1474480 ) ( 1146170 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1294480 ) ( 1146170 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1114480 ) ( 2963250 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 934480 ) ( 2963250 934480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 754480 ) ( 2963250 754480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 574480 ) ( 2963250 574480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 394480 ) ( 2963250 394480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 214480 ) ( 2963250 214480 )
NEW met5 3100 + SHAPE STRIPE ( -43630 34480 ) ( 2963250 34480 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -38270 ) ( 2909120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -38270 ) ( 2729120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -38270 ) ( 2549120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -38270 ) ( 2369120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 2699890 ) ( 2189120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -38270 ) ( 2189120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 2699890 ) ( 2009120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -38270 ) ( 2009120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 2699890 ) ( 1829120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -38270 ) ( 1829120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 2699890 ) ( 1649120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -38270 ) ( 1649120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 2699890 ) ( 1469120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -38270 ) ( 1469120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 2710320 ) ( 1289120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -38270 ) ( 1289120 989680 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 2699890 ) ( 1109120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -38270 ) ( 1109120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 929120 2699890 ) ( 929120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -38270 ) ( 929120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 749120 2699890 ) ( 749120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -38270 ) ( 749120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 569120 2699890 ) ( 569120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -38270 ) ( 569120 995900 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -38270 ) ( 389120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -38270 ) ( 209120 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -38270 ) ( 29120 3557950 )
NEW met4 3100 + SHAPE RING ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met5 3100 + SHAPE RING ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE RING ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE RING ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 0 + SHAPE RING ( 2932900 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2932900 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2932900 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2909120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2729120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2549120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2369120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2189120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2009120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1829120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1649120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1469120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1289120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1109120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 929120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 749120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 569120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 389120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 209120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 29120 -7920 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -13280 3527600 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3454480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3274480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 3094480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2914480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2734480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2554480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2374480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2194480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 2014480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1834480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1654480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1474480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1294480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 1114480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 934480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 754480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 574480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 394480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 214480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -13280 34480 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -13280 -7920 ) via5_6_3100_3100_2_2_1600_1600 ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met5 3100 + SHAPE STRIPE ( 2267880 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( 2267880 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 483020 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 1146170 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 1146170 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 1146170 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 1146170 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 1146170 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 483020 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 2699890 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 2699890 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 2699890 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 2699890 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 2699890 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 2699890 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 2699890 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 966320 2699890 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 786320 2699890 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 606320 2699890 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 995900 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE RING ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met5 3100 + SHAPE RING ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE RING ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE RING ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 0 + SHAPE RING ( 2942500 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2942500 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( 2942500 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2766320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2586320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2406320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2226320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 2046320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1866320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1686320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1506320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1326320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 1146320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 966320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 786320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 606320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 426320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 246320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( 66320 -17520 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -22880 3537200 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3491680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3311680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 3131680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2951680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2771680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2591680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2411680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2231680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 2051680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1871680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1691680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1511680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1331680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 1151680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 971680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 791680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 611680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 431680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 251680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE STRIPE ( -22880 71680 ) via5_6_3100_3100_2_2_1600_1600
NEW met4 0 + SHAPE RING ( -22880 -17520 ) via5_6_3100_3100_2_2_1600_1600 ;
END SPECIALNETS
NETS 654 ;
- analog_io[0] ( PIN analog_io[0] ) ( dut_top V1_WL ) + USE SIGNAL
+ ROUTED met3 ( 2894550 1426980 ) ( 2917780 * 0 )
NEW met2 ( 2894550 1426980 ) ( * 2712010 )
NEW met2 ( 553610 2699260 0 ) ( * 2712010 )
NEW met1 ( 553610 2712010 ) ( 2894550 * )
NEW met1 ( 2894550 2712010 ) M1M2_PR
NEW met2 ( 2894550 1426980 ) M2M3_PR
NEW met1 ( 553610 2712010 ) M1M2_PR ;
- analog_io[10] ( PIN analog_io[10] ) ( dut_top V3_BL ) + USE SIGNAL
+ ROUTED met2 ( 2230770 3502170 ) ( * 3517980 0 )
NEW met2 ( 1609770 2699260 ) ( 1611610 * 0 )
NEW met1 ( 1607930 3502170 ) ( 2230770 * )
NEW met2 ( 1607930 2787600 ) ( 1609770 * )
NEW met2 ( 1609770 2699260 ) ( * 2787600 )
NEW met2 ( 1607930 2787600 ) ( * 3502170 )
NEW met1 ( 2230770 3502170 ) M1M2_PR
NEW met1 ( 1607930 3502170 ) M1M2_PR ;
- analog_io[11] ( PIN analog_io[11] ) ( dut_top V4_BL ) + USE SIGNAL
+ ROUTED met2 ( 1715570 2699260 ) ( 1717410 * 0 )
NEW met1 ( 1711430 3502510 ) ( 1906010 * )
NEW met2 ( 1711430 2787600 ) ( 1715570 * )
NEW met2 ( 1715570 2699260 ) ( * 2787600 )
NEW met2 ( 1711430 2787600 ) ( * 3502510 )
NEW met2 ( 1906010 3502510 ) ( * 3517980 0 )
NEW met1 ( 1711430 3502510 ) M1M2_PR
NEW met1 ( 1906010 3502510 ) M1M2_PR ;
- analog_io[12] ( PIN analog_io[12] ) ( dut_top V0_REF_ADC ) + USE SIGNAL
+ ROUTED met2 ( 1823210 2699260 0 ) ( * 2716430 )
NEW met1 ( 1580330 2716430 ) ( 1823210 * )
NEW met2 ( 1580330 2716430 ) ( * 3512100 )
NEW met2 ( 1580330 3512100 ) ( 1581710 * )
NEW met2 ( 1581710 3512100 ) ( * 3517980 0 )
NEW met1 ( 1823210 2716430 ) M1M2_PR
NEW met1 ( 1580330 2716430 ) M1M2_PR ;
- analog_io[13] ( PIN analog_io[13] ) ( dut_top V1_REF_ADC ) + USE SIGNAL
+ ROUTED met2 ( 1929010 2699260 0 ) ( * 2716090 )
NEW met2 ( 1256030 2716090 ) ( * 3512100 )
NEW met2 ( 1256030 3512100 ) ( 1257410 * )
NEW met2 ( 1257410 3512100 ) ( * 3517980 0 )
NEW met1 ( 1256030 2716090 ) ( 1929010 * )
NEW met1 ( 1256030 2716090 ) M1M2_PR
NEW met1 ( 1929010 2716090 ) M1M2_PR ;
- analog_io[14] ( PIN analog_io[14] ) ( dut_top V2_REF_ADC ) + USE SIGNAL
+ ROUTED met2 ( 2034810 2699260 0 ) ( * 2715750 )
NEW met1 ( 931730 2715750 ) ( 2034810 * )
NEW met2 ( 931730 2715750 ) ( * 3415500 )
NEW met2 ( 931730 3415500 ) ( 932650 * )
NEW met2 ( 932650 3415500 ) ( * 3517980 0 )
NEW met1 ( 2034810 2715750 ) M1M2_PR
NEW met1 ( 931730 2715750 ) M1M2_PR ;
- analog_io[15] ( PIN analog_io[15] ) ( dut_top REF_CSA ) + USE SIGNAL
+ ROUTED met2 ( 2140610 2699260 0 ) ( * 2715410 )
NEW met2 ( 607430 2715410 ) ( * 3415500 )
NEW met2 ( 607430 3415500 ) ( 608350 * )
NEW met2 ( 608350 3415500 ) ( * 3517980 0 )
NEW met1 ( 607430 2715410 ) ( 2140610 * )
NEW met1 ( 607430 2715410 ) M1M2_PR
NEW met1 ( 2140610 2715410 ) M1M2_PR ;
- analog_io[16] ( PIN analog_io[16] ) ( dut_top VDD_PRE ) + USE SIGNAL
+ ROUTED met2 ( 2246410 2699260 0 ) ( * 2715070 )
NEW met2 ( 283130 2715070 ) ( * 3415500 )
NEW met2 ( 283130 3415500 ) ( 284050 * )
NEW met2 ( 284050 3415500 ) ( * 3517980 0 )
NEW met1 ( 283130 2715070 ) ( 2246410 * )
NEW met1 ( 283130 2715070 ) M1M2_PR
NEW met1 ( 2246410 2715070 ) M1M2_PR ;
- analog_io[17] ( PIN analog_io[17] ) ( dut_top SL0 ) + USE SIGNAL
+ ROUTED met3 ( 1380 3486700 0 ) ( 17250 * )
NEW met2 ( 17250 992970 ) ( * 3486700 )
NEW met2 ( 2233990 992970 ) ( * 1000500 )
NEW met2 ( 2233990 1000500 ) ( * 1000620 0 )
NEW met1 ( 17250 992970 ) ( 2233990 * )
NEW met1 ( 17250 992970 ) M1M2_PR
NEW met2 ( 17250 3486700 ) M2M3_PR
NEW met1 ( 2233990 992970 ) M1M2_PR ;
- analog_io[18] ( PIN analog_io[18] ) ( dut_top WL0 ) + USE SIGNAL
+ ROUTED met3 ( 1380 3225580 0 ) ( 17710 * )
NEW met2 ( 17710 993310 ) ( * 3225580 )
NEW met2 ( 2251010 993310 ) ( * 1000500 )
NEW met2 ( 2251010 1000500 ) ( * 1000620 0 )
NEW met1 ( 17710 993310 ) ( 2251010 * )
NEW met1 ( 17710 993310 ) M1M2_PR
NEW met1 ( 2251010 993310 ) M1M2_PR
NEW met2 ( 17710 3225580 ) M2M3_PR ;
- analog_io[19] ( PIN analog_io[19] ) ( dut_top BL0 ) + USE SIGNAL
+ ROUTED met3 ( 1380 2965140 0 ) ( 18170 * )
NEW met2 ( 18170 993650 ) ( * 2965140 )
NEW met1 ( 18170 993650 ) ( 2268030 * )
NEW met2 ( 2268030 993650 ) ( * 1000500 )
NEW met2 ( 2268030 1000500 ) ( * 1000620 0 )
NEW met1 ( 18170 993650 ) M1M2_PR
NEW met2 ( 18170 2965140 ) M2M3_PR
NEW met1 ( 2268030 993650 ) M1M2_PR ;
- analog_io[1] ( PIN analog_io[1] ) ( dut_top V2_WL ) + USE SIGNAL
+ ROUTED met2 ( 2900070 1692860 ) ( * 1697110 )
NEW met3 ( 2900070 1692860 ) ( 2917780 * 0 )
NEW met2 ( 659410 2699260 0 ) ( * 2712690 )
NEW met1 ( 659410 2712690 ) ( 2563350 * )
NEW met2 ( 2563350 1697110 ) ( * 2712690 )
NEW met1 ( 2563350 1697110 ) ( 2900070 * )
NEW met1 ( 2900070 1697110 ) M1M2_PR
NEW met2 ( 2900070 1692860 ) M2M3_PR
NEW met1 ( 659410 2712690 ) M1M2_PR
NEW met1 ( 2563350 2712690 ) M1M2_PR
NEW met1 ( 2563350 1697110 ) M1M2_PR ;
- analog_io[20] ( PIN analog_io[20] ) ( dut_top CSA[0] ) ( dut_top ADC_OUT_OBS[0] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2704020 0 ) ( 15410 * )
NEW met2 ( 15410 2698070 ) ( * 2704020 )
NEW met2 ( 2311730 1048220 ) ( * 2473500 )
NEW met2 ( 2311730 2473500 ) ( * 2698070 )
NEW met1 ( 15410 2698070 ) ( 2311730 * )
NEW met3 ( 2299540 1048220 0 ) ( 2311730 * )
NEW met3 ( 2299540 2473500 0 ) ( 2311730 * )
NEW met2 ( 15410 2704020 ) M2M3_PR
NEW met1 ( 15410 2698070 ) M1M2_PR
NEW met1 ( 2311730 2698070 ) M1M2_PR
NEW met2 ( 2311730 1048220 ) M2M3_PR
NEW met2 ( 2311730 2473500 ) M2M3_PR ;
- analog_io[21] ( PIN analog_io[21] ) ( dut_top ADC_OUT_OBS[1] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2443580 0 ) ( 19090 * )
NEW met2 ( 19090 2443580 ) ( * 2691610 )
NEW met2 ( 2312190 2562580 ) ( * 2691610 )
NEW met1 ( 19090 2691610 ) ( 2312190 * )
NEW met3 ( 2299540 2562580 0 ) ( 2312190 * )
NEW met2 ( 19090 2443580 ) M2M3_PR
NEW met1 ( 19090 2691610 ) M1M2_PR
NEW met1 ( 2312190 2691610 ) M1M2_PR
NEW met2 ( 2312190 2562580 ) M2M3_PR ;
- analog_io[22] ( PIN analog_io[22] ) ( dut_top ADC_OUT_OBS[2] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2183140 0 ) ( 18630 * )
NEW met2 ( 18630 2183140 ) ( * 2691270 )
NEW met2 ( 2312650 2651660 ) ( * 2691270 )
NEW met1 ( 18630 2691270 ) ( 2312650 * )
NEW met3 ( 2299540 2651660 0 ) ( 2312650 * )
NEW met1 ( 18630 2691270 ) M1M2_PR
NEW met1 ( 2312650 2691270 ) M1M2_PR
NEW met2 ( 18630 2183140 ) M2M3_PR
NEW met2 ( 2312650 2651660 ) M2M3_PR ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) ( dut_top V3_WL ) + USE SIGNAL
+ ROUTED met2 ( 765210 2699260 0 ) ( * 2713030 )
NEW met2 ( 2900990 1958740 ) ( * 1959590 )
NEW met3 ( 2900990 1958740 ) ( 2917780 * 0 )
NEW met1 ( 765210 2713030 ) ( 2577150 * )
NEW met1 ( 2577150 1959590 ) ( 2900990 * )
NEW met2 ( 2577150 1959590 ) ( * 2713030 )
NEW met1 ( 765210 2713030 ) M1M2_PR
NEW met1 ( 2900990 1959590 ) M1M2_PR
NEW met2 ( 2900990 1958740 ) M2M3_PR
NEW met1 ( 2577150 1959590 ) M1M2_PR
NEW met1 ( 2577150 2713030 ) M1M2_PR ;
- analog_io[3] ( PIN analog_io[3] ) ( dut_top V4_WL ) + USE SIGNAL
+ ROUTED met2 ( 871010 2699260 0 ) ( * 2713370 )
NEW met2 ( 2900990 2223940 ) ( * 2228530 )
NEW met3 ( 2900990 2223940 ) ( 2917780 * 0 )
NEW met1 ( 871010 2713370 ) ( 2590950 * )
NEW met1 ( 2590950 2228530 ) ( 2900990 * )
NEW met2 ( 2590950 2228530 ) ( * 2713370 )
NEW met1 ( 871010 2713370 ) M1M2_PR
NEW met1 ( 2900990 2228530 ) M1M2_PR
NEW met2 ( 2900990 2223940 ) M2M3_PR
NEW met1 ( 2590950 2228530 ) M1M2_PR
NEW met1 ( 2590950 2713370 ) M1M2_PR ;
- analog_io[4] ( PIN analog_io[4] ) ( dut_top V1_SL ) + USE SIGNAL
+ ROUTED met2 ( 976810 2699260 0 ) ( * 2712350 )
NEW met3 ( 2901450 2489820 ) ( 2917780 * 0 )
NEW met2 ( 2901450 2489820 ) ( * 2712350 )
NEW met1 ( 976810 2712350 ) ( 2901450 * )
NEW met1 ( 976810 2712350 ) M1M2_PR
NEW met1 ( 2901450 2712350 ) M1M2_PR
NEW met2 ( 2901450 2489820 ) M2M3_PR ;
- analog_io[5] ( PIN analog_io[5] ) ( dut_top V2_SL ) + USE SIGNAL
+ ROUTED met2 ( 1080770 2699260 ) ( 1082610 * 0 )
NEW met2 ( 1080770 2699260 ) ( * 2753150 )
NEW met2 ( 2900990 2753150 ) ( * 2755700 )
NEW met3 ( 2900990 2755700 ) ( 2917780 * 0 )
NEW met1 ( 1080770 2753150 ) ( 2900990 * )
NEW met1 ( 1080770 2753150 ) M1M2_PR
NEW met1 ( 2900990 2753150 ) M1M2_PR
NEW met2 ( 2900990 2755700 ) M2M3_PR ;
- analog_io[6] ( PIN analog_io[6] ) ( dut_top V3_SL ) + USE SIGNAL
+ ROUTED met2 ( 1187030 2699260 ) ( 1188410 * 0 )
NEW met2 ( 2900990 3015630 ) ( * 3020900 )
NEW met3 ( 2900990 3020900 ) ( 2917780 * 0 )
NEW met2 ( 1187030 2699260 ) ( * 3015630 )
NEW met1 ( 1187030 3015630 ) ( 2900990 * )
NEW met1 ( 1187030 3015630 ) M1M2_PR
NEW met1 ( 2900990 3015630 ) M1M2_PR
NEW met2 ( 2900990 3020900 ) M2M3_PR ;
- analog_io[7] ( PIN analog_io[7] ) ( dut_top V4_SL ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3284570 ) ( * 3286780 )
NEW met3 ( 2900990 3286780 ) ( 2917780 * 0 )
NEW met2 ( 1292370 2699260 ) ( 1294210 * 0 )
NEW met1 ( 1290530 3284570 ) ( 2900990 * )
NEW met2 ( 1290530 2787600 ) ( 1292370 * )
NEW met2 ( 1292370 2699260 ) ( * 2787600 )
NEW met2 ( 1290530 2787600 ) ( * 3284570 )
NEW met1 ( 2900990 3284570 ) M1M2_PR
NEW met2 ( 2900990 3286780 ) M2M3_PR
NEW met1 ( 1290530 3284570 ) M1M2_PR ;
- analog_io[8] ( PIN analog_io[8] ) ( dut_top V1_BL ) + USE SIGNAL
+ ROUTED met2 ( 1398170 2699260 ) ( 1400010 * 0 )
NEW met1 ( 1394030 3501490 ) ( 2879370 * )
NEW met2 ( 1394030 2787600 ) ( 1398170 * )
NEW met2 ( 1398170 2699260 ) ( * 2787600 )
NEW met2 ( 1394030 2787600 ) ( * 3501490 )
NEW met2 ( 2879370 3501490 ) ( * 3517980 0 )
NEW met1 ( 1394030 3501490 ) M1M2_PR
NEW met1 ( 2879370 3501490 ) M1M2_PR ;
- analog_io[9] ( PIN analog_io[9] ) ( dut_top V2_BL ) + USE SIGNAL
+ ROUTED met2 ( 1504430 2699260 ) ( 1505810 * 0 )
NEW met1 ( 1504430 3501830 ) ( 2555070 * )
NEW met2 ( 1504430 2699260 ) ( * 3501830 )
NEW met2 ( 2555070 3501830 ) ( * 3517980 0 )
NEW met1 ( 1504430 3501830 ) M1M2_PR
NEW met1 ( 2555070 3501830 ) M1M2_PR ;
- csa_wire\[10\] ( dut_top CSA[10] ) + USE SIGNAL ;
- csa_wire\[11\] ( dut_top CSA[11] ) + USE SIGNAL ;
- csa_wire\[12\] ( dut_top CSA[12] ) + USE SIGNAL ;
- csa_wire\[13\] ( dut_top CSA[13] ) + USE SIGNAL ;
- csa_wire\[14\] ( dut_top CSA[14] ) + USE SIGNAL ;
- csa_wire\[15\] ( dut_top CSA[15] ) + USE SIGNAL ;
- csa_wire\[1\] ( dut_top CSA[1] ) + USE SIGNAL ;
- csa_wire\[2\] ( dut_top CSA[2] ) + USE SIGNAL ;
- csa_wire\[3\] ( dut_top CSA[3] ) + USE SIGNAL ;
- csa_wire\[4\] ( dut_top CSA[4] ) + USE SIGNAL ;
- csa_wire\[5\] ( dut_top CSA[5] ) + USE SIGNAL ;
- csa_wire\[6\] ( dut_top CSA[6] ) + USE SIGNAL ;
- csa_wire\[7\] ( dut_top CSA[7] ) + USE SIGNAL ;
- csa_wire\[8\] ( dut_top CSA[8] ) + USE SIGNAL ;
- csa_wire\[9\] ( dut_top CSA[9] ) + USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) + USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) + USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) + USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) + USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) + USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) + USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) + USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) + USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) + USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) + USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) + USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) + USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) + USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) + USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) + USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) + USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) + USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) + USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) + USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) + USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) + USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) + USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) + USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) + USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) + USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) + USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) + USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) + USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) + USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) + USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) + USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) + USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) + USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) + USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) + USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) + USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) + USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) + USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) + USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) + USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) + USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) + USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) + USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) + USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) + USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) + USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) + USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) + USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) + USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) + USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) + USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) + USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) + USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) + USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) + USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) + USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) + USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) + USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) + USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) + USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) + USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) + USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) + USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) + USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) + USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) + USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) + USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) + USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) + USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) + USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) + USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) + USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) + USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) + USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) + USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) + USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) + USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) + USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) + USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) + USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) + USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) + USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) ( dut_top enable_IM ) + USE SIGNAL
+ ROUTED met2 ( 531530 1000620 ) ( 531990 * 0 )
NEW met2 ( 531530 43690 ) ( * 1000620 )
NEW met1 ( 531530 43690 ) ( 629510 * )
NEW met2 ( 629510 1700 0 ) ( * 43690 )
NEW met1 ( 531530 43690 ) M1M2_PR
NEW met1 ( 629510 43690 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) + USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) + USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) + USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) + USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) + USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) + USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) + USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) + USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) + USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) + USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) + USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) + USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) + USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) + USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) + USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) + USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) + USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) + USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) + USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) + USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) + USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) + USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) + USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) + USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) + USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) + USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) + USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) + USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) + USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) + USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) + USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) + USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) + USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) + USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) + USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) + USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) + USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) + USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) + USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) + USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) + USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) + USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) + USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) + USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) + USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) + USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) + USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) + USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) + USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) + USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) + USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) + USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) + USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) + USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) + USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) + USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) + USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) + USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) + USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) + USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) + USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) + USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) + USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) + USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) + USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) + USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) + USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) + USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) + USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) + USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) + USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) + USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) + USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) + USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) + USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) + USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) + USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) + USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) + USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) + USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) + USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) + USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) + USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) + USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) + USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) + USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) + USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) + USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) + USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) + USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) + USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) + USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) + USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) + USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) + USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) + USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) + USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) + USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) + USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) + USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) + USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) + USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) + USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) + USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) + USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) + USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) + USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) + USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) + USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) + USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) + USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) + USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) + USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) + USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) + USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) + USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) + USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) + USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) + USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) + USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) + USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) + USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) + USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) + USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) + USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) + USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) + USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) + USE SIGNAL ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) + USE SIGNAL ;
- user_irq[1] ( PIN user_irq[1] ) + USE SIGNAL ;
- user_irq[2] ( PIN user_irq[2] ) + USE SIGNAL ;
- vccd1 ( PIN vccd1 ) ( dut_top vccd1 ) + USE POWER ;
- vssd1 ( PIN vssd1 ) ( dut_top vssd1 ) + USE GROUND ;
- wb_clk_i ( PIN wb_clk_i ) ( dut_top clk ) + USE SIGNAL
+ ROUTED met2 ( 2990 1700 0 ) ( * 37910 )
NEW met1 ( 2990 37910 ) ( 545330 * )
NEW met2 ( 545330 1000620 ) ( 549010 * 0 )
NEW met2 ( 545330 37910 ) ( * 1000620 )
NEW met1 ( 2990 37910 ) M1M2_PR
NEW met1 ( 545330 37910 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( dut_top rst ) + USE SIGNAL
+ ROUTED met2 ( 8510 1700 0 ) ( * 45050 )
NEW met2 ( 566030 45050 ) ( * 1000500 )
NEW met2 ( 566030 1000500 ) ( * 1000620 0 )
NEW met1 ( 8510 45050 ) ( 566030 * )
NEW met1 ( 8510 45050 ) M1M2_PR
NEW met1 ( 566030 45050 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) + USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( dut_top wishbone_address_bus[0] ) + USE SIGNAL
+ ROUTED met2 ( 593630 1000620 ) ( 600070 * 0 )
NEW met2 ( 593630 44710 ) ( * 1000620 )
NEW met2 ( 38410 1700 0 ) ( * 44710 )
NEW met1 ( 38410 44710 ) ( 593630 * )
NEW met1 ( 593630 44710 ) M1M2_PR
NEW met1 ( 38410 44710 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( dut_top wishbone_address_bus[10] ) + USE SIGNAL
+ ROUTED met2 ( 239430 1700 0 ) ( * 47770 )
NEW met1 ( 239430 47770 ) ( 1104230 * )
NEW met2 ( 1104230 1000620 ) ( 1110670 * 0 )
NEW met2 ( 1104230 47770 ) ( * 1000620 )
NEW met1 ( 239430 47770 ) M1M2_PR
NEW met1 ( 1104230 47770 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( dut_top wishbone_address_bus[11] ) + USE SIGNAL
+ ROUTED met2 ( 1159430 1000620 ) ( 1161730 * 0 )
NEW met2 ( 1159430 47430 ) ( * 1000620 )
NEW met2 ( 256910 1700 0 ) ( * 47430 )
NEW met1 ( 256910 47430 ) ( 1159430 * )
NEW met1 ( 1159430 47430 ) M1M2_PR
NEW met1 ( 256910 47430 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( dut_top wishbone_address_bus[12] ) + USE SIGNAL
+ ROUTED met2 ( 274850 1700 0 ) ( * 47090 )
NEW met1 ( 274850 47090 ) ( 1207730 * )
NEW met2 ( 1207730 1000620 ) ( 1212790 * 0 )
NEW met2 ( 1207730 47090 ) ( * 1000620 )
NEW met1 ( 274850 47090 ) M1M2_PR
NEW met1 ( 1207730 47090 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( dut_top wishbone_address_bus[13] ) + USE SIGNAL
+ ROUTED met2 ( 292330 1700 0 ) ( * 46750 )
NEW met2 ( 1262930 1000620 ) ( 1263850 * 0 )
NEW met2 ( 1262930 46750 ) ( * 1000620 )
NEW met1 ( 292330 46750 ) ( 1262930 * )
NEW met1 ( 292330 46750 ) M1M2_PR
NEW met1 ( 1262930 46750 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( dut_top wishbone_address_bus[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1700 0 ) ( * 23290 )
NEW met1 ( 310270 23290 ) ( 1311230 * )
NEW met2 ( 1311230 1000620 ) ( 1314910 * 0 )
NEW met2 ( 1311230 23290 ) ( * 1000620 )
NEW met1 ( 310270 23290 ) M1M2_PR
NEW met1 ( 1311230 23290 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( dut_top wishbone_address_bus[15] ) + USE SIGNAL
+ ROUTED met2 ( 1359530 1000620 ) ( 1365970 * 0 )
NEW met2 ( 1359530 23630 ) ( * 1000620 )
NEW met2 ( 327750 1700 0 ) ( * 23630 )
NEW met1 ( 327750 23630 ) ( 1359530 * )
NEW met1 ( 1359530 23630 ) M1M2_PR
NEW met1 ( 327750 23630 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( dut_top wishbone_address_bus[16] ) + USE SIGNAL
+ ROUTED met2 ( 345690 1700 0 ) ( * 27370 )
NEW met1 ( 345690 27370 ) ( 1414730 * )
NEW met2 ( 1414730 1000620 ) ( 1417030 * 0 )
NEW met2 ( 1414730 27370 ) ( * 1000620 )
NEW met1 ( 345690 27370 ) M1M2_PR
NEW met1 ( 1414730 27370 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( dut_top wishbone_address_bus[17] ) + USE SIGNAL
+ ROUTED met2 ( 1463030 1000620 ) ( 1468090 * 0 )
NEW met2 ( 1463030 27030 ) ( * 1000620 )
NEW met2 ( 363170 1700 0 ) ( * 27030 )
NEW met1 ( 363170 27030 ) ( 1463030 * )
NEW met1 ( 1463030 27030 ) M1M2_PR
NEW met1 ( 363170 27030 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( dut_top wishbone_address_bus[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1700 0 ) ( * 26690 )
NEW met1 ( 381110 26690 ) ( 1518230 * )
NEW met2 ( 1518230 1000620 ) ( 1519150 * 0 )
NEW met2 ( 1518230 26690 ) ( * 1000620 )
NEW met1 ( 381110 26690 ) M1M2_PR
NEW met1 ( 1518230 26690 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( dut_top wishbone_address_bus[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 1700 0 ) ( * 26350 )
NEW met2 ( 1566530 1000620 ) ( 1570210 * 0 )
NEW met2 ( 1566530 26350 ) ( * 1000620 )
NEW met1 ( 398590 26350 ) ( 1566530 * )
NEW met1 ( 398590 26350 ) M1M2_PR
NEW met1 ( 1566530 26350 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( dut_top wishbone_address_bus[1] ) + USE SIGNAL
+ ROUTED met2 ( 61870 1700 0 ) ( * 22950 )
NEW met1 ( 61870 22950 ) ( 648830 * )
NEW met2 ( 648830 1000620 ) ( 651130 * 0 )
NEW met2 ( 648830 22950 ) ( * 1000620 )
NEW met1 ( 61870 22950 ) M1M2_PR
NEW met1 ( 648830 22950 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( dut_top wishbone_address_bus[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 1700 0 ) ( * 26010 )
NEW met1 ( 416530 26010 ) ( 1614830 * )
NEW met2 ( 1614830 1000620 ) ( 1621270 * 0 )
NEW met2 ( 1614830 26010 ) ( * 1000620 )
NEW met1 ( 416530 26010 ) M1M2_PR
NEW met1 ( 1614830 26010 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( dut_top wishbone_address_bus[21] ) + USE SIGNAL
+ ROUTED met2 ( 1670030 1000620 ) ( 1672330 * 0 )
NEW met2 ( 1670030 25670 ) ( * 1000620 )
NEW met2 ( 434470 1700 0 ) ( * 25670 )
NEW met1 ( 434470 25670 ) ( 1670030 * )
NEW met1 ( 1670030 25670 ) M1M2_PR
NEW met1 ( 434470 25670 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( dut_top wishbone_address_bus[22] ) + USE SIGNAL
+ ROUTED met2 ( 451950 1700 0 ) ( * 25330 )
NEW met1 ( 451950 25330 ) ( 1718330 * )
NEW met2 ( 1718330 1000620 ) ( 1723390 * 0 )
NEW met2 ( 1718330 25330 ) ( * 1000620 )
NEW met1 ( 451950 25330 ) M1M2_PR
NEW met1 ( 1718330 25330 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( dut_top wishbone_address_bus[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1700 0 ) ( * 24990 )
NEW met1 ( 469890 24990 ) ( 1773530 * )
NEW met2 ( 1773530 1000620 ) ( 1774450 * 0 )
NEW met2 ( 1773530 24990 ) ( * 1000620 )
NEW met1 ( 469890 24990 ) M1M2_PR
NEW met1 ( 1773530 24990 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( dut_top wishbone_address_bus[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 1700 0 ) ( * 24650 )
NEW met2 ( 1821830 1000620 ) ( 1825510 * 0 )
NEW met2 ( 1821830 24650 ) ( * 1000620 )
NEW met1 ( 487370 24650 ) ( 1821830 * )
NEW met1 ( 487370 24650 ) M1M2_PR
NEW met1 ( 1821830 24650 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( dut_top wishbone_address_bus[25] ) + USE SIGNAL
+ ROUTED met2 ( 505310 1700 0 ) ( * 45730 )
NEW met1 ( 505310 45730 ) ( 1870130 * )
NEW met2 ( 1870130 1000620 ) ( 1876570 * 0 )
NEW met2 ( 1870130 45730 ) ( * 1000620 )
NEW met1 ( 505310 45730 ) M1M2_PR
NEW met1 ( 1870130 45730 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( dut_top wishbone_address_bus[26] ) + USE SIGNAL
+ ROUTED met2 ( 1925330 1000620 ) ( 1927630 * 0 )
NEW met2 ( 1925330 45390 ) ( * 1000620 )
NEW met2 ( 522790 1700 0 ) ( * 45390 )
NEW met1 ( 522790 45390 ) ( 1925330 * )
NEW met1 ( 1925330 45390 ) M1M2_PR
NEW met1 ( 522790 45390 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( dut_top wishbone_address_bus[27] ) + USE SIGNAL
+ ROUTED met2 ( 540730 1700 0 ) ( * 44540 )
NEW met3 ( 540730 44540 ) ( 1973630 * )
NEW met2 ( 1973630 1000620 ) ( 1978690 * 0 )
NEW met2 ( 1973630 44540 ) ( * 1000620 )
NEW met2 ( 540730 44540 ) M2M3_PR
NEW met2 ( 1973630 44540 ) M2M3_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( dut_top wishbone_address_bus[28] ) + USE SIGNAL
+ ROUTED met2 ( 2028830 1000620 ) ( 2029750 * 0 )
NEW met2 ( 2028830 24310 ) ( * 1000620 )
NEW met2 ( 558210 1700 0 ) ( * 24310 )
NEW met1 ( 558210 24310 ) ( 2028830 * )
NEW met1 ( 2028830 24310 ) M1M2_PR
NEW met1 ( 558210 24310 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( dut_top wishbone_address_bus[29] ) + USE SIGNAL
+ ROUTED met2 ( 576150 1700 0 ) ( * 23970 )
NEW met1 ( 576150 23970 ) ( 2077130 * )
NEW met2 ( 2077130 1000620 ) ( 2080810 * 0 )
NEW met2 ( 2077130 23970 ) ( * 1000620 )
NEW met1 ( 576150 23970 ) M1M2_PR
NEW met1 ( 2077130 23970 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( dut_top wishbone_address_bus[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 1700 0 ) ( * 30090 )
NEW met2 ( 697130 1000620 ) ( 702190 * 0 )
NEW met2 ( 697130 30090 ) ( * 1000620 )
NEW met1 ( 85330 30090 ) ( 697130 * )
NEW met1 ( 85330 30090 ) M1M2_PR
NEW met1 ( 697130 30090 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( dut_top wishbone_address_bus[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1700 0 ) ( * 24140 )
NEW met2 ( 2125430 1000620 ) ( 2131870 * 0 )
NEW met2 ( 2125430 24140 ) ( * 1000620 )
NEW met3 ( 594090 24140 ) ( 2125430 * )
NEW met2 ( 594090 24140 ) M2M3_PR
NEW met2 ( 2125430 24140 ) M2M3_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( dut_top wishbone_address_bus[31] ) + USE SIGNAL
+ ROUTED met2 ( 611570 1700 0 ) ( * 30940 )
NEW met3 ( 611570 30940 ) ( 2180630 * )
NEW met2 ( 2180630 1000620 ) ( 2182930 * 0 )
NEW met2 ( 2180630 30940 ) ( * 1000620 )
NEW met2 ( 611570 30940 ) M2M3_PR
NEW met2 ( 2180630 30940 ) M2M3_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( dut_top wishbone_address_bus[3] ) + USE SIGNAL
+ ROUTED met2 ( 109250 1700 0 ) ( * 30430 )
NEW met1 ( 109250 30430 ) ( 752330 * )
NEW met2 ( 752330 1000620 ) ( 753250 * 0 )
NEW met2 ( 752330 30430 ) ( * 1000620 )
NEW met1 ( 109250 30430 ) M1M2_PR
NEW met1 ( 752330 30430 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( dut_top wishbone_address_bus[4] ) + USE SIGNAL
+ ROUTED met2 ( 800630 1000620 ) ( 804310 * 0 )
NEW met2 ( 800630 34170 ) ( * 1000620 )
NEW met2 ( 132710 1700 0 ) ( * 34170 )
NEW met1 ( 132710 34170 ) ( 800630 * )
NEW met1 ( 800630 34170 ) M1M2_PR
NEW met1 ( 132710 34170 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( dut_top wishbone_address_bus[5] ) + USE SIGNAL
+ ROUTED met2 ( 150650 1700 0 ) ( * 33830 )
NEW met1 ( 150650 33830 ) ( 848930 * )
NEW met2 ( 848930 1000620 ) ( 855370 * 0 )
NEW met2 ( 848930 33830 ) ( * 1000620 )
NEW met1 ( 150650 33830 ) M1M2_PR
NEW met1 ( 848930 33830 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( dut_top wishbone_address_bus[6] ) + USE SIGNAL
+ ROUTED met2 ( 168130 1700 0 ) ( * 33490 )
NEW met1 ( 168130 33490 ) ( 904130 * )
NEW met2 ( 904130 1000620 ) ( 906430 * 0 )
NEW met2 ( 904130 33490 ) ( * 1000620 )
NEW met1 ( 168130 33490 ) M1M2_PR
NEW met1 ( 904130 33490 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( dut_top wishbone_address_bus[7] ) + USE SIGNAL
+ ROUTED met2 ( 186070 1700 0 ) ( * 33150 )
NEW met2 ( 952430 1000620 ) ( 957490 * 0 )
NEW met2 ( 952430 33150 ) ( * 1000620 )
NEW met1 ( 186070 33150 ) ( 952430 * )
NEW met1 ( 186070 33150 ) M1M2_PR
NEW met1 ( 952430 33150 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( dut_top wishbone_address_bus[8] ) + USE SIGNAL
+ ROUTED met2 ( 203550 1700 0 ) ( * 32810 )
NEW met1 ( 203550 32810 ) ( 1007630 * )
NEW met2 ( 1007630 1000620 ) ( 1008550 * 0 )
NEW met2 ( 1007630 32810 ) ( * 1000620 )
NEW met1 ( 203550 32810 ) M1M2_PR
NEW met1 ( 1007630 32810 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( dut_top wishbone_address_bus[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 1700 0 ) ( * 32470 )
NEW met2 ( 1055930 1000620 ) ( 1059610 * 0 )
NEW met2 ( 1055930 32470 ) ( * 1000620 )
NEW met1 ( 221490 32470 ) ( 1055930 * )
NEW met1 ( 221490 32470 ) M1M2_PR
NEW met1 ( 1055930 32470 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( dut_top wishbone_data_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 43930 1700 0 ) ( * 29750 )
NEW met1 ( 43930 29750 ) ( 614330 * )
NEW met2 ( 614330 1000620 ) ( 617090 * 0 )
NEW met2 ( 614330 29750 ) ( * 1000620 )
NEW met1 ( 43930 29750 ) M1M2_PR
NEW met1 ( 614330 29750 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( dut_top wishbone_data_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 244950 1700 0 ) ( * 32130 )
NEW met1 ( 244950 32130 ) ( 1124930 * )
NEW met2 ( 1124930 1000620 ) ( 1127690 * 0 )
NEW met2 ( 1124930 32130 ) ( * 1000620 )
NEW met1 ( 244950 32130 ) M1M2_PR
NEW met1 ( 1124930 32130 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( dut_top wishbone_data_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 1173230 1000620 ) ( 1178750 * 0 )
NEW met2 ( 1173230 31790 ) ( * 1000620 )
NEW met2 ( 262890 1700 0 ) ( * 31790 )
NEW met1 ( 262890 31790 ) ( 1173230 * )
NEW met1 ( 1173230 31790 ) M1M2_PR
NEW met1 ( 262890 31790 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( dut_top wishbone_data_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 1700 0 ) ( * 31450 )
NEW met1 ( 280370 31450 ) ( 1228430 * )
NEW met2 ( 1228430 1000620 ) ( 1229810 * 0 )
NEW met2 ( 1228430 31450 ) ( * 1000620 )
NEW met1 ( 280370 31450 ) M1M2_PR
NEW met1 ( 1228430 31450 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( dut_top wishbone_data_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 298310 1700 0 ) ( * 31110 )
NEW met2 ( 1276730 1000620 ) ( 1280870 * 0 )
NEW met2 ( 1276730 31110 ) ( * 1000620 )
NEW met1 ( 298310 31110 ) ( 1276730 * )
NEW met1 ( 298310 31110 ) M1M2_PR
NEW met1 ( 1276730 31110 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( dut_top wishbone_data_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 316250 1700 0 ) ( * 30770 )
NEW met1 ( 316250 30770 ) ( 1331930 * )
NEW met2 ( 1331930 30770 ) ( * 1000500 )
NEW met2 ( 1331930 1000500 ) ( * 1000620 0 )
NEW met1 ( 316250 30770 ) M1M2_PR
NEW met1 ( 1331930 30770 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( dut_top wishbone_data_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 1000620 ) ( 1382990 * 0 )
NEW met2 ( 1380230 46410 ) ( * 1000620 )
NEW met2 ( 333730 1700 0 ) ( * 46410 )
NEW met1 ( 333730 46410 ) ( 1380230 * )
NEW met1 ( 1380230 46410 ) M1M2_PR
NEW met1 ( 333730 46410 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( dut_top wishbone_data_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 351670 1700 0 ) ( * 46070 )
NEW met1 ( 351670 46070 ) ( 1428530 * )
NEW met2 ( 1428530 1000620 ) ( 1434050 * 0 )
NEW met2 ( 1428530 46070 ) ( * 1000620 )
NEW met1 ( 351670 46070 ) M1M2_PR
NEW met1 ( 1428530 46070 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( dut_top wishbone_data_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 369150 1700 0 ) ( * 37570 )
NEW met1 ( 369150 37570 ) ( 1483730 * )
NEW met2 ( 1483730 1000620 ) ( 1485110 * 0 )
NEW met2 ( 1483730 37570 ) ( * 1000620 )
NEW met1 ( 369150 37570 ) M1M2_PR
NEW met1 ( 1483730 37570 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( dut_top wishbone_data_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 1700 0 ) ( * 41310 )
NEW met2 ( 1532030 1000620 ) ( 1536170 * 0 )
NEW met2 ( 1532030 41310 ) ( * 1000620 )
NEW met1 ( 387090 41310 ) ( 1532030 * )
NEW met1 ( 387090 41310 ) M1M2_PR
NEW met1 ( 1532030 41310 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( dut_top wishbone_data_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 404570 1700 0 ) ( * 40970 )
NEW met1 ( 404570 40970 ) ( 1587230 * )
NEW met2 ( 1587230 40970 ) ( * 1000500 )
NEW met2 ( 1587230 1000500 ) ( * 1000620 0 )
NEW met1 ( 404570 40970 ) M1M2_PR
NEW met1 ( 1587230 40970 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( dut_top wishbone_data_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 662630 1000620 ) ( 668150 * 0 )
NEW met2 ( 662630 36890 ) ( * 1000620 )
NEW met2 ( 67850 1700 0 ) ( * 36890 )
NEW met1 ( 67850 36890 ) ( 662630 * )
NEW met1 ( 662630 36890 ) M1M2_PR
NEW met1 ( 67850 36890 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( dut_top wishbone_data_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 1635530 1000620 ) ( 1638290 * 0 )
NEW met2 ( 1635530 40630 ) ( * 1000620 )
NEW met2 ( 422510 1700 0 ) ( * 40630 )
NEW met1 ( 422510 40630 ) ( 1635530 * )
NEW met1 ( 1635530 40630 ) M1M2_PR
NEW met1 ( 422510 40630 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( dut_top wishbone_data_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 439990 1700 0 ) ( * 40290 )
NEW met1 ( 439990 40290 ) ( 1683830 * )
NEW met2 ( 1683830 1000620 ) ( 1689350 * 0 )
NEW met2 ( 1683830 40290 ) ( * 1000620 )
NEW met1 ( 439990 40290 ) M1M2_PR
NEW met1 ( 1683830 40290 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( dut_top wishbone_data_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 1739030 1000620 ) ( 1740410 * 0 )
NEW met2 ( 1739030 39950 ) ( * 1000620 )
NEW met2 ( 457930 1700 0 ) ( * 39950 )
NEW met1 ( 457930 39950 ) ( 1739030 * )
NEW met1 ( 1739030 39950 ) M1M2_PR
NEW met1 ( 457930 39950 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( dut_top wishbone_data_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 475870 1700 0 ) ( * 39610 )
NEW met1 ( 475870 39610 ) ( 1787330 * )
NEW met2 ( 1787330 1000620 ) ( 1791470 * 0 )
NEW met2 ( 1787330 39610 ) ( * 1000620 )
NEW met1 ( 475870 39610 ) M1M2_PR
NEW met1 ( 1787330 39610 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( dut_top wishbone_data_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 493350 1700 0 ) ( * 39270 )
NEW met2 ( 1842530 39270 ) ( * 1000500 )
NEW met2 ( 1842530 1000500 ) ( * 1000620 0 )
NEW met1 ( 493350 39270 ) ( 1842530 * )
NEW met1 ( 493350 39270 ) M1M2_PR
NEW met1 ( 1842530 39270 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( dut_top wishbone_data_in[25] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1700 0 ) ( * 38930 )
NEW met1 ( 511290 38930 ) ( 1890830 * )
NEW met2 ( 1890830 1000620 ) ( 1893590 * 0 )
NEW met2 ( 1890830 38930 ) ( * 1000620 )
NEW met1 ( 511290 38930 ) M1M2_PR
NEW met1 ( 1890830 38930 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( dut_top wishbone_data_in[26] ) + USE SIGNAL
+ ROUTED met2 ( 1939130 1000620 ) ( 1944650 * 0 )
NEW met2 ( 1939130 38590 ) ( * 1000620 )
NEW met2 ( 528770 1700 0 ) ( * 38590 )
NEW met1 ( 528770 38590 ) ( 1939130 * )
NEW met1 ( 1939130 38590 ) M1M2_PR
NEW met1 ( 528770 38590 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( dut_top wishbone_data_in[27] ) + USE SIGNAL
+ ROUTED met2 ( 546710 1700 0 ) ( * 38250 )
NEW met1 ( 546710 38250 ) ( 1994330 * )
NEW met2 ( 1994330 1000620 ) ( 1995710 * 0 )
NEW met2 ( 1994330 38250 ) ( * 1000620 )
NEW met1 ( 546710 38250 ) M1M2_PR
NEW met1 ( 1994330 38250 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( dut_top wishbone_data_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 2042630 1000620 ) ( 2046770 * 0 )
NEW met2 ( 2042630 37910 ) ( * 1000620 )
NEW met2 ( 564190 1700 0 ) ( * 37910 )
NEW met1 ( 564190 37910 ) ( 2042630 * )
NEW met1 ( 2042630 37910 ) M1M2_PR
NEW met1 ( 564190 37910 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( dut_top wishbone_data_in[29] ) + USE SIGNAL
+ ROUTED met2 ( 582130 1700 0 ) ( * 45050 )
NEW met1 ( 582130 45050 ) ( 2097830 * )
NEW met2 ( 2097830 45050 ) ( * 1000500 )
NEW met2 ( 2097830 1000500 ) ( * 1000620 0 )
NEW met1 ( 582130 45050 ) M1M2_PR
NEW met1 ( 2097830 45050 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( dut_top wishbone_data_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 91310 1700 0 ) ( * 37230 )
NEW met1 ( 91310 37230 ) ( 717830 * )
NEW met2 ( 717830 1000620 ) ( 719210 * 0 )
NEW met2 ( 717830 37230 ) ( * 1000620 )
NEW met1 ( 91310 37230 ) M1M2_PR
NEW met1 ( 717830 37230 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( dut_top wishbone_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1700 0 ) ( * 44710 )
NEW met2 ( 2146130 1000620 ) ( 2148890 * 0 )
NEW met2 ( 2146130 44710 ) ( * 1000620 )
NEW met1 ( 599610 44710 ) ( 2146130 * )
NEW met1 ( 599610 44710 ) M1M2_PR
NEW met1 ( 2146130 44710 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( dut_top wishbone_data_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 617550 1700 0 ) ( * 37740 )
NEW met3 ( 617550 37740 ) ( 2194430 * )
NEW met2 ( 2194430 1000620 ) ( 2199950 * 0 )
NEW met2 ( 2194430 37740 ) ( * 1000620 )
NEW met2 ( 617550 37740 ) M2M3_PR
NEW met2 ( 2194430 37740 ) M2M3_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( dut_top wishbone_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 115230 1700 0 ) ( * 44030 )
NEW met2 ( 766130 1000620 ) ( 770270 * 0 )
NEW met2 ( 766130 44030 ) ( * 1000620 )
NEW met1 ( 115230 44030 ) ( 766130 * )
NEW met1 ( 115230 44030 ) M1M2_PR
NEW met1 ( 766130 44030 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( dut_top wishbone_data_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 138690 1700 0 ) ( * 44370 )
NEW met1 ( 138690 44370 ) ( 821330 * )
NEW met2 ( 821330 44370 ) ( * 1000500 )
NEW met2 ( 821330 1000500 ) ( * 1000620 0 )
NEW met1 ( 138690 44370 ) M1M2_PR
NEW met1 ( 821330 44370 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( dut_top wishbone_data_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 869630 1000620 ) ( 872390 * 0 )
NEW met2 ( 869630 48110 ) ( * 1000620 )
NEW met2 ( 156630 1700 0 ) ( * 48110 )
NEW met1 ( 156630 48110 ) ( 869630 * )
NEW met1 ( 869630 48110 ) M1M2_PR
NEW met1 ( 156630 48110 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( dut_top wishbone_data_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 172730 1700 ) ( 174110 * 0 )
NEW met2 ( 172730 1700 ) ( * 53550 )
NEW met1 ( 172730 53550 ) ( 917930 * )
NEW met2 ( 917930 1000620 ) ( 923450 * 0 )
NEW met2 ( 917930 53550 ) ( * 1000620 )
NEW met1 ( 172730 53550 ) M1M2_PR
NEW met1 ( 917930 53550 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( dut_top wishbone_data_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 1700 0 ) ( * 53210 )
NEW met2 ( 973130 1000620 ) ( 974510 * 0 )
NEW met2 ( 973130 53210 ) ( * 1000620 )
NEW met1 ( 192050 53210 ) ( 973130 * )
NEW met1 ( 192050 53210 ) M1M2_PR
NEW met1 ( 973130 53210 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( dut_top wishbone_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 209530 1700 0 ) ( * 52870 )
NEW met1 ( 209530 52870 ) ( 1021430 * )
NEW met2 ( 1021430 1000620 ) ( 1025570 * 0 )
NEW met2 ( 1021430 52870 ) ( * 1000620 )
NEW met1 ( 209530 52870 ) M1M2_PR
NEW met1 ( 1021430 52870 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( dut_top wishbone_data_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 225170 1700 ) ( 227470 * 0 )
NEW met2 ( 225170 1700 ) ( * 52530 )
NEW met2 ( 1076630 52530 ) ( * 1000500 )
NEW met2 ( 1076630 1000500 ) ( * 1000620 0 )
NEW met1 ( 225170 52530 ) ( 1076630 * )
NEW met1 ( 225170 52530 ) M1M2_PR
NEW met1 ( 1076630 52530 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( dut_top wishbone_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 48530 1700 ) ( 49910 * 0 )
NEW met2 ( 48530 1700 ) ( * 53890 )
NEW met1 ( 48530 53890 ) ( 628130 * )
NEW met2 ( 628130 1000620 ) ( 634110 * 0 )
NEW met2 ( 628130 53890 ) ( * 1000620 )
NEW met1 ( 48530 53890 ) M1M2_PR
NEW met1 ( 628130 53890 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( dut_top wishbone_data_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 250930 1700 0 ) ( * 16150 )
NEW met2 ( 620310 16150 ) ( * 29750 )
NEW met1 ( 250930 16150 ) ( 620310 * )
NEW met1 ( 620310 29750 ) ( 1138730 * )
NEW met2 ( 1138730 1000620 ) ( 1144710 * 0 )
NEW met2 ( 1138730 29750 ) ( * 1000620 )
NEW met1 ( 250930 16150 ) M1M2_PR
NEW met1 ( 620310 16150 ) M1M2_PR
NEW met1 ( 620310 29750 ) M1M2_PR
NEW met1 ( 1138730 29750 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( dut_top wishbone_data_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 266570 1700 ) ( 268870 * 0 )
NEW met2 ( 266570 1700 ) ( * 52190 )
NEW met1 ( 266570 52190 ) ( 1193930 * )
NEW met2 ( 1193930 1000620 ) ( 1195770 * 0 )
NEW met2 ( 1193930 52190 ) ( * 1000620 )
NEW met1 ( 266570 52190 ) M1M2_PR
NEW met1 ( 1193930 52190 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( dut_top wishbone_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 1700 0 ) ( * 15810 )
NEW met2 ( 1242230 1000620 ) ( 1246830 * 0 )
NEW met2 ( 1242230 43690 ) ( * 1000620 )
NEW met1 ( 286350 15810 ) ( 635950 * )
NEW met2 ( 635950 15810 ) ( * 43690 )
NEW met1 ( 635950 43690 ) ( 1242230 * )
NEW met1 ( 286350 15810 ) M1M2_PR
NEW met1 ( 1242230 43690 ) M1M2_PR
NEW met1 ( 635950 15810 ) M1M2_PR
NEW met1 ( 635950 43690 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( dut_top wishbone_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 1700 0 ) ( * 51850 )
NEW met1 ( 304290 51850 ) ( 1297430 * )
NEW met2 ( 1297430 1000620 ) ( 1297890 * 0 )
NEW met2 ( 1297430 51850 ) ( * 1000620 )
NEW met1 ( 304290 51850 ) M1M2_PR
NEW met1 ( 1297430 51850 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( dut_top wishbone_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1700 0 ) ( * 19890 )
NEW met2 ( 1345730 1000620 ) ( 1348950 * 0 )
NEW met2 ( 1345730 19890 ) ( * 1000620 )
NEW met1 ( 321770 19890 ) ( 1345730 * )
NEW met1 ( 321770 19890 ) M1M2_PR
NEW met1 ( 1345730 19890 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( dut_top wishbone_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 338330 1700 ) ( 339710 * 0 )
NEW met2 ( 338330 1700 ) ( * 51510 )
NEW met1 ( 338330 51510 ) ( 1394030 * )
NEW met2 ( 1394030 1000620 ) ( 1400010 * 0 )
NEW met2 ( 1394030 51510 ) ( * 1000620 )
NEW met1 ( 338330 51510 ) M1M2_PR
NEW met1 ( 1394030 51510 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( dut_top wishbone_data_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 1449230 1000620 ) ( 1451070 * 0 )
NEW met2 ( 1449230 19550 ) ( * 1000620 )
NEW met2 ( 357650 1700 0 ) ( * 19550 )
NEW met1 ( 357650 19550 ) ( 1449230 * )
NEW met1 ( 1449230 19550 ) M1M2_PR
NEW met1 ( 357650 19550 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( dut_top wishbone_data_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 372830 82800 ) ( 375130 * )
NEW met2 ( 375130 1700 0 ) ( * 82800 )
NEW met2 ( 372830 82800 ) ( * 991950 )
NEW met1 ( 372830 991950 ) ( 1502130 * )
NEW met2 ( 1502130 991950 ) ( * 1000500 )
NEW met2 ( 1502130 1000500 ) ( * 1000620 0 )
NEW met1 ( 372830 991950 ) M1M2_PR
NEW met1 ( 1502130 991950 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( dut_top wishbone_data_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1700 0 ) ( * 19210 )
NEW met2 ( 1552730 1000620 ) ( 1553190 * 0 )
NEW met2 ( 1552730 19210 ) ( * 1000620 )
NEW met1 ( 393070 19210 ) ( 1552730 * )
NEW met1 ( 393070 19210 ) M1M2_PR
NEW met1 ( 1552730 19210 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( dut_top wishbone_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 408250 1700 ) ( 410550 * 0 )
NEW met2 ( 407330 82800 ) ( 408250 * )
NEW met2 ( 408250 1700 ) ( * 82800 )
NEW met2 ( 407330 82800 ) ( * 991610 )
NEW met1 ( 407330 991610 ) ( 1604250 * )
NEW met2 ( 1604250 991610 ) ( * 1000500 )
NEW met2 ( 1604250 1000500 ) ( * 1000620 0 )
NEW met1 ( 407330 991610 ) M1M2_PR
NEW met1 ( 1604250 991610 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( dut_top wishbone_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 685170 988890 ) ( * 1000500 )
NEW met2 ( 685170 1000500 ) ( * 1000620 0 )
NEW met2 ( 71530 1700 ) ( 73830 * 0 )
NEW met1 ( 69230 988890 ) ( 685170 * )
NEW met2 ( 69230 82800 ) ( 71530 * )
NEW met2 ( 71530 1700 ) ( * 82800 )
NEW met2 ( 69230 82800 ) ( * 988890 )
NEW met1 ( 685170 988890 ) M1M2_PR
NEW met1 ( 69230 988890 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( dut_top wishbone_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 1649330 1000620 ) ( 1655310 * 0 )
NEW met2 ( 1649330 18870 ) ( * 1000620 )
NEW met2 ( 428490 1700 0 ) ( * 18870 )
NEW met1 ( 428490 18870 ) ( 1649330 * )
NEW met1 ( 1649330 18870 ) M1M2_PR
NEW met1 ( 428490 18870 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( dut_top wishbone_data_out[21] ) + USE SIGNAL
+ ROUTED met1 ( 441830 991270 ) ( 1706370 * )
NEW met2 ( 441830 82800 ) ( 445970 * )
NEW met2 ( 445970 1700 0 ) ( * 82800 )
NEW met2 ( 441830 82800 ) ( * 991270 )
NEW met2 ( 1706370 991270 ) ( * 1000500 )
NEW met2 ( 1706370 1000500 ) ( * 1000620 0 )
NEW met1 ( 441830 991270 ) M1M2_PR
NEW met1 ( 1706370 991270 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( dut_top wishbone_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 1752830 1000620 ) ( 1757430 * 0 )
NEW met2 ( 1752830 18530 ) ( * 1000620 )
NEW met2 ( 463910 1700 0 ) ( * 18530 )
NEW met1 ( 463910 18530 ) ( 1752830 * )
NEW met1 ( 1752830 18530 ) M1M2_PR
NEW met1 ( 463910 18530 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( dut_top wishbone_data_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 479090 1700 ) ( 481390 * 0 )
NEW met2 ( 476330 82800 ) ( 479090 * )
NEW met2 ( 479090 1700 ) ( * 82800 )
NEW met2 ( 476330 82800 ) ( * 990930 )
NEW met1 ( 476330 990930 ) ( 1808490 * )
NEW met2 ( 1808490 990930 ) ( * 1000500 )
NEW met2 ( 1808490 1000500 ) ( * 1000620 0 )
NEW met1 ( 476330 990930 ) M1M2_PR
NEW met1 ( 1808490 990930 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( dut_top wishbone_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 1700 0 ) ( * 18190 )
NEW met2 ( 1856330 1000620 ) ( 1859550 * 0 )
NEW met2 ( 1856330 18190 ) ( * 1000620 )
NEW met1 ( 499330 18190 ) ( 1856330 * )
NEW met1 ( 499330 18190 ) M1M2_PR
NEW met1 ( 1856330 18190 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( dut_top wishbone_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1700 0 ) ( * 17510 )
NEW met1 ( 510830 17510 ) ( 516810 * )
NEW met2 ( 510830 17510 ) ( * 990590 )
NEW met1 ( 510830 990590 ) ( 1910610 * )
NEW met2 ( 1910610 990590 ) ( * 1000500 )
NEW met2 ( 1910610 1000500 ) ( * 1000620 0 )
NEW met1 ( 516810 17510 ) M1M2_PR
NEW met1 ( 510830 17510 ) M1M2_PR
NEW met1 ( 510830 990590 ) M1M2_PR
NEW met1 ( 1910610 990590 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( dut_top wishbone_data_out[26] ) + USE SIGNAL
+ ROUTED met2 ( 1959830 1000620 ) ( 1961670 * 0 )
NEW met2 ( 1959830 17850 ) ( * 1000620 )
NEW met2 ( 534750 1700 0 ) ( * 17850 )
NEW met1 ( 534750 17850 ) ( 1959830 * )
NEW met1 ( 1959830 17850 ) M1M2_PR
NEW met1 ( 534750 17850 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( dut_top wishbone_data_out[27] ) + USE SIGNAL
+ ROUTED met1 ( 552230 990250 ) ( 2012730 * )
NEW met2 ( 552230 82800 ) ( 552690 * )
NEW met2 ( 552690 1700 0 ) ( * 82800 )
NEW met2 ( 552230 82800 ) ( * 990250 )
NEW met2 ( 2012730 990250 ) ( * 1000500 )
NEW met2 ( 2012730 1000500 ) ( * 1000620 0 )
NEW met1 ( 552230 990250 ) M1M2_PR
NEW met1 ( 2012730 990250 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( dut_top wishbone_data_out[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 1700 0 ) ( * 16660 )
NEW met3 ( 570170 16660 ) ( 2063330 * )
NEW met2 ( 2063330 1000620 ) ( 2063790 * 0 )
NEW met2 ( 2063330 16660 ) ( * 1000620 )
NEW met2 ( 570170 16660 ) M2M3_PR
NEW met2 ( 2063330 16660 ) M2M3_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( dut_top wishbone_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 586730 1700 ) ( 588110 * 0 )
NEW met2 ( 586730 1700 ) ( * 989910 )
NEW met2 ( 2114850 989910 ) ( * 1000500 )
NEW met2 ( 2114850 1000500 ) ( * 1000620 0 )
NEW met1 ( 586730 989910 ) ( 2114850 * )
NEW met1 ( 586730 989910 ) M1M2_PR
NEW met1 ( 2114850 989910 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( dut_top wishbone_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 16490 )
NEW met1 ( 97290 16490 ) ( 731630 * )
NEW met2 ( 731630 1000620 ) ( 736230 * 0 )
NEW met2 ( 731630 16490 ) ( * 1000620 )
NEW met1 ( 97290 16490 ) M1M2_PR
NEW met1 ( 731630 16490 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( dut_top wishbone_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 1700 0 ) ( * 17510 )
NEW met1 ( 605590 17510 ) ( 2159930 * )
NEW met2 ( 2159930 1000620 ) ( 2165910 * 0 )
NEW met2 ( 2159930 17510 ) ( * 1000620 )
NEW met1 ( 605590 17510 ) M1M2_PR
NEW met1 ( 2159930 17510 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( dut_top wishbone_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 2215130 1000620 ) ( 2216970 * 0 )
NEW met2 ( 2215130 17170 ) ( * 1000620 )
NEW met2 ( 623530 1700 0 ) ( * 17170 )
NEW met1 ( 623530 17170 ) ( 2215130 * )
NEW met1 ( 2215130 17170 ) M1M2_PR
NEW met1 ( 623530 17170 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( dut_top wishbone_data_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 117530 82800 ) ( 121210 * )
NEW met2 ( 121210 1700 0 ) ( * 82800 )
NEW met2 ( 117530 82800 ) ( * 989230 )
NEW met2 ( 787290 989230 ) ( * 1000500 )
NEW met2 ( 787290 1000500 ) ( * 1000620 0 )
NEW met1 ( 117530 989230 ) ( 787290 * )
NEW met1 ( 117530 989230 ) M1M2_PR
NEW met1 ( 787290 989230 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( dut_top wishbone_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 144670 1700 0 ) ( * 16830 )
NEW met1 ( 144670 16830 ) ( 835130 * )
NEW met2 ( 835130 1000620 ) ( 838350 * 0 )
NEW met2 ( 835130 16830 ) ( * 1000620 )
NEW met1 ( 144670 16830 ) M1M2_PR
NEW met1 ( 835130 16830 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( dut_top wishbone_data_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 889410 989570 ) ( * 1000500 )
NEW met2 ( 889410 1000500 ) ( * 1000620 0 )
NEW met2 ( 159850 1700 ) ( 162150 * 0 )
NEW met1 ( 158930 989570 ) ( 889410 * )
NEW met2 ( 158930 82800 ) ( 159850 * )
NEW met2 ( 159850 1700 ) ( * 82800 )
NEW met2 ( 158930 82800 ) ( * 989570 )
NEW met1 ( 889410 989570 ) M1M2_PR
NEW met1 ( 158930 989570 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( dut_top wishbone_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 1700 0 ) ( * 20570 )
NEW met1 ( 180090 20570 ) ( 938630 * )
NEW met2 ( 938630 1000620 ) ( 940470 * 0 )
NEW met2 ( 938630 20570 ) ( * 1000620 )
NEW met1 ( 180090 20570 ) M1M2_PR
NEW met1 ( 938630 20570 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( dut_top wishbone_data_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 195730 1700 ) ( 198030 * 0 )
NEW met2 ( 193430 82800 ) ( 195730 * )
NEW met2 ( 195730 1700 ) ( * 82800 )
NEW met2 ( 193430 82800 ) ( * 992630 )
NEW met2 ( 991530 992630 ) ( * 1000500 )
NEW met2 ( 991530 1000500 ) ( * 1000620 0 )
NEW met1 ( 193430 992630 ) ( 991530 * )
NEW met1 ( 193430 992630 ) M1M2_PR
NEW met1 ( 991530 992630 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( dut_top wishbone_data_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 1700 0 ) ( * 20230 )
NEW met1 ( 215510 20230 ) ( 1042130 * )
NEW met2 ( 1042130 1000620 ) ( 1042590 * 0 )
NEW met2 ( 1042130 20230 ) ( * 1000620 )
NEW met1 ( 215510 20230 ) M1M2_PR
NEW met1 ( 1042130 20230 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( dut_top wishbone_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 1093650 992290 ) ( * 1000500 )
NEW met2 ( 1093650 1000500 ) ( * 1000620 0 )
NEW met1 ( 227930 992290 ) ( 1093650 * )
NEW met2 ( 227930 82800 ) ( 233450 * )
NEW met2 ( 233450 1700 0 ) ( * 82800 )
NEW met2 ( 227930 82800 ) ( * 992290 )
NEW met1 ( 1093650 992290 ) M1M2_PR
NEW met1 ( 227930 992290 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) ( dut_top wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 32430 1700 0 ) ( * 17170 )
NEW met2 ( 579830 1000620 ) ( 583050 * 0 )
NEW met2 ( 579830 17170 ) ( * 1000620 )
NEW met1 ( 32430 17170 ) ( 579830 * )
NEW met1 ( 32430 17170 ) M1M2_PR
NEW met1 ( 579830 17170 ) M1M2_PR ;
END NETS
END DESIGN