blob: 4f2c083c653728e7726df105563a255dd9f2f32c [file] [log] [blame]
verilog exception: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/__user_analog_project_wrapper.v
verilog exception: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel-signoff.v
verilog exception: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravan-signoff.v
verilog exception: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/__user_project_wrapper.v
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30.lib
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_fd_io__top_gpiov2_tt_tt_025C_1v80_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_gpiov2_tt_tt_025C_1v80_3v30.lib line 33, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_ground_hvc_wpad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_025C_1v80_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_tt_025C_1v80_3v30.lib line 43, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_power_lvc_wpad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_xres4v2_tt_tt_025C_1v80_3v30.lib line 33, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__gpiov2_pad_tt_tt_025C_1v80_3v30.lib line 33, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssa_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vddio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssio_hvc_clamped_pad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped3_pad_tt_025C_1v80_3v30.lib line 43, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vccd_lvc_clamped3_pad_tt_025C_1v80_3v30_3v30.lib line 42, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib
Warning: /home/kaya/eda/pdks/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vssd_lvc_clamped_pad_tt_025C_1v80_3v30.lib line 43, default_fanout_load is 0.0.
exec> read_liberty /home/kaya/eda/pdks/sky130A/libs.ref//sky130_sram_macros/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/mgmt_core_wrapper/verilog/gl/RAM256.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/mgmt_core_wrapper/verilog/gl/mgmt_core_wrapper.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/mgmt_core_wrapper/verilog/gl/RAM128.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_defaults_block_0403.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/xres_buf.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel_clocking.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/mprj_logic_high.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/housekeeping.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/chip_io_alt.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/mprj2_logic_high.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_defaults_block_1803.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_signal_buffering.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/user_id_programming.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_defaults_block_0801.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_logic_high.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravan.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/constant_block.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_defaults_block.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/buff_flash_clkrst.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/chip_io.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_control_block.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/digital_pll.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/mgmt_protect_hv.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/gpio_signal_buffering_alt.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/mgmt_protect.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/spare_logic_block.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_proj_example.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_proj_example.nl.v
exec> read_verilog /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v
exec> link_design caravel
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vccd1 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vccd2 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vdda1 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vdda2 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vssa1 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vssa2 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vssd1 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/verilog/gl/caravel.v line 4958, instance mprj port vssd2 not found.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 47, module sky130_fd_sc_hd__fill_4 not found. Creating black box for FILLER_1_0.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 55, module sky130_fd_sc_hd__fill_8 not found. Creating black box for FILLER_1_8.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 1339, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] .
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 2636, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_66.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 3762, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 3766, module sky130_ef_sc_hd__decap_12 not found. Creating black box for FILLER_0_14.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 3770, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_27.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/verilog/gl/user_project_wrapper.nl.v line 5076, module simple_por not found. Creating black box for por.
exec> read_spef /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/caravel/openlane-signoff/spef/caravel.nom.spef
exec> read_spef -path gpio_control_in_1[3] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[3\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[3\]/zero.
exec> read_spef -path clock_ctrl /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.nom.spef
exec> read_spef -path mprj /home/kaya/Desktop/caravel_example/caravel_example/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.nom.spef
exec> read_spef -path gpio_control_bidir_1[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_bidir_1\[1\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_bidir_1\[1\]/zero.
exec> read_spef -path spare_logic[3] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.nom.spef
exec> read_spef -path gpio_control_in_1a[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1a\[0\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1a\[0\]/zero.
exec> read_spef -path gpio_control_in_2[8] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[8\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[8\]/zero.
exec> read_spef -path padframe/constant_value_inst[5] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path gpio_control_in_2[10] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[10\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[10\]/zero.
exec> read_spef -path gpio_control_in_2[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[1\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[1\]/zero.
exec> read_spef -path soc/core.RAM256 /home/kaya/Desktop/caravel_example/caravel_example/mgmt_core_wrapper/signoff/RAM256/openlane-signoff/spef/RAM256.nom.spef
/home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_signal_buffering/openlane-signoff/spef/gpio_signal_buffering.nom.spef not found
WARNING ALLOW_MISSING_SPEF set to 1. continuing
exec> read_spef -path gpio_defaults_block_10 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
/home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/simple_por/openlane-signoff/spef/simple_por.nom.spef not found
WARNING ALLOW_MISSING_SPEF set to 1. continuing
exec> read_spef -path gpio_defaults_block_11 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_1[4] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[4\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[4\]/zero.
exec> read_spef -path gpio_defaults_block_12 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_13 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_14 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path flash_clkrst_buffers /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/buff_flash_clkrst/openlane-signoff/spef/buff_flash_clkrst.nom.spef
exec> read_spef -path gpio_defaults_block_15 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_16 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_17 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_1a[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1a\[1\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1a\[1\]/zero.
exec> read_spef -path gpio_control_in_2[9] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[9\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[9\]/zero.
exec> read_spef -path padframe/constant_value_inst[6] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path gpio_defaults_block_18 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_2[11] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[11\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[11\]/zero.
exec> read_spef -path gpio_control_in_2[2] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[2\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[2\]/zero.
exec> read_spef -path gpio_defaults_block_20 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_19 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_bidir_2[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_bidir_2\[0\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_bidir_2\[0\]/zero.
exec> read_spef -path gpio_defaults_block_21 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_1[5] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[5\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[5\]/zero.
exec> read_spef -path gpio_defaults_block_22 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_23 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_24 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_25 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_26 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_0 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_27 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_1a[2] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1a\[2\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1a\[2\]/zero.
exec> read_spef -path gpio_defaults_block_1 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_28 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_2[12] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[12\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[12\]/zero.
exec> read_spef -path gpio_control_in_2[3] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[3\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[3\]/zero.
exec> read_spef -path gpio_defaults_block_2 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path padframe/constant_value_inst[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path rstb_level /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/xres_buf/openlane-signoff/spef/xres_buf.nom.spef
exec> read_spef -path gpio_defaults_block_30 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_29 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_bidir_2[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_bidir_2\[1\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_bidir_2\[1\]/zero.
exec> read_spef -path gpio_defaults_block_3 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_31 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_4 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_1[6] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[6\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[6\]/zero.
exec> read_spef -path gpio_defaults_block_32 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_5 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_33 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_6 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path soc/core.RAM128 /home/kaya/Desktop/caravel_example/caravel_example/mgmt_core_wrapper/signoff/RAM128/openlane-signoff/spef/RAM128.nom.spef
exec> read_spef -path gpio_defaults_block_34 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_7 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_35 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_8 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_36 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_9 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_defaults_block_37 /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_defaults_block/openlane-signoff/spef/gpio_defaults_block.nom.spef
exec> read_spef -path gpio_control_in_1a[3] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1a\[3\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1a\[3\]/zero.
exec> read_spef -path gpio_control_in_2[13] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[13\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[13\]/zero.
exec> read_spef -path gpio_control_in_2[4] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[4\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[4\]/zero.
exec> read_spef -path padframe/constant_value_inst[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path gpio_control_bidir_2[2] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_bidir_2\[2\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_bidir_2\[2\]/zero.
exec> read_spef -path gpio_control_in_1[7] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[7\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[7\]/zero.
exec> read_spef -path gpio_control_in_1[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[0\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[0\]/zero.
exec> read_spef -path gpio_control_in_1[10] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[10\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[10\]/zero.
exec> read_spef -path pll /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/digital_pll/openlane-signoff/spef/digital_pll.nom.spef
exec> read_spef -path spare_logic[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.nom.spef
exec> read_spef -path gpio_control_in_1a[4] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1a\[4\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1a\[4\]/zero.
exec> read_spef -path gpio_control_in_2[14] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[14\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[14\]/zero.
exec> read_spef -path gpio_control_in_2[5] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[5\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[5\]/zero.
exec> read_spef -path padframe/constant_value_inst[2] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path soc /home/kaya/Desktop/caravel_example/caravel_example/mgmt_core_wrapper/signoff/mgmt_core_wrapper/openlane-signoff/spef/mgmt_core_wrapper.nom.spef
exec> read_spef -path gpio_control_in_1[8] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[8\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[8\]/zero.
exec> read_spef -path gpio_control_in_1[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[1\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[1\]/zero.
exec> read_spef -path housekeeping /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/housekeeping/openlane-signoff/spef/housekeeping.nom.spef
exec> read_spef -path mgmt_buffers /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/mgmt_protect/openlane-signoff/spef/mgmt_protect.nom.spef
exec> read_spef -path spare_logic[1] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.nom.spef
exec> read_spef -path gpio_control_in_1a[5] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1a\[5\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1a\[5\]/zero.
exec> read_spef -path gpio_control_in_2[15] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[15\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[15\]/zero.
exec> read_spef -path gpio_control_in_2[6] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[6\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[6\]/zero.
exec> read_spef -path padframe/constant_value_inst[3] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path gpio_control_in_1[9] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[9\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[9\]/zero.
exec> read_spef -path gpio_control_in_1[2] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_1\[2\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_1\[2\]/zero.
exec> read_spef -path padframe /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/chip_io/openlane-signoff/spef/chip_io.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/chip_io/openlane-signoff/spef/chip_io.nom.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I.
exec> read_spef -path gpio_control_bidir_1[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_bidir_1\[0\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_bidir_1\[0\]/zero.
exec> read_spef -path mprj/mprj /home/kaya/Desktop/caravel_example/caravel_example/signoff/user_proj_example/openlane-signoff/spef/user_proj_example.nom.spef
exec> read_spef -path spare_logic[2] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/spare_logic_block/openlane-signoff/spef/spare_logic_block.nom.spef
exec> read_spef -path gpio_control_in_2[7] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[7\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[7\]/zero.
exec> read_spef -path padframe/constant_value_inst[4] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/constant_block/openlane-signoff/spef/constant_block.nom.spef
exec> read_spef -path gpio_control_in_2[0] /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2123, zero not connected to net gpio_control_in_2\[0\]/zero.
Warning: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.nom.spef line 2131, zero not connected to net gpio_control_in_2\[0\]/zero.
/home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/user_id_programming/openlane-signoff/spef/user_id_programming.nom.spef not found
WARNING ALLOW_MISSING_SPEF set to 1. continuing
exec> read_sdc -echo /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/caravel/caravel.sdc
### Caravel Signoff SDC
### Rev 3
### Date: 28/10/2022
## MASTER CLOCKS
create_clock -name clk -period 25 [get_ports {clock}]
create_clock -name hkspi_clk -period 100 [get_pins {housekeeping/mgmt_gpio_in[4]} ]
create_clock -name hk_serial_clk -period 50 [get_pins {housekeeping/serial_clock}]
create_clock -name hk_serial_load -period 1000 [get_pins {housekeeping/serial_load}]
# hk_serial_clk period is x2 core clock
set_clock_uncertainty 0.1000 [get_clocks {clk hkspi_clk hk_serial_clk hk_serial_load}]
set_clock_groups \
-name clock_group \
-logically_exclusive \
-group [get_clocks {clk}]\
-group [get_clocks {hk_serial_clk}]\
-group [get_clocks {hk_serial_load}]\
-group [get_clocks {hkspi_clk}]
# clock <-> hk_serial_clk/load no paths
# future note: CDC stuff
# clock <-> hkspi_clk no paths with careful methods (clock is off)
set_propagated_clock [get_clocks {clk}]
set_propagated_clock [get_clocks {hk_serial_clk}]
set_propagated_clock [get_clocks {hk_serial_load}]
set_propagated_clock [get_clocks {hkspi_clk}]
## INPUT/OUTPUT DELAYS
set input_delay_value 4
set output_delay_value 4
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 4
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 4
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {gpio}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[0]}]
#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[1]}]
set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[2]}]
set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[3]}]
#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[4]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[5]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[6]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[7]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[8]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[9]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[10]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[11]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[12]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[13]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[14]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[15]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[16]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[17]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[18]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[19]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[20]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[21]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[22]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[23]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[24]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[25]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[26]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[27]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[28]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[29]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[30]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[31]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[32]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[33]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[34]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[35]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[36]}]
set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[37]}]
set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_csb}]
set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_clk}]
set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io0}]
set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io1}]
# set_output_delay $output_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[1]}]
set_max_fanout 12 [current_design]
# synthesis max fanout should be less than 12 (7 maybe)
## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled
set_case_analysis 0 [get_pins housekeeping/_3936_/S]
set_case_analysis 0 [get_pins housekeeping/_3937_/S]
# Add case analysis for pads DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 to be outputs
set_case_analysis 1 [get_pins padframe/*_pad*/DM[2]]
set_case_analysis 1 [get_pins padframe/*_pad*/DM[1]]
set_case_analysis 0 [get_pins padframe/*_pad*/DM[0]]
set_case_analysis 0 [get_pins padframe/*_pad*/SLOW]
set_case_analysis 0 [get_pins padframe/*_pad*/ANALOG_EN]
# the following pads are set as inputs
set_case_analysis 0 [get_pins padframe/*area1_io_pad[4]/DM[2]]
set_case_analysis 0 [get_pins padframe/*area1_io_pad[4]/DM[1]]
set_case_analysis 1 [get_pins padframe/*area1_io_pad[4]/DM[0]]
set_case_analysis 0 [get_pins padframe/*area1_io_pad[2]/DM[2]]
set_case_analysis 0 [get_pins padframe/*area1_io_pad[2]/DM[1]]
set_case_analysis 1 [get_pins padframe/*area1_io_pad[2]/DM[0]]
set_case_analysis 0 [get_pins padframe/clock_pad/DM[2]]
set_case_analysis 0 [get_pins padframe/clock_pad/DM[1]]
set_case_analysis 1 [get_pins padframe/clock_pad/DM[0]]
## FALSE PATHS (ASYNCHRONOUS INPUTS)
set_false_path -from [get_ports {resetb}]
# set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_in[*]]
# reset_path -from [get_ports mprj_io[4]]
# reset_path -from [get_ports mprj_io[2]]
#reset_path is not supported in PT read_sdc ^
set_false_path -from [get_ports mprj_io[0]] -through [get_pins housekeeping/mgmt_gpio_in[0]]
set_false_path -from [get_ports mprj_io[1]] -through [get_pins housekeeping/mgmt_gpio_in[1]]
set_false_path -from [get_ports mprj_io[3]] -through [get_pins housekeeping/mgmt_gpio_in[3]]
set_false_path -from [get_ports mprj_io[5]] -through [get_pins housekeeping/mgmt_gpio_in[5]]
set_false_path -from [get_ports mprj_io[6]] -through [get_pins housekeeping/mgmt_gpio_in[6]]
set_false_path -from [get_ports mprj_io[7]] -through [get_pins housekeeping/mgmt_gpio_in[7]]
set_false_path -from [get_ports mprj_io[8]] -through [get_pins housekeeping/mgmt_gpio_in[8]]
set_false_path -from [get_ports mprj_io[9]] -through [get_pins housekeeping/mgmt_gpio_in[9]]
set_false_path -from [get_ports mprj_io[10]] -through [get_pins housekeeping/mgmt_gpio_in[10]]
set_false_path -from [get_ports mprj_io[11]] -through [get_pins housekeeping/mgmt_gpio_in[11]]
set_false_path -from [get_ports mprj_io[12]] -through [get_pins housekeeping/mgmt_gpio_in[12]]
set_false_path -from [get_ports mprj_io[13]] -through [get_pins housekeeping/mgmt_gpio_in[13]]
set_false_path -from [get_ports mprj_io[14]] -through [get_pins housekeeping/mgmt_gpio_in[14]]
set_false_path -from [get_ports mprj_io[15]] -through [get_pins housekeeping/mgmt_gpio_in[15]]
set_false_path -from [get_ports mprj_io[16]] -through [get_pins housekeeping/mgmt_gpio_in[16]]
set_false_path -from [get_ports mprj_io[17]] -through [get_pins housekeeping/mgmt_gpio_in[17]]
set_false_path -from [get_ports mprj_io[18]] -through [get_pins housekeeping/mgmt_gpio_in[18]]
set_false_path -from [get_ports mprj_io[19]] -through [get_pins housekeeping/mgmt_gpio_in[19]]
set_false_path -from [get_ports mprj_io[20]] -through [get_pins housekeeping/mgmt_gpio_in[20]]
set_false_path -from [get_ports mprj_io[21]] -through [get_pins housekeeping/mgmt_gpio_in[21]]
set_false_path -from [get_ports mprj_io[22]] -through [get_pins housekeeping/mgmt_gpio_in[22]]
set_false_path -from [get_ports mprj_io[23]] -through [get_pins housekeeping/mgmt_gpio_in[23]]
set_false_path -from [get_ports mprj_io[24]] -through [get_pins housekeeping/mgmt_gpio_in[24]]
set_false_path -from [get_ports mprj_io[25]] -through [get_pins housekeeping/mgmt_gpio_in[25]]
set_false_path -from [get_ports mprj_io[26]] -through [get_pins housekeeping/mgmt_gpio_in[26]]
set_false_path -from [get_ports mprj_io[27]] -through [get_pins housekeeping/mgmt_gpio_in[27]]
set_false_path -from [get_ports mprj_io[28]] -through [get_pins housekeeping/mgmt_gpio_in[28]]
set_false_path -from [get_ports mprj_io[29]] -through [get_pins housekeeping/mgmt_gpio_in[29]]
set_false_path -from [get_ports mprj_io[30]] -through [get_pins housekeeping/mgmt_gpio_in[30]]
set_false_path -from [get_ports mprj_io[31]] -through [get_pins housekeeping/mgmt_gpio_in[31]]
set_false_path -from [get_ports mprj_io[32]] -through [get_pins housekeeping/mgmt_gpio_in[32]]
set_false_path -from [get_ports mprj_io[33]] -through [get_pins housekeeping/mgmt_gpio_in[33]]
set_false_path -from [get_ports mprj_io[34]] -through [get_pins housekeeping/mgmt_gpio_in[34]]
set_false_path -from [get_ports mprj_io[35]] -through [get_pins housekeeping/mgmt_gpio_in[35]]
set_false_path -from [get_ports mprj_io[36]] -through [get_pins housekeeping/mgmt_gpio_in[36]]
set_false_path -from [get_ports mprj_io[37]] -through [get_pins housekeeping/mgmt_gpio_in[37]]
set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_out[*]]
set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_oeb[*]]
set_false_path -from [get_ports gpio]
# add loads for output ports (pads)
set min_cap 5
set max_cap 10
puts "\[INFO\]: Cap load range: $min_cap : $max_cap"
[INFO]: Cap load range: 5 : 10
# set_load 10 [all_outputs]
set_load -min $min_cap [all_outputs]
set_load -max $max_cap [all_outputs]
#add input transition for the inputs ports (pads)
# set_input_transition 2 [all_inputs]
#add exception for power pads as 2ns on them results in max_tran violations (false viol)
# set_input_transition 2 [remove_from_collection [all_inputs] [get_ports v*]]
# remove_from_collection is not supported in PT read_sdc ^
# set_input_transition 2 [all_inputs]
# set_input_transition 0 [get_ports v*]
set min_in_tran 1
set max_in_tran 4
puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran"
[INFO]: Input transition range: 1 : 4
set_input_transition -min $min_in_tran [all_inputs]
set_input_transition -min 0 [get_ports v*]
set_input_transition -max $max_in_tran [all_inputs]
set_input_transition -max 0 [get_ports v*]
# check ocv table (not provided) -- maybe try 8%
set derate 0.0375
puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %"
[INFO]: Setting derate factor to: 3.75 %
set_timing_derate -early [expr 1-$derate]
set_timing_derate -late [expr 1+$derate]
# add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners
# apply the constraint to hd cells at the ss corner only
# if {$::env(PROC_CORNER) == "s"} {
# set max_tran 1.5
# set_max_transition $max_tran [get_pins -of_objects [get_cells -filter {ref_name=~sky130_fd_sc_hd*}]]
# set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]]
# set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]]
# puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran"
# }
# -filter not supported in PT read_sdc ^
exec> report_check_types \
-max_delay \
-min_delay \
-max_slew \
-max_capacitance \
-clock_gating_setup \
-clock_gating_hold \
-format end \
-violators >> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-summary.rpt
exec> report_checks \
-path_delay min \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 10000 \
-slack_max 10 \
-digits 4 \
-endpoint_count 10 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-min.rpt
exec> report_checks \
-path_delay max \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 10000 \
-slack_max 10 \
-digits 4 \
-endpoint_count 10 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-max.rpt
exec> report_checks \
-path_delay min \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-path_group hk_serial_clk \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-hk_serial_clk-min.rpt
exec> report_checks \
-path_delay max \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-path_group hk_serial_clk \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-hk_serial_clk-max.rpt
exec> report_checks \
-path_delay max \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-path_group hkspi_clk \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-hkspi_clk-max.rpt
exec> report_checks \
-path_delay min \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-path_group hkspi_clk \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-hkspi_clk-min.rpt
exec> report_checks \
-path_delay min \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-path_group clk \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-clk-min.rpt
exec> report_checks \
-path_delay max \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-path_group clk \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-clk-max.rpt
exec> report_checks \
-path_delay min \
-through _60aba81400000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-soc-min.rpt
exec> report_checks \
-path_delay max \
-through _60aba81400000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-soc-max.rpt
exec> report_checks \
-path_delay min \
-through _c0b4461200000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 40 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-mprj-min.rpt
exec> report_checks \
-path_delay max \
-through _c0b4461200000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 40 \
-digits 4 \
-unique_paths_to_endpoint \
>> /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-mprj-max.rpt
exec> report_parasitic_annotation -report_unannotated > /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t-unannotated.log
there are missing spefs. check the log for ALLOW_MISSING_SPEF
the following macros don't have spefs
sigbuf
por
user_id_value
Found 2435 unannotated nets.
Found 654 partially unannotated nets.
you may want to edit sdc: /home/kaya/Desktop/caravel_example/caravel_example/caravel/signoff/caravel/caravel.sdc to change i/o constraints
check /home/kaya/Desktop/caravel_example/caravel_example/signoff/caravel/openlane-signoff/timing/nom/t