copied from mpw6
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 764b362..143caad 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/1T1R.mag b/mag/1T1R.mag
new file mode 100644
index 0000000..aa47844
--- /dev/null
+++ b/mag/1T1R.mag
@@ -0,0 +1,90 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1647532005
+<< pwell >>
+rect -260 -162 172 238
+<< nnmos >>
+rect 15 -51 115 149
+<< mvndiff >>
+rect -43 137 15 149
+rect -43 -39 -31 137
+rect 3 -39 15 137
+rect -43 -51 15 -39
+rect 115 137 172 149
+rect 115 -39 127 137
+rect 161 -39 172 137
+rect 115 -51 172 -39
+<< mvndiffc >>
+rect -31 -39 3 137
+rect 127 -39 161 137
+<< mvpsubdiff >>
+rect -182 130 -118 156
+rect -122 -30 -118 130
+rect -182 -58 -118 -30
+<< mvpsubdiffcont >>
+rect -182 -30 -122 130
+<< poly >>
+rect 15 149 115 175
+rect 15 -89 115 -51
+rect 15 -123 31 -89
+rect 99 -123 115 -89
+rect 15 -139 115 -123
+<< polycont >>
+rect 31 -123 99 -89
+<< locali >>
+rect -184 130 -116 150
+rect -184 -30 -182 130
+rect -122 -30 -116 130
+rect -184 -52 -116 -30
+rect -31 137 3 153
+rect -31 -55 3 -39
+rect 127 137 161 153
+rect 127 -55 161 -39
+rect 15 -123 31 -89
+rect 99 -123 115 -89
+<< viali >>
+rect -178 -30 -122 130
+rect -31 -39 3 137
+rect 127 -39 161 137
+rect 31 -123 99 -89
+<< metal1 >>
+rect -260 178 172 238
+rect -184 130 -116 150
+rect -184 -30 -178 130
+rect -122 -30 -116 130
+rect -184 -52 -116 -30
+rect -37 137 9 149
+rect -37 -39 -31 137
+rect 3 -39 9 137
+rect -37 -51 9 -39
+rect 114 137 172 178
+rect 114 -39 127 137
+rect 161 -39 172 137
+rect 114 -52 172 -39
+rect -260 -89 172 -80
+rect -260 -123 31 -89
+rect 99 -123 172 -89
+rect -260 -134 172 -123
+<< via1 >>
+rect -178 -30 -122 130
+<< metal2 >>
+rect -182 130 -118 238
+rect -182 -30 -178 130
+rect -122 -30 -118 130
+rect -182 -162 -118 -30
+rect -44 -162 20 238
+use reram  reram_0
+timestamp 1644250323
+transform 1 0 -12 0 1 44
+box -32 -32 32 32
+<< labels >>
+rlabel metal2 -24 -158 -4 -140 1 sl
+port 4 n
+rlabel metal2 -162 -158 -142 -140 1 VGND
+port 3 n ground default
+rlabel metal1 -240 200 -220 218 1 bl
+port 1 n
+rlabel metal1 -236 -116 -216 -98 1 wl
+port 2 n
+<< end >>
diff --git a/mag/1T1R_2x2.mag b/mag/1T1R_2x2.mag
new file mode 100644
index 0000000..7cc86b4
--- /dev/null
+++ b/mag/1T1R_2x2.mag
@@ -0,0 +1,9 @@
+magic
+tech sky130B
+timestamp 1647533459
+use 1T1R  1T1R_0
+array 0 1 216 0 1 200
+timestamp 1647532005
+transform 1 0 130 0 1 81
+box -130 -81 86 119
+<< end >>
diff --git a/mag/C4.mag b/mag/C4.mag
new file mode 100644
index 0000000..e376d0f
--- /dev/null
+++ b/mag/C4.mag
@@ -0,0 +1,401 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1654715540
+<< nwell >>
+rect 38 421 240 596
+rect 18 166 220 421
+<< nmos >>
+rect -144 400 -60 430
+rect -133 -27 -49 3
+rect 66 -27 150 3
+<< pmos >>
+rect 75 285 161 315
+<< ndiff >>
+rect -144 501 -60 513
+rect -144 442 -133 501
+rect -72 442 -60 501
+rect -144 430 -60 442
+rect -144 388 -60 400
+rect -144 329 -132 388
+rect -71 329 -60 388
+rect -144 317 -60 329
+rect -133 74 -49 86
+rect -133 15 -122 74
+rect -61 15 -49 74
+rect -133 3 -49 15
+rect 66 74 150 86
+rect 66 15 77 74
+rect 138 15 150 74
+rect 66 3 150 15
+rect -133 -39 -49 -27
+rect -133 -98 -121 -39
+rect -60 -98 -49 -39
+rect -133 -110 -49 -98
+rect 66 -39 150 -27
+rect 66 -98 78 -39
+rect 139 -98 150 -39
+rect 66 -110 150 -98
+<< pdiff >>
+rect 75 388 161 400
+rect 75 329 87 388
+rect 148 329 161 388
+rect 75 315 161 329
+rect 75 273 161 285
+rect 75 214 87 273
+rect 148 214 161 273
+rect 75 202 161 214
+<< ndiffc >>
+rect -133 442 -72 501
+rect -132 329 -71 388
+rect -122 15 -61 74
+rect 77 15 138 74
+rect -121 -98 -60 -39
+rect 78 -98 139 -39
+<< pdiffc >>
+rect 87 329 148 388
+rect 87 214 148 273
+<< psubdiff >>
+rect -145 228 -118 262
+rect -84 228 -60 262
+rect -134 -199 -107 -165
+rect -73 -199 -49 -165
+rect 66 -199 93 -165
+rect 127 -199 151 -165
+<< nsubdiff >>
+rect 85 524 191 560
+rect 85 490 121 524
+rect 155 490 191 524
+rect 85 454 191 490
+<< psubdiffcont >>
+rect -118 228 -84 262
+rect -107 -199 -73 -165
+rect 93 -199 127 -165
+<< nsubdiffcont >>
+rect 121 490 155 524
+<< poly >>
+rect -324 432 -258 448
+rect -324 398 -308 432
+rect -274 430 -258 432
+rect -274 400 -144 430
+rect -60 400 -34 430
+rect -274 398 -258 400
+rect -324 382 -258 398
+rect 194 318 260 334
+rect 194 315 210 318
+rect 49 285 75 315
+rect 161 285 210 315
+rect 194 284 210 285
+rect 244 284 260 318
+rect 194 268 260 284
+rect -124 161 -60 177
+rect -124 127 -108 161
+rect -74 153 -60 161
+rect -74 127 49 153
+rect -124 119 49 127
+rect -124 111 -60 119
+rect -263 5 -197 21
+rect -263 -29 -247 5
+rect -213 3 -197 5
+rect 19 3 49 119
+rect -213 -27 -133 3
+rect -49 -27 -23 3
+rect 19 -27 66 3
+rect 150 -27 176 3
+rect -213 -29 -197 -27
+rect -263 -45 -197 -29
+<< polycont >>
+rect -308 398 -274 432
+rect 210 284 244 318
+rect -108 127 -74 161
+rect -247 -29 -213 5
+<< locali >>
+rect 107 539 168 540
+rect 103 524 171 539
+rect -135 501 -71 513
+rect 103 501 121 524
+rect -324 433 -258 448
+rect -172 442 -133 501
+rect -72 490 121 501
+rect 155 490 171 524
+rect -72 474 171 490
+rect -72 442 148 474
+rect -324 397 -309 433
+rect -273 397 -258 433
+rect -324 382 -258 397
+rect 87 388 148 442
+rect -209 329 -132 388
+rect -71 329 -33 388
+rect 71 329 87 388
+rect 148 329 164 388
+rect -323 234 -253 247
+rect -209 234 -168 329
+rect 210 318 244 334
+rect -323 231 -168 234
+rect -323 197 -307 231
+rect -271 197 -168 231
+rect -134 262 -67 277
+rect -134 228 -119 262
+rect -84 228 -67 262
+rect -134 211 -67 228
+rect 71 214 87 273
+rect 148 214 164 273
+rect 210 268 244 284
+rect -323 193 -168 197
+rect -323 180 -253 193
+rect -209 177 -168 193
+rect -209 161 -60 177
+rect -209 127 -108 161
+rect -74 127 -60 161
+rect -209 111 -60 127
+rect -124 74 -60 111
+rect 87 148 148 214
+rect 211 148 277 152
+rect 87 137 277 148
+rect 87 101 226 137
+rect 262 101 277 137
+rect 87 89 277 101
+rect 87 74 177 89
+rect 211 86 277 89
+rect -263 6 -197 21
+rect -161 15 -122 74
+rect -61 15 -21 74
+rect 39 15 77 74
+rect 138 15 177 74
+rect -263 -30 -248 6
+rect -212 -30 -197 6
+rect -263 -45 -197 -30
+rect -160 -98 -121 -39
+rect -60 -98 -22 -39
+rect 39 -98 78 -39
+rect 139 -98 177 -39
+rect -123 -165 -57 -98
+rect -123 -199 -107 -165
+rect -73 -199 -57 -165
+rect -123 -216 -57 -199
+rect 77 -165 144 -98
+rect 77 -199 93 -165
+rect 127 -199 144 -165
+rect 77 -216 144 -199
+<< viali >>
+rect 121 490 155 524
+rect -309 432 -273 433
+rect -309 398 -308 432
+rect -308 398 -274 432
+rect -274 398 -273 432
+rect -309 397 -273 398
+rect -307 197 -271 231
+rect -119 228 -118 262
+rect -118 228 -84 262
+rect 226 101 262 137
+rect -248 5 -212 6
+rect -248 -29 -247 5
+rect -247 -29 -213 5
+rect -213 -29 -212 5
+rect -248 -30 -212 -29
+rect -107 -199 -73 -165
+rect 93 -199 127 -165
+<< metal1 >>
+rect -202 662 215 672
+rect -202 594 -192 662
+rect -124 594 215 662
+rect -202 584 215 594
+rect 53 527 215 584
+rect 107 524 168 527
+rect 107 490 121 524
+rect 155 490 168 524
+rect 107 478 168 490
+rect -324 433 51 448
+rect -324 397 -309 433
+rect -273 397 51 433
+rect -324 382 51 397
+rect -135 262 -67 277
+rect -323 242 -253 247
+rect -323 187 -316 242
+rect -259 187 -253 242
+rect -323 180 -253 187
+rect -135 228 -119 262
+rect -84 228 -67 262
+rect -260 6 -200 18
+rect -260 -30 -248 6
+rect -212 -30 -200 6
+rect -260 -33 -200 -30
+rect -260 -42 -203 -33
+rect -135 -157 -67 228
+rect -5 149 51 382
+rect 225 150 297 156
+rect 225 149 231 150
+rect -5 137 231 149
+rect -5 101 226 137
+rect -5 90 231 101
+rect 291 90 297 150
+rect -5 89 297 90
+rect 225 84 297 89
+rect 229 -157 315 -155
+rect -178 -164 315 -157
+rect -178 -165 238 -164
+rect -178 -199 -107 -165
+rect -73 -199 93 -165
+rect 127 -199 238 -165
+rect -178 -244 238 -199
+rect 306 -244 315 -164
+rect -178 -253 315 -244
+<< via1 >>
+rect -192 594 -124 662
+rect -316 231 -259 242
+rect -316 197 -307 231
+rect -307 197 -271 231
+rect -271 197 -259 231
+rect -316 187 -259 197
+rect 231 137 291 150
+rect 231 101 262 137
+rect 262 101 291 137
+rect 231 90 291 101
+rect 238 -244 306 -164
+<< metal2 >>
+rect -202 662 -114 672
+rect -1956 650 -1878 659
+rect -1956 594 -1945 650
+rect -1888 594 -1878 650
+rect -1956 584 -1878 594
+rect -202 594 -192 662
+rect -124 594 -114 662
+rect -202 584 -114 594
+rect 1174 286 1272 295
+rect -330 242 -249 251
+rect -330 185 -318 242
+rect -258 185 -249 242
+rect 1174 206 1183 286
+rect 1263 206 1272 286
+rect 1174 197 1272 206
+rect -330 176 -249 185
+rect 222 150 300 159
+rect 222 90 231 150
+rect 291 90 300 150
+rect 222 81 300 90
+rect 229 -164 315 -155
+rect 229 -244 238 -164
+rect 306 -244 315 -164
+rect 229 -253 315 -244
+<< via2 >>
+rect -1945 594 -1888 650
+rect -192 594 -124 662
+rect -318 187 -316 242
+rect -316 187 -259 242
+rect -259 187 -258 242
+rect -318 185 -258 187
+rect 1183 206 1263 286
+rect 231 90 291 150
+rect 238 -244 306 -164
+<< metal3 >>
+rect -3132 670 -1968 678
+rect -3132 650 -1867 670
+rect -3132 594 -1945 650
+rect -1888 594 -1867 650
+rect -3132 573 -1867 594
+rect -3132 -257 -1968 573
+rect -1532 333 -368 678
+rect -208 662 -108 678
+rect -208 594 -192 662
+rect -124 594 -108 662
+rect -208 578 -108 594
+rect -1666 313 -368 333
+rect -1666 -60 -1659 313
+rect -1665 -251 -1659 -60
+rect -1571 275 -368 313
+rect -1571 242 -228 275
+rect -1571 185 -318 242
+rect -258 185 -228 242
+rect -1571 152 -228 185
+rect 221 166 311 170
+rect 211 160 311 166
+rect -1571 -251 -368 152
+rect 211 80 221 160
+rect 301 80 311 160
+rect 211 70 311 80
+rect 393 -154 1093 677
+rect 1173 286 1273 296
+rect 1173 206 1183 286
+rect 1263 206 1273 286
+rect 1173 196 1273 206
+rect -1665 -257 -368 -251
+rect 228 -164 1093 -154
+rect 228 -244 238 -164
+rect 306 -244 1093 -164
+rect 228 -254 1093 -244
+<< via3 >>
+rect -192 594 -124 662
+rect -1659 -251 -1571 313
+rect 221 150 301 160
+rect 221 90 231 150
+rect 231 90 291 150
+rect 291 90 301 150
+rect 221 80 301 90
+rect 1183 206 1263 286
+<< mimcap >>
+rect -3104 631 -1996 650
+rect -3104 -210 -3084 631
+rect -2016 -210 -1996 631
+rect -3104 -229 -1996 -210
+rect -1504 631 -396 650
+rect -1504 -210 -1484 631
+rect -416 -210 -396 631
+rect -1504 -229 -396 -210
+rect 422 630 1065 649
+rect 422 -207 442 630
+rect 1045 -207 1065 630
+rect 422 -226 1065 -207
+<< mimcapcontact >>
+rect -3084 -210 -2016 631
+rect -1484 -210 -416 631
+rect 442 -207 1045 630
+<< metal4 >>
+rect -817 662 -108 678
+rect -817 650 -192 662
+rect -836 632 -192 650
+rect -3085 631 -2015 632
+rect -3085 -210 -3084 631
+rect -2016 334 -2015 631
+rect -1485 631 -192 632
+rect -2016 313 -1565 334
+rect -2016 -210 -1659 313
+rect -3085 -211 -1659 -210
+rect -2367 -251 -1659 -211
+rect -1571 -251 -1565 313
+rect -1485 -210 -1484 631
+rect -416 594 -192 631
+rect -124 594 -108 662
+rect -416 578 -108 594
+rect 441 630 1046 631
+rect -416 577 -205 578
+rect -416 -210 -415 577
+rect 441 170 442 630
+rect 221 166 442 170
+rect 211 160 442 166
+rect 211 80 221 160
+rect 301 80 442 160
+rect 211 70 442 80
+rect 441 -207 442 70
+rect 1045 296 1046 630
+rect 1045 286 1273 296
+rect 1045 206 1183 286
+rect 1263 206 1273 286
+rect 1045 196 1273 206
+rect 1045 -207 1046 196
+rect 441 -208 1046 -207
+rect -1485 -211 -415 -210
+rect -2367 -258 -1565 -251
+<< labels >>
+flabel metal2 1174 197 1272 295 3 FreeSans 320 0 0 0 vout
+port 10 e
+flabel metal1 -23 614 20 662 1 FreeSans 400 0 0 0 vdd
+port 8 n
+flabel poly -263 -45 -197 21 7 FreeSans 400 0 0 0 vtl
+port 5 w
+flabel metal2 -1956 584 -1878 659 7 FreeSans 400 0 0 0 vin
+port 9 w
+flabel poly 194 268 260 334 7 FreeSans 400 0 0 0 vth
+port 6 w
+flabel metal1 -22 -240 45 -170 5 FreeSans 400 0 0 0 vss
+port 11 s
+<< end >>
diff --git a/mag/FG_pfet.mag b/mag/FG_pfet.mag
new file mode 100644
index 0000000..cb35cb6
--- /dev/null
+++ b/mag/FG_pfet.mag
@@ -0,0 +1,112 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1654453464
+<< nwell >>
+rect -2563 3 -1710 540
+rect -1036 0 -310 534
+rect -1036 -2 -632 0
+rect 364 -2 1390 534
+rect -1036 -4 -696 -2
+<< pwell >>
+rect -1710 -4 -1036 534
+rect -310 0 364 534
+rect 90 -2 364 0
+<< mvnsubdiff >>
+rect -894 450 -780 452
+rect -894 426 -754 450
+rect -2230 340 -2126 364
+rect -2230 184 -2190 340
+rect -2150 184 -2126 340
+rect -2230 160 -2126 184
+rect -894 114 -858 426
+rect -808 114 -754 426
+rect 1214 412 1296 438
+rect 1214 138 1232 412
+rect 1274 138 1296 412
+rect 1214 114 1296 138
+rect -894 92 -754 114
+rect -868 90 -754 92
+<< mvnsubdiffcont >>
+rect -2190 184 -2150 340
+rect -858 114 -808 426
+rect 1232 138 1274 412
+<< poly >>
+rect -1974 0 -1874 142
+rect -594 0 -494 42
+rect 491 0 1090 42
+rect -1974 -40 1090 0
+<< locali >>
+rect -2218 524 -2036 588
+rect -2218 490 -2192 524
+rect -2076 490 -2036 524
+rect -2218 372 -2036 490
+rect -874 562 -606 586
+rect -874 520 -806 562
+rect -694 520 -606 562
+rect -874 426 -606 520
+rect -482 576 -310 600
+rect -482 542 -456 576
+rect -336 542 -310 576
+rect -482 534 -310 542
+rect 1140 580 1314 598
+rect 1140 542 1174 580
+rect 1266 542 1314 580
+rect -482 472 -448 534
+rect 1140 474 1314 542
+rect -2218 340 -1830 372
+rect -2218 184 -2190 340
+rect -2150 184 -1830 340
+rect -2218 164 -1830 184
+rect -874 114 -858 426
+rect -808 114 -606 426
+rect -874 64 -606 114
+rect 470 412 1314 474
+rect 470 138 1232 412
+rect 1274 138 1314 412
+rect -482 -38 -448 78
+rect 470 64 1314 138
+<< viali >>
+rect -2192 490 -2076 524
+rect -806 520 -694 562
+rect -456 542 -336 576
+rect 1174 542 1266 580
+<< metal1 >>
+rect -2218 524 -2036 588
+rect -2218 490 -2192 524
+rect -2076 490 -2036 524
+rect -874 562 -606 586
+rect -874 520 -806 562
+rect -694 520 -606 562
+rect -482 576 -310 600
+rect -482 542 -456 576
+rect -336 542 -310 576
+rect -482 534 -310 542
+rect 1140 580 1314 598
+rect 1140 542 1174 580
+rect 1266 542 1314 580
+rect 1140 534 1314 542
+rect -874 496 -606 520
+rect -2218 460 -2036 490
+use sky130_fd_pr__pfet_g5v0d10v5_2PVZVF  sky130_fd_pr__pfet_g5v0d10v5_2PVZVF_0
+timestamp 1647292059
+transform 1 0 -544 0 1 268
+box -174 -266 174 266
+use sky130_fd_pr__pfet_g5v0d10v5_TCBS39  sky130_fd_pr__pfet_g5v0d10v5_TCBS39_0
+timestamp 1647289276
+transform 1 0 -1924 0 1 268
+box -174 -166 174 166
+use sky130_fd_pr__pfet_g5v0d10v5_XFUK3A  sky130_fd_pr__pfet_g5v0d10v5_XFUK3A_0
+timestamp 1647286562
+transform 1 0 790 0 1 268
+box -424 -266 424 266
+<< labels >>
+rlabel metal1 -806 520 -694 562 1 vd
+port 2 n analog bidirectional
+rlabel viali -456 542 -336 576 1 vsource
+port 3 n analog bidirectional
+rlabel metal1 -2192 546 -2070 570 1 vtun
+port 1 n analog bidirectional
+rlabel viali 1174 542 1266 580 1 vin
+port 4 n analog bidirectional
+<< end >>
diff --git a/mag/amux.mag b/mag/amux.mag
new file mode 100644
index 0000000..ba568b1
--- /dev/null
+++ b/mag/amux.mag
@@ -0,0 +1,21629 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1654316057
+<< viali >>
+rect 28457 7497 28491 7531
+rect 31861 7497 31895 7531
+rect 36921 7497 36955 7531
+rect 37933 7497 37967 7531
+rect 27169 7429 27203 7463
+rect 27629 7429 27663 7463
+rect 28921 7429 28955 7463
+rect 29009 7429 29043 7463
+rect 34989 7429 35023 7463
+rect 36277 7429 36311 7463
+rect 26985 7361 27019 7395
+rect 27445 7361 27479 7395
+rect 27905 7361 27939 7395
+rect 28549 7361 28583 7395
+rect 28715 7361 28749 7395
+rect 28815 7361 28849 7395
+rect 29653 7361 29687 7395
+rect 30481 7361 30515 7395
+rect 30665 7361 30699 7395
+rect 31217 7361 31251 7395
+rect 31401 7361 31435 7395
+rect 31677 7361 31711 7395
+rect 32597 7361 32631 7395
+rect 32965 7361 32999 7395
+rect 33517 7361 33551 7395
+rect 33885 7361 33919 7395
+rect 34253 7361 34287 7395
+rect 34805 7361 34839 7395
+rect 34897 7361 34931 7395
+rect 35081 7361 35115 7395
+rect 35449 7361 35483 7395
+rect 35633 7361 35667 7395
+rect 36075 7361 36109 7395
+rect 36185 7361 36219 7395
+rect 36369 7361 36403 7395
+rect 37105 7361 37139 7395
+rect 37749 7361 37783 7395
+rect 38117 7361 38151 7395
+rect 38485 7361 38519 7395
+rect 28181 7293 28215 7327
+rect 28365 7293 28399 7327
+rect 29193 7293 29227 7327
+rect 30389 7293 30423 7327
+rect 35265 7293 35299 7327
+rect 35541 7293 35575 7327
+rect 35725 7293 35759 7327
+rect 36553 7293 36587 7327
+rect 28089 7225 28123 7259
+rect 33333 7225 33367 7259
+rect 38301 7225 38335 7259
+rect 28273 7157 28307 7191
+rect 31585 7157 31619 7191
+rect 32781 7157 32815 7191
+rect 35909 7157 35943 7191
+rect 37565 7157 37599 7191
+rect 24685 6885 24719 6919
+rect 24501 6749 24535 6783
+rect 25789 6749 25823 6783
+rect 25973 6613 26007 6647
+rect 24777 6409 24811 6443
+rect 25053 6409 25087 6443
+rect 22293 6341 22327 6375
+rect 24409 6341 24443 6375
+rect 17141 6273 17175 6307
+rect 21833 6273 21867 6307
+rect 24225 6273 24259 6307
+rect 24593 6273 24627 6307
+rect 24869 6273 24903 6307
+rect 25513 6273 25547 6307
+rect 27417 6291 27451 6325
+rect 31493 6291 31527 6325
+rect 35725 6291 35759 6325
+rect 25421 6205 25455 6239
+rect 25605 6205 25639 6239
+rect 25697 6205 25731 6239
+rect 22017 6137 22051 6171
+rect 17325 6069 17359 6103
+rect 22385 6069 22419 6103
+rect 25881 6069 25915 6103
+rect 27449 6069 27483 6103
+rect 31529 6069 31563 6103
+rect 35745 6069 35779 6103
+rect 28069 6001 28103 6035
+rect 32149 6001 32183 6035
+rect 27417 5967 27451 6001
+rect 31493 5967 31527 6001
+rect 35725 5982 35759 6016
+rect 36365 6001 36399 6035
+rect 10793 5865 10827 5899
+rect 15853 5865 15887 5899
+rect 16957 5865 16991 5899
+rect 18521 5865 18555 5899
+rect 19073 5865 19107 5899
+rect 21005 5865 21039 5899
+rect 22477 5865 22511 5899
+rect 23765 5865 23799 5899
+rect 24041 5865 24075 5899
+rect 25789 5865 25823 5899
+rect 25973 5865 26007 5899
+rect 17785 5797 17819 5831
+rect 19533 5797 19567 5831
+rect 21465 5797 21499 5831
+rect 24685 5797 24719 5831
+rect 25053 5797 25087 5831
+rect 10634 5729 10668 5763
+rect 22845 5729 22879 5763
+rect 23029 5729 23063 5763
+rect 10149 5661 10183 5695
+rect 15485 5661 15519 5695
+rect 15669 5661 15703 5695
+rect 16957 5661 16991 5695
+rect 17141 5661 17175 5695
+rect 17601 5661 17635 5695
+rect 17969 5661 18003 5695
+rect 18705 5661 18739 5695
+rect 18889 5661 18923 5695
+rect 19357 5661 19391 5695
+rect 20177 5661 20211 5695
+rect 20453 5661 20487 5695
+rect 20545 5661 20579 5695
+rect 20913 5661 20947 5695
+rect 21097 5661 21131 5695
+rect 21281 5661 21315 5695
+rect 22017 5661 22051 5695
+rect 22293 5661 22327 5695
+rect 22661 5661 22695 5695
+rect 22937 5661 22971 5695
+rect 23121 5661 23155 5695
+rect 23397 5661 23431 5695
+rect 23489 5661 23523 5695
+rect 23857 5661 23891 5695
+rect 24777 5661 24811 5695
+rect 24869 5661 24903 5695
+rect 25145 5661 25179 5695
+rect 25329 5661 25363 5695
+rect 25421 5661 25455 5695
+rect 25789 5661 25823 5695
+rect 10425 5593 10459 5627
+rect 15945 5593 15979 5627
+rect 16681 5593 16715 5627
+rect 18153 5593 18187 5627
+rect 18429 5593 18463 5627
+rect 24501 5593 24535 5627
+rect 10517 5525 10551 5559
+rect 15301 5525 15335 5559
+rect 17325 5525 17359 5559
+rect 20729 5525 20763 5559
+rect 22109 5525 22143 5559
+rect 24961 5525 24995 5559
+rect 11345 5321 11379 5355
+rect 14381 5321 14415 5355
+rect 16497 5321 16531 5355
+rect 8401 5253 8435 5287
+rect 11186 5253 11220 5287
+rect 12173 5253 12207 5287
+rect 14565 5253 14599 5287
+rect 15485 5253 15519 5287
+rect 9781 5185 9815 5219
+rect 10057 5185 10091 5219
+rect 10425 5185 10459 5219
+rect 10517 5185 10551 5219
+rect 10977 5185 11011 5219
+rect 11989 5185 12023 5219
+rect 14197 5185 14231 5219
+rect 15117 5185 15151 5219
+rect 15853 5185 15887 5219
+rect 15945 5185 15979 5219
+rect 16313 5185 16347 5219
+rect 9505 5117 9539 5151
+rect 10701 5117 10735 5151
+rect 11069 5117 11103 5151
+rect 12265 5117 12299 5151
+rect 12541 5117 12575 5151
+rect 15025 5117 15059 5151
+rect 16681 5117 16715 5151
+rect 16957 5117 16991 5151
+rect 8217 5049 8251 5083
+rect 14565 5049 14599 5083
+rect 9873 4981 9907 5015
+rect 10057 4981 10091 5015
+rect 15301 4981 15335 5015
+rect 15577 4981 15611 5015
+rect 16313 4981 16347 5015
+rect 8585 4777 8619 4811
+rect 12817 4777 12851 4811
+rect 6101 4709 6135 4743
+rect 8769 4709 8803 4743
+rect 13093 4709 13127 4743
+rect 13921 4709 13955 4743
+rect 14841 4709 14875 4743
+rect 17417 4709 17451 4743
+rect 7481 4641 7515 4675
+rect 7757 4641 7791 4675
+rect 8125 4641 8159 4675
+rect 8953 4641 8987 4675
+rect 9229 4641 9263 4675
+rect 11989 4641 12023 4675
+rect 16313 4641 16347 4675
+rect 16589 4641 16623 4675
+rect 18981 4659 19015 4693
+rect 23213 4648 23247 4682
+rect 27445 4648 27479 4682
+rect 31493 4659 31527 4693
+rect 35713 4659 35747 4693
+rect 8217 4573 8251 4607
+rect 8585 4573 8619 4607
+rect 10425 4573 10459 4607
+rect 10701 4573 10735 4607
+rect 10977 4573 11011 4607
+rect 12265 4573 12299 4607
+rect 12431 4573 12465 4607
+rect 12541 4573 12575 4607
+rect 12909 4573 12943 4607
+rect 13553 4573 13587 4607
+rect 13737 4573 13771 4607
+rect 15209 4573 15243 4607
+rect 15945 4573 15979 4607
+rect 16221 4573 16255 4607
+rect 17233 4573 17267 4607
+rect 19017 4573 19051 4607
+rect 35745 4573 35779 4607
+rect 6101 4505 6135 4539
+rect 6837 4505 6871 4539
+rect 13369 4505 13403 4539
+rect 14381 4505 14415 4539
+rect 14841 4505 14875 4539
+rect 6561 4437 6595 4471
+rect 6653 4437 6687 4471
+rect 10793 4437 10827 4471
+rect 13645 4437 13679 4471
+rect 14105 4437 14139 4471
+rect 14289 4437 14323 4471
+rect 15025 4437 15059 4471
+rect 23233 4437 23267 4471
+rect 27449 4437 27483 4471
+rect 31529 4437 31563 4471
+rect 19637 4369 19671 4403
+rect 23853 4369 23887 4403
+rect 28069 4369 28103 4403
+rect 32149 4369 32183 4403
+rect 19001 4335 19035 4369
+rect 23201 4335 23235 4369
+rect 27417 4335 27451 4369
+rect 31493 4335 31527 4369
+rect 35725 4350 35759 4384
+rect 36365 4369 36399 4403
+rect 5549 4233 5583 4267
+rect 6653 4233 6687 4267
+rect 11529 4233 11563 4267
+rect 11805 4233 11839 4267
+rect 6101 4165 6135 4199
+rect 6536 4165 6570 4199
+rect 6745 4165 6779 4199
+rect 7389 4165 7423 4199
+rect 7757 4165 7791 4199
+rect 9689 4165 9723 4199
+rect 7021 4097 7055 4131
+rect 8217 4097 8251 4131
+rect 8585 4097 8619 4131
+rect 8953 4097 8987 4131
+rect 9137 4097 9171 4131
+rect 9229 4097 9263 4131
+rect 10977 4097 11011 4131
+rect 11253 4097 11287 4131
+rect 13829 4097 13863 4131
+rect 14112 4097 14146 4131
+rect 14397 4097 14431 4131
+rect 5641 4029 5675 4063
+rect 8309 4029 8343 4063
+rect 9873 4029 9907 4063
+rect 10149 4029 10183 4063
+rect 11688 4029 11722 4063
+rect 11897 4029 11931 4063
+rect 12173 4029 12207 4063
+rect 13277 4029 13311 4063
+rect 13553 4029 13587 4063
+rect 13645 4029 13679 4063
+rect 14197 4029 14231 4063
+rect 15485 4029 15519 4063
+rect 15577 4029 15611 4063
+rect 17325 4029 17359 4063
+rect 17601 4029 17635 4063
+rect 6101 3961 6135 3995
+rect 7573 3961 7607 3995
+rect 7757 3961 7791 3995
+rect 8493 3961 8527 3995
+rect 9689 3961 9723 3995
+rect 14565 3961 14599 3995
+rect 5365 3893 5399 3927
+rect 6377 3893 6411 3927
+rect 8769 3893 8803 3927
+rect 10793 3893 10827 3927
+rect 11161 3893 11195 3927
+rect 14197 3893 14231 3927
+rect 15255 3893 15289 3927
+rect 15807 3893 15841 3927
+rect 2973 3145 3007 3179
+rect 2789 3009 2823 3043
+rect 3801 3009 3835 3043
+rect 6353 3027 6387 3061
+rect 10585 3027 10619 3061
+rect 14801 3027 14835 3061
+rect 18981 3027 19015 3061
+rect 23233 3027 23267 3061
+rect 27445 3027 27479 3061
+rect 31493 3027 31527 3061
+rect 35725 3016 35759 3050
+rect 35745 2941 35779 2975
+rect 10585 2873 10619 2907
+rect 14801 2873 14835 2907
+rect 19017 2873 19051 2907
+rect 23853 2873 23887 2907
+rect 31529 2873 31563 2907
+rect 3985 2805 4019 2839
+rect 6369 2805 6403 2839
+rect 11205 2805 11239 2839
+rect 23233 2805 23267 2839
+rect 27449 2805 27483 2839
+rect 32149 2805 32183 2839
+rect 36365 2805 36399 2839
+rect 6989 2737 7023 2771
+rect 6353 2703 6387 2737
+rect 10585 2703 10619 2737
+rect 14769 2706 14803 2740
+rect 15421 2737 15455 2771
+rect 19637 2737 19671 2771
+rect 18981 2703 19015 2737
+rect 23201 2703 23235 2737
+rect 27445 2718 27479 2752
+rect 28069 2737 28103 2771
+rect 31493 2703 31527 2737
+rect 35725 2718 35759 2752
+<< metal1 >>
+rect 27154 8168 27160 8220
+rect 27212 8208 27218 8220
+rect 37182 8208 37188 8220
+rect 27212 8180 37188 8208
+rect 27212 8168 27218 8180
+rect 37182 8168 37188 8180
+rect 37240 8168 37246 8220
+rect 27890 8032 27896 8084
+rect 27948 8072 27954 8084
+rect 31386 8072 31392 8084
+rect 27948 8044 31392 8072
+rect 27948 8032 27954 8044
+rect 31386 8032 31392 8044
+rect 31444 8032 31450 8084
+rect 36906 8004 36912 8016
+rect 22066 7976 36912 8004
+rect 15562 7692 15568 7744
+rect 15620 7732 15626 7744
+rect 22066 7732 22094 7976
+rect 36906 7964 36912 7976
+rect 36964 7964 36970 8016
+rect 29086 7828 29092 7880
+rect 29144 7868 29150 7880
+rect 29638 7868 29644 7880
+rect 29144 7840 29644 7868
+rect 29144 7828 29150 7840
+rect 29638 7828 29644 7840
+rect 29696 7868 29702 7880
+rect 34974 7868 34980 7880
+rect 29696 7840 34980 7868
+rect 29696 7828 29702 7840
+rect 34974 7828 34980 7840
+rect 35032 7828 35038 7880
+rect 22646 7760 22652 7812
+rect 22704 7800 22710 7812
+rect 28810 7800 28816 7812
+rect 22704 7772 28816 7800
+rect 22704 7760 22710 7772
+rect 28810 7760 28816 7772
+rect 28868 7800 28874 7812
+rect 30650 7800 30656 7812
+rect 28868 7772 30656 7800
+rect 28868 7760 28874 7772
+rect 30650 7760 30656 7772
+rect 30708 7760 30714 7812
+rect 15620 7704 22094 7732
+rect 15620 7692 15626 7704
+rect 26602 7692 26608 7744
+rect 26660 7732 26666 7744
+rect 29270 7732 29276 7744
+rect 26660 7704 29276 7732
+rect 26660 7692 26666 7704
+rect 29270 7692 29276 7704
+rect 29328 7732 29334 7744
+rect 30558 7732 30564 7744
+rect 29328 7704 30564 7732
+rect 29328 7692 29334 7704
+rect 30558 7692 30564 7704
+rect 30616 7692 30622 7744
+rect 1104 7642 38824 7664
+rect 1104 7590 4154 7642
+rect 4206 7590 4218 7642
+rect 4270 7590 4282 7642
+rect 4334 7590 4346 7642
+rect 4398 7590 4410 7642
+rect 4462 7590 4474 7642
+rect 4526 7590 4538 7642
+rect 4590 7590 4602 7642
+rect 4654 7590 4666 7642
+rect 4718 7590 6819 7642
+rect 6871 7590 6883 7642
+rect 6935 7590 6947 7642
+rect 6999 7590 7011 7642
+rect 7063 7590 7075 7642
+rect 7127 7590 7139 7642
+rect 7191 7590 7203 7642
+rect 7255 7590 7267 7642
+rect 7319 7590 7331 7642
+rect 7383 7590 9484 7642
+rect 9536 7590 9548 7642
+rect 9600 7590 9612 7642
+rect 9664 7590 9676 7642
+rect 9728 7590 9740 7642
+rect 9792 7590 9804 7642
+rect 9856 7590 9868 7642
+rect 9920 7590 9932 7642
+rect 9984 7590 9996 7642
+rect 10048 7590 12149 7642
+rect 12201 7590 12213 7642
+rect 12265 7590 12277 7642
+rect 12329 7590 12341 7642
+rect 12393 7590 12405 7642
+rect 12457 7590 12469 7642
+rect 12521 7590 12533 7642
+rect 12585 7590 12597 7642
+rect 12649 7590 12661 7642
+rect 12713 7590 14814 7642
+rect 14866 7590 14878 7642
+rect 14930 7590 14942 7642
+rect 14994 7590 15006 7642
+rect 15058 7590 15070 7642
+rect 15122 7590 15134 7642
+rect 15186 7590 15198 7642
+rect 15250 7590 15262 7642
+rect 15314 7590 15326 7642
+rect 15378 7590 17479 7642
+rect 17531 7590 17543 7642
+rect 17595 7590 17607 7642
+rect 17659 7590 17671 7642
+rect 17723 7590 17735 7642
+rect 17787 7590 17799 7642
+rect 17851 7590 17863 7642
+rect 17915 7590 17927 7642
+rect 17979 7590 17991 7642
+rect 18043 7590 20144 7642
+rect 20196 7590 20208 7642
+rect 20260 7590 20272 7642
+rect 20324 7590 20336 7642
+rect 20388 7590 20400 7642
+rect 20452 7590 20464 7642
+rect 20516 7590 20528 7642
+rect 20580 7590 20592 7642
+rect 20644 7590 20656 7642
+rect 20708 7590 22809 7642
+rect 22861 7590 22873 7642
+rect 22925 7590 22937 7642
+rect 22989 7590 23001 7642
+rect 23053 7590 23065 7642
+rect 23117 7590 23129 7642
+rect 23181 7590 23193 7642
+rect 23245 7590 23257 7642
+rect 23309 7590 23321 7642
+rect 23373 7590 25474 7642
+rect 25526 7590 25538 7642
+rect 25590 7590 25602 7642
+rect 25654 7590 25666 7642
+rect 25718 7590 25730 7642
+rect 25782 7590 25794 7642
+rect 25846 7590 25858 7642
+rect 25910 7590 25922 7642
+rect 25974 7590 25986 7642
+rect 26038 7590 28139 7642
+rect 28191 7590 28203 7642
+rect 28255 7590 28267 7642
+rect 28319 7590 28331 7642
+rect 28383 7590 28395 7642
+rect 28447 7590 28459 7642
+rect 28511 7590 28523 7642
+rect 28575 7590 28587 7642
+rect 28639 7590 28651 7642
+rect 28703 7590 30804 7642
+rect 30856 7590 30868 7642
+rect 30920 7590 30932 7642
+rect 30984 7590 30996 7642
+rect 31048 7590 31060 7642
+rect 31112 7590 31124 7642
+rect 31176 7590 31188 7642
+rect 31240 7590 31252 7642
+rect 31304 7590 31316 7642
+rect 31368 7590 33469 7642
+rect 33521 7590 33533 7642
+rect 33585 7590 33597 7642
+rect 33649 7590 33661 7642
+rect 33713 7590 33725 7642
+rect 33777 7590 33789 7642
+rect 33841 7590 33853 7642
+rect 33905 7590 33917 7642
+rect 33969 7590 33981 7642
+rect 34033 7590 36134 7642
+rect 36186 7590 36198 7642
+rect 36250 7590 36262 7642
+rect 36314 7590 36326 7642
+rect 36378 7590 36390 7642
+rect 36442 7590 36454 7642
+rect 36506 7590 36518 7642
+rect 36570 7590 36582 7642
+rect 36634 7590 36646 7642
+rect 36698 7590 38824 7642
+rect 1104 7568 38824 7590
+rect 27890 7488 27896 7540
+rect 27948 7528 27954 7540
+rect 28445 7531 28503 7537
+rect 28445 7528 28457 7531
+rect 27948 7500 28457 7528
+rect 27948 7488 27954 7500
+rect 28445 7497 28457 7500
+rect 28491 7497 28503 7531
+rect 29270 7528 29276 7540
+rect 28445 7491 28503 7497
+rect 28920 7500 29276 7528
+rect 20070 7420 20076 7472
+rect 20128 7460 20134 7472
+rect 27154 7460 27160 7472
+rect 20128 7432 27160 7460
+rect 20128 7420 20134 7432
+rect 27154 7420 27160 7432
+rect 27212 7420 27218 7472
+rect 28920 7469 28948 7500
+rect 29270 7488 29276 7500
+rect 29328 7488 29334 7540
+rect 31754 7528 31760 7540
+rect 30576 7500 31760 7528
+rect 27617 7463 27675 7469
+rect 27617 7429 27629 7463
+rect 27663 7460 27675 7463
+rect 28909 7463 28967 7469
+rect 27663 7432 28672 7460
+rect 27663 7429 27675 7432
+rect 27617 7423 27675 7429
+rect 21082 7352 21088 7404
+rect 21140 7392 21146 7404
+rect 26973 7395 27031 7401
+rect 26973 7392 26985 7395
+rect 21140 7364 26985 7392
+rect 21140 7352 21146 7364
+rect 26973 7361 26985 7364
+rect 27019 7392 27031 7395
+rect 27433 7395 27491 7401
+rect 27433 7392 27445 7395
+rect 27019 7364 27445 7392
+rect 27019 7361 27031 7364
+rect 26973 7355 27031 7361
+rect 27433 7361 27445 7364
+rect 27479 7361 27491 7395
+rect 27433 7355 27491 7361
+rect 27893 7395 27951 7401
+rect 27893 7361 27905 7395
+rect 27939 7361 27951 7395
+rect 27893 7355 27951 7361
+rect 26142 7284 26148 7336
+rect 26200 7324 26206 7336
+rect 27908 7324 27936 7355
+rect 28166 7324 28172 7336
+rect 26200 7296 27936 7324
+rect 28000 7296 28172 7324
+rect 26200 7284 26206 7296
+rect 23474 7216 23480 7268
+rect 23532 7256 23538 7268
+rect 28000 7256 28028 7296
+rect 28166 7284 28172 7296
+rect 28224 7284 28230 7336
+rect 28368 7333 28396 7432
+rect 28442 7352 28448 7404
+rect 28500 7392 28506 7404
+rect 28537 7395 28595 7401
+rect 28537 7392 28549 7395
+rect 28500 7364 28549 7392
+rect 28500 7352 28506 7364
+rect 28537 7361 28549 7364
+rect 28583 7361 28595 7395
+rect 28644 7395 28672 7432
+rect 28909 7429 28921 7463
+rect 28955 7429 28967 7463
+rect 28810 7401 28816 7428
+rect 28703 7395 28761 7401
+rect 28644 7367 28715 7395
+rect 28537 7355 28595 7361
+rect 28703 7361 28715 7367
+rect 28749 7361 28761 7395
+rect 28703 7355 28761 7361
+rect 28803 7395 28816 7401
+rect 28803 7361 28815 7395
+rect 28868 7376 28874 7428
+rect 28909 7423 28967 7429
+rect 28997 7463 29055 7469
+rect 28997 7429 29009 7463
+rect 29043 7460 29055 7463
+rect 29086 7460 29092 7472
+rect 29043 7432 29092 7460
+rect 29043 7429 29055 7432
+rect 28997 7423 29055 7429
+rect 29086 7420 29092 7432
+rect 29144 7420 29150 7472
+rect 30576 7460 30604 7500
+rect 31754 7488 31760 7500
+rect 31812 7488 31818 7540
+rect 31849 7531 31907 7537
+rect 31849 7497 31861 7531
+rect 31895 7528 31907 7531
+rect 34422 7528 34428 7540
+rect 31895 7500 34428 7528
+rect 31895 7497 31907 7500
+rect 31849 7491 31907 7497
+rect 34422 7488 34428 7500
+rect 34480 7488 34486 7540
+rect 35618 7528 35624 7540
+rect 34808 7500 35624 7528
+rect 34808 7460 34836 7500
+rect 35618 7488 35624 7500
+rect 35676 7488 35682 7540
+rect 35710 7488 35716 7540
+rect 35768 7528 35774 7540
+rect 36906 7528 36912 7540
+rect 35768 7500 36308 7528
+rect 36867 7500 36912 7528
+rect 35768 7488 35774 7500
+rect 34974 7460 34980 7472
+rect 30484 7432 30604 7460
+rect 30668 7432 34836 7460
+rect 34887 7432 34980 7460
+rect 29196 7392 29316 7395
+rect 29638 7392 29644 7404
+rect 28849 7361 28861 7376
+rect 28803 7355 28861 7361
+rect 28966 7367 29408 7392
+rect 28966 7364 29224 7367
+rect 29288 7364 29408 7367
+rect 29599 7364 29644 7392
+rect 28353 7327 28411 7333
+rect 28353 7293 28365 7327
+rect 28399 7324 28411 7327
+rect 28966 7324 28994 7364
+rect 28399 7296 28994 7324
+rect 29181 7327 29239 7333
+rect 28399 7293 28411 7296
+rect 28353 7287 28411 7293
+rect 29181 7293 29193 7327
+rect 29227 7324 29239 7327
+rect 29270 7324 29276 7336
+rect 29227 7296 29276 7324
+rect 29227 7293 29239 7296
+rect 29181 7287 29239 7293
+rect 29270 7284 29276 7296
+rect 29328 7284 29334 7336
+rect 29380 7324 29408 7364
+rect 29638 7352 29644 7364
+rect 29696 7352 29702 7404
+rect 30484 7401 30512 7432
+rect 30668 7404 30696 7432
+rect 30469 7395 30527 7401
+rect 30469 7392 30481 7395
+rect 29748 7364 30481 7392
+rect 29748 7324 29776 7364
+rect 30469 7361 30481 7364
+rect 30515 7361 30527 7395
+rect 30650 7392 30656 7404
+rect 30611 7364 30656 7392
+rect 30469 7355 30527 7361
+rect 30650 7352 30656 7364
+rect 30708 7352 30714 7404
+rect 31202 7392 31208 7404
+rect 31163 7364 31208 7392
+rect 31202 7352 31208 7364
+rect 31260 7352 31266 7404
+rect 31389 7395 31447 7401
+rect 31389 7361 31401 7395
+rect 31435 7361 31447 7395
+rect 31662 7392 31668 7404
+rect 31623 7364 31668 7392
+rect 31389 7355 31447 7361
+rect 30374 7324 30380 7336
+rect 29380 7296 29776 7324
+rect 30335 7296 30380 7324
+rect 30374 7284 30380 7296
+rect 30432 7284 30438 7336
+rect 30558 7284 30564 7336
+rect 30616 7324 30622 7336
+rect 31220 7324 31248 7352
+rect 30616 7296 31248 7324
+rect 30616 7284 30622 7296
+rect 23532 7228 28028 7256
+rect 28077 7259 28135 7265
+rect 23532 7216 23538 7228
+rect 28077 7225 28089 7259
+rect 28123 7256 28135 7259
+rect 30282 7256 30288 7268
+rect 28123 7228 30288 7256
+rect 28123 7225 28135 7228
+rect 28077 7219 28135 7225
+rect 30282 7216 30288 7228
+rect 30340 7216 30346 7268
+rect 30742 7216 30748 7268
+rect 30800 7256 30806 7268
+rect 31404 7256 31432 7355
+rect 31662 7352 31668 7364
+rect 31720 7352 31726 7404
+rect 31846 7352 31852 7404
+rect 31904 7392 31910 7404
+rect 32585 7395 32643 7401
+rect 32585 7392 32597 7395
+rect 31904 7364 32597 7392
+rect 31904 7352 31910 7364
+rect 32585 7361 32597 7364
+rect 32631 7361 32643 7395
+rect 32585 7355 32643 7361
+rect 32953 7395 33011 7401
+rect 32953 7361 32965 7395
+rect 32999 7361 33011 7395
+rect 32953 7355 33011 7361
+rect 32968 7324 32996 7355
+rect 33134 7352 33140 7404
+rect 33192 7392 33198 7404
+rect 33888 7401 33916 7432
+rect 34974 7420 34980 7432
+rect 35032 7460 35038 7472
+rect 35032 7432 35480 7460
+rect 35032 7420 35038 7432
+rect 33505 7395 33563 7401
+rect 33505 7392 33517 7395
+rect 33192 7364 33517 7392
+rect 33192 7352 33198 7364
+rect 33505 7361 33517 7364
+rect 33551 7361 33563 7395
+rect 33505 7355 33563 7361
+rect 33873 7395 33931 7401
+rect 33873 7361 33885 7395
+rect 33919 7361 33931 7395
+rect 34238 7392 34244 7404
+rect 34199 7364 34244 7392
+rect 33873 7355 33931 7361
+rect 34238 7352 34244 7364
+rect 34296 7352 34302 7404
+rect 34698 7352 34704 7404
+rect 34756 7392 34762 7404
+rect 34793 7395 34851 7401
+rect 34793 7392 34805 7395
+rect 34756 7364 34805 7392
+rect 34756 7352 34762 7364
+rect 34793 7361 34805 7364
+rect 34839 7361 34851 7395
+rect 34793 7355 34851 7361
+rect 34882 7352 34888 7404
+rect 34940 7392 34946 7404
+rect 35452 7401 35480 7432
+rect 35526 7420 35532 7472
+rect 35584 7460 35590 7472
+rect 35584 7432 35744 7460
+rect 35584 7420 35590 7432
+rect 35069 7395 35127 7401
+rect 34940 7364 34985 7392
+rect 34940 7352 34946 7364
+rect 35069 7361 35081 7395
+rect 35115 7361 35127 7395
+rect 35437 7395 35495 7401
+rect 35069 7355 35127 7361
+rect 35176 7364 35388 7392
+rect 35084 7324 35112 7355
+rect 32968 7296 35112 7324
+rect 30800 7228 31432 7256
+rect 30800 7216 30806 7228
+rect 31754 7216 31760 7268
+rect 31812 7256 31818 7268
+rect 32968 7256 32996 7296
+rect 33318 7256 33324 7268
+rect 31812 7228 32996 7256
+rect 33279 7228 33324 7256
+rect 31812 7216 31818 7228
+rect 33318 7216 33324 7228
+rect 33376 7216 33382 7268
+rect 34238 7216 34244 7268
+rect 34296 7256 34302 7268
+rect 35176 7256 35204 7364
+rect 35253 7327 35311 7333
+rect 35253 7293 35265 7327
+rect 35299 7293 35311 7327
+rect 35360 7324 35388 7364
+rect 35437 7361 35449 7395
+rect 35483 7361 35495 7395
+rect 35618 7392 35624 7404
+rect 35579 7364 35624 7392
+rect 35437 7355 35495 7361
+rect 35618 7352 35624 7364
+rect 35676 7352 35682 7404
+rect 35716 7392 35744 7432
+rect 35894 7420 35900 7472
+rect 35952 7460 35958 7472
+rect 36280 7469 36308 7500
+rect 36906 7488 36912 7500
+rect 36964 7488 36970 7540
+rect 37366 7488 37372 7540
+rect 37424 7528 37430 7540
+rect 37921 7531 37979 7537
+rect 37921 7528 37933 7531
+rect 37424 7500 37933 7528
+rect 37424 7488 37430 7500
+rect 37921 7497 37933 7500
+rect 37967 7497 37979 7531
+rect 37921 7491 37979 7497
+rect 36265 7463 36323 7469
+rect 35952 7432 36216 7460
+rect 35952 7420 35958 7432
+rect 36188 7401 36216 7432
+rect 36265 7429 36277 7463
+rect 36311 7429 36323 7463
+rect 36265 7423 36323 7429
+rect 36998 7420 37004 7472
+rect 37056 7460 37062 7472
+rect 37056 7432 38516 7460
+rect 37056 7420 37062 7432
+rect 36063 7395 36121 7401
+rect 35716 7376 36032 7392
+rect 36063 7376 36075 7395
+rect 35716 7364 36075 7376
+rect 36004 7361 36075 7364
+rect 36109 7361 36121 7395
+rect 36004 7355 36121 7361
+rect 36173 7395 36231 7401
+rect 36173 7361 36185 7395
+rect 36219 7361 36231 7395
+rect 36173 7355 36231 7361
+rect 36357 7395 36415 7401
+rect 36357 7361 36369 7395
+rect 36403 7392 36415 7395
+rect 36446 7392 36452 7404
+rect 36403 7364 36452 7392
+rect 36403 7361 36415 7364
+rect 36357 7355 36415 7361
+rect 36004 7348 36106 7355
+rect 36446 7352 36452 7364
+rect 36504 7352 36510 7404
+rect 37090 7392 37096 7404
+rect 37051 7364 37096 7392
+rect 37090 7352 37096 7364
+rect 37148 7352 37154 7404
+rect 37737 7395 37795 7401
+rect 37737 7361 37749 7395
+rect 37783 7361 37795 7395
+rect 37737 7355 37795 7361
+rect 38105 7395 38163 7401
+rect 38105 7361 38117 7395
+rect 38151 7392 38163 7395
+rect 38194 7392 38200 7404
+rect 38151 7364 38200 7392
+rect 38151 7361 38163 7364
+rect 38105 7355 38163 7361
+rect 35526 7324 35532 7336
+rect 35360 7296 35532 7324
+rect 35253 7287 35311 7293
+rect 34296 7228 35204 7256
+rect 35268 7256 35296 7287
+rect 35526 7284 35532 7296
+rect 35584 7284 35590 7336
+rect 35710 7324 35716 7336
+rect 35671 7296 35716 7324
+rect 35710 7284 35716 7296
+rect 35768 7284 35774 7336
+rect 36541 7327 36599 7333
+rect 36541 7293 36553 7327
+rect 36587 7293 36599 7327
+rect 37752 7324 37780 7355
+rect 38194 7352 38200 7364
+rect 38252 7352 38258 7404
+rect 38488 7401 38516 7432
+rect 38473 7395 38531 7401
+rect 38473 7361 38485 7395
+rect 38519 7361 38531 7395
+rect 38473 7355 38531 7361
+rect 38746 7324 38752 7336
+rect 37752 7296 38752 7324
+rect 36541 7287 36599 7293
+rect 35802 7256 35808 7268
+rect 35268 7228 35808 7256
+rect 34296 7216 34302 7228
+rect 35802 7216 35808 7228
+rect 35860 7216 35866 7268
+rect 36078 7216 36084 7268
+rect 36136 7256 36142 7268
+rect 36556 7256 36584 7287
+rect 38746 7284 38752 7296
+rect 38804 7284 38810 7336
+rect 36136 7228 36584 7256
+rect 36136 7216 36142 7228
+rect 37274 7216 37280 7268
+rect 37332 7256 37338 7268
+rect 38289 7259 38347 7265
+rect 38289 7256 38301 7259
+rect 37332 7228 38301 7256
+rect 37332 7216 37338 7228
+rect 38289 7225 38301 7228
+rect 38335 7225 38347 7259
+rect 38289 7219 38347 7225
+rect 17218 7148 17224 7200
+rect 17276 7188 17282 7200
+rect 27522 7188 27528 7200
+rect 17276 7160 27528 7188
+rect 17276 7148 17282 7160
+rect 27522 7148 27528 7160
+rect 27580 7148 27586 7200
+rect 28258 7188 28264 7200
+rect 28219 7160 28264 7188
+rect 28258 7148 28264 7160
+rect 28316 7148 28322 7200
+rect 28442 7148 28448 7200
+rect 28500 7188 28506 7200
+rect 28902 7188 28908 7200
+rect 28500 7160 28908 7188
+rect 28500 7148 28506 7160
+rect 28902 7148 28908 7160
+rect 28960 7148 28966 7200
+rect 30558 7148 30564 7200
+rect 30616 7188 30622 7200
+rect 31110 7188 31116 7200
+rect 30616 7160 31116 7188
+rect 30616 7148 30622 7160
+rect 31110 7148 31116 7160
+rect 31168 7148 31174 7200
+rect 31570 7188 31576 7200
+rect 31531 7160 31576 7188
+rect 31570 7148 31576 7160
+rect 31628 7148 31634 7200
+rect 32769 7191 32827 7197
+rect 32769 7157 32781 7191
+rect 32815 7188 32827 7191
+rect 35526 7188 35532 7200
+rect 32815 7160 35532 7188
+rect 32815 7157 32827 7160
+rect 32769 7151 32827 7157
+rect 35526 7148 35532 7160
+rect 35584 7148 35590 7200
+rect 35710 7148 35716 7200
+rect 35768 7188 35774 7200
+rect 35897 7191 35955 7197
+rect 35897 7188 35909 7191
+rect 35768 7160 35909 7188
+rect 35768 7148 35774 7160
+rect 35897 7157 35909 7160
+rect 35943 7157 35955 7191
+rect 35897 7151 35955 7157
+rect 37182 7148 37188 7200
+rect 37240 7188 37246 7200
+rect 37553 7191 37611 7197
+rect 37553 7188 37565 7191
+rect 37240 7160 37565 7188
+rect 37240 7148 37246 7160
+rect 37553 7157 37565 7160
+rect 37599 7157 37611 7191
+rect 37553 7151 37611 7157
+rect 1104 7098 38824 7120
+rect 1104 7046 2822 7098
+rect 2874 7046 2886 7098
+rect 2938 7046 2950 7098
+rect 3002 7046 3014 7098
+rect 3066 7046 3078 7098
+rect 3130 7046 3142 7098
+rect 3194 7046 3206 7098
+rect 3258 7046 3270 7098
+rect 3322 7046 3334 7098
+rect 3386 7046 5487 7098
+rect 5539 7046 5551 7098
+rect 5603 7046 5615 7098
+rect 5667 7046 5679 7098
+rect 5731 7046 5743 7098
+rect 5795 7046 5807 7098
+rect 5859 7046 5871 7098
+rect 5923 7046 5935 7098
+rect 5987 7046 5999 7098
+rect 6051 7046 8152 7098
+rect 8204 7046 8216 7098
+rect 8268 7046 8280 7098
+rect 8332 7046 8344 7098
+rect 8396 7046 8408 7098
+rect 8460 7046 8472 7098
+rect 8524 7046 8536 7098
+rect 8588 7046 8600 7098
+rect 8652 7046 8664 7098
+rect 8716 7046 10817 7098
+rect 10869 7046 10881 7098
+rect 10933 7046 10945 7098
+rect 10997 7046 11009 7098
+rect 11061 7046 11073 7098
+rect 11125 7046 11137 7098
+rect 11189 7046 11201 7098
+rect 11253 7046 11265 7098
+rect 11317 7046 11329 7098
+rect 11381 7046 13482 7098
+rect 13534 7046 13546 7098
+rect 13598 7046 13610 7098
+rect 13662 7046 13674 7098
+rect 13726 7046 13738 7098
+rect 13790 7046 13802 7098
+rect 13854 7046 13866 7098
+rect 13918 7046 13930 7098
+rect 13982 7046 13994 7098
+rect 14046 7046 16147 7098
+rect 16199 7046 16211 7098
+rect 16263 7046 16275 7098
+rect 16327 7046 16339 7098
+rect 16391 7046 16403 7098
+rect 16455 7046 16467 7098
+rect 16519 7046 16531 7098
+rect 16583 7046 16595 7098
+rect 16647 7046 16659 7098
+rect 16711 7046 18812 7098
+rect 18864 7046 18876 7098
+rect 18928 7046 18940 7098
+rect 18992 7046 19004 7098
+rect 19056 7046 19068 7098
+rect 19120 7046 19132 7098
+rect 19184 7046 19196 7098
+rect 19248 7046 19260 7098
+rect 19312 7046 19324 7098
+rect 19376 7046 21477 7098
+rect 21529 7046 21541 7098
+rect 21593 7046 21605 7098
+rect 21657 7046 21669 7098
+rect 21721 7046 21733 7098
+rect 21785 7046 21797 7098
+rect 21849 7046 21861 7098
+rect 21913 7046 21925 7098
+rect 21977 7046 21989 7098
+rect 22041 7046 24142 7098
+rect 24194 7046 24206 7098
+rect 24258 7046 24270 7098
+rect 24322 7046 24334 7098
+rect 24386 7046 24398 7098
+rect 24450 7046 24462 7098
+rect 24514 7046 24526 7098
+rect 24578 7046 24590 7098
+rect 24642 7046 24654 7098
+rect 24706 7046 26807 7098
+rect 26859 7046 26871 7098
+rect 26923 7046 26935 7098
+rect 26987 7046 26999 7098
+rect 27051 7046 27063 7098
+rect 27115 7046 27127 7098
+rect 27179 7046 27191 7098
+rect 27243 7046 27255 7098
+rect 27307 7046 27319 7098
+rect 27371 7046 29472 7098
+rect 29524 7046 29536 7098
+rect 29588 7046 29600 7098
+rect 29652 7046 29664 7098
+rect 29716 7046 29728 7098
+rect 29780 7046 29792 7098
+rect 29844 7046 29856 7098
+rect 29908 7046 29920 7098
+rect 29972 7046 29984 7098
+rect 30036 7046 32137 7098
+rect 32189 7046 32201 7098
+rect 32253 7046 32265 7098
+rect 32317 7046 32329 7098
+rect 32381 7046 32393 7098
+rect 32445 7046 32457 7098
+rect 32509 7046 32521 7098
+rect 32573 7046 32585 7098
+rect 32637 7046 32649 7098
+rect 32701 7046 34802 7098
+rect 34854 7046 34866 7098
+rect 34918 7046 34930 7098
+rect 34982 7046 34994 7098
+rect 35046 7046 35058 7098
+rect 35110 7046 35122 7098
+rect 35174 7046 35186 7098
+rect 35238 7046 35250 7098
+rect 35302 7046 35314 7098
+rect 35366 7046 37467 7098
+rect 37519 7046 37531 7098
+rect 37583 7046 37595 7098
+rect 37647 7046 37659 7098
+rect 37711 7046 37723 7098
+rect 37775 7046 37787 7098
+rect 37839 7046 37851 7098
+rect 37903 7046 37915 7098
+rect 37967 7046 37979 7098
+rect 38031 7046 38824 7098
+rect 1104 7024 38824 7046
+rect 9214 6944 9220 6996
+rect 9272 6984 9278 6996
+rect 22462 6984 22468 6996
+rect 9272 6956 22468 6984
+rect 9272 6944 9278 6956
+rect 22462 6944 22468 6956
+rect 22520 6944 22526 6996
+rect 25314 6944 25320 6996
+rect 25372 6984 25378 6996
+rect 28258 6984 28264 6996
+rect 25372 6956 28264 6984
+rect 25372 6944 25378 6956
+rect 28258 6944 28264 6956
+rect 28316 6984 28322 6996
+rect 30558 6984 30564 6996
+rect 28316 6956 30564 6984
+rect 28316 6944 28322 6956
+rect 30558 6944 30564 6956
+rect 30616 6944 30622 6996
+rect 33134 6944 33140 6996
+rect 33192 6984 33198 6996
+rect 35894 6984 35900 6996
+rect 33192 6956 35900 6984
+rect 33192 6944 33198 6956
+rect 35894 6944 35900 6956
+rect 35952 6944 35958 6996
+rect 7466 6876 7472 6928
+rect 7524 6916 7530 6928
+rect 17218 6916 17224 6928
+rect 7524 6888 17224 6916
+rect 7524 6876 7530 6888
+rect 17218 6876 17224 6888
+rect 17276 6876 17282 6928
+rect 24673 6919 24731 6925
+rect 24673 6885 24685 6919
+rect 24719 6916 24731 6919
+rect 31018 6916 31024 6928
+rect 24719 6888 31024 6916
+rect 24719 6885 24731 6888
+rect 24673 6879 24731 6885
+rect 31018 6876 31024 6888
+rect 31076 6876 31082 6928
+rect 31110 6876 31116 6928
+rect 31168 6916 31174 6928
+rect 34698 6916 34704 6928
+rect 31168 6888 34704 6916
+rect 31168 6876 31174 6888
+rect 34698 6876 34704 6888
+rect 34756 6876 34762 6928
+rect 35618 6876 35624 6928
+rect 35676 6876 35682 6928
+rect 26234 6808 26240 6860
+rect 26292 6848 26298 6860
+rect 35636 6848 35664 6876
+rect 26292 6820 35664 6848
+rect 26292 6808 26298 6820
+rect 18138 6740 18144 6792
+rect 18196 6780 18202 6792
+rect 23842 6780 23848 6792
+rect 18196 6752 23848 6780
+rect 18196 6740 18202 6752
+rect 23842 6740 23848 6752
+rect 23900 6740 23906 6792
+rect 23934 6740 23940 6792
+rect 23992 6780 23998 6792
+rect 24489 6783 24547 6789
+rect 24489 6780 24501 6783
+rect 23992 6752 24501 6780
+rect 23992 6740 23998 6752
+rect 24489 6749 24501 6752
+rect 24535 6749 24547 6783
+rect 24489 6743 24547 6749
+rect 25222 6740 25228 6792
+rect 25280 6780 25286 6792
+rect 25777 6783 25835 6789
+rect 25777 6780 25789 6783
+rect 25280 6752 25789 6780
+rect 25280 6740 25286 6752
+rect 25777 6749 25789 6752
+rect 25823 6749 25835 6783
+rect 25777 6743 25835 6749
+rect 28166 6740 28172 6792
+rect 28224 6780 28230 6792
+rect 34238 6780 34244 6792
+rect 28224 6752 34244 6780
+rect 28224 6740 28230 6752
+rect 34238 6740 34244 6752
+rect 34296 6740 34302 6792
+rect 24394 6672 24400 6724
+rect 24452 6712 24458 6724
+rect 28442 6712 28448 6724
+rect 24452 6684 28448 6712
+rect 24452 6672 24458 6684
+rect 28442 6672 28448 6684
+rect 28500 6672 28506 6724
+rect 25961 6647 26019 6653
+rect 25961 6613 25973 6647
+rect 26007 6644 26019 6647
+rect 31662 6644 31668 6656
+rect 26007 6616 31668 6644
+rect 26007 6613 26019 6616
+rect 25961 6607 26019 6613
+rect 31662 6604 31668 6616
+rect 31720 6604 31726 6656
+rect 1104 6554 26312 6576
+rect 1104 6502 4154 6554
+rect 4206 6502 4218 6554
+rect 4270 6502 4282 6554
+rect 4334 6502 4346 6554
+rect 4398 6502 4410 6554
+rect 4462 6502 4474 6554
+rect 4526 6502 4538 6554
+rect 4590 6502 4602 6554
+rect 4654 6502 4666 6554
+rect 4718 6502 6819 6554
+rect 6871 6502 6883 6554
+rect 6935 6502 6947 6554
+rect 6999 6502 7011 6554
+rect 7063 6502 7075 6554
+rect 7127 6502 7139 6554
+rect 7191 6502 7203 6554
+rect 7255 6502 7267 6554
+rect 7319 6502 7331 6554
+rect 7383 6502 9484 6554
+rect 9536 6502 9548 6554
+rect 9600 6502 9612 6554
+rect 9664 6502 9676 6554
+rect 9728 6502 9740 6554
+rect 9792 6502 9804 6554
+rect 9856 6502 9868 6554
+rect 9920 6502 9932 6554
+rect 9984 6502 9996 6554
+rect 10048 6502 12149 6554
+rect 12201 6502 12213 6554
+rect 12265 6502 12277 6554
+rect 12329 6502 12341 6554
+rect 12393 6502 12405 6554
+rect 12457 6502 12469 6554
+rect 12521 6502 12533 6554
+rect 12585 6502 12597 6554
+rect 12649 6502 12661 6554
+rect 12713 6502 14814 6554
+rect 14866 6502 14878 6554
+rect 14930 6502 14942 6554
+rect 14994 6502 15006 6554
+rect 15058 6502 15070 6554
+rect 15122 6502 15134 6554
+rect 15186 6502 15198 6554
+rect 15250 6502 15262 6554
+rect 15314 6502 15326 6554
+rect 15378 6502 17479 6554
+rect 17531 6502 17543 6554
+rect 17595 6502 17607 6554
+rect 17659 6502 17671 6554
+rect 17723 6502 17735 6554
+rect 17787 6502 17799 6554
+rect 17851 6502 17863 6554
+rect 17915 6502 17927 6554
+rect 17979 6502 17991 6554
+rect 18043 6502 20144 6554
+rect 20196 6502 20208 6554
+rect 20260 6502 20272 6554
+rect 20324 6502 20336 6554
+rect 20388 6502 20400 6554
+rect 20452 6502 20464 6554
+rect 20516 6502 20528 6554
+rect 20580 6502 20592 6554
+rect 20644 6502 20656 6554
+rect 20708 6502 22809 6554
+rect 22861 6502 22873 6554
+rect 22925 6502 22937 6554
+rect 22989 6502 23001 6554
+rect 23053 6502 23065 6554
+rect 23117 6502 23129 6554
+rect 23181 6502 23193 6554
+rect 23245 6502 23257 6554
+rect 23309 6502 23321 6554
+rect 23373 6502 25474 6554
+rect 25526 6502 25538 6554
+rect 25590 6502 25602 6554
+rect 25654 6502 25666 6554
+rect 25718 6502 25730 6554
+rect 25782 6502 25794 6554
+rect 25846 6502 25858 6554
+rect 25910 6502 25922 6554
+rect 25974 6502 25986 6554
+rect 26038 6502 26312 6554
+rect 1104 6480 26312 6502
+rect 15838 6400 15844 6452
+rect 15896 6440 15902 6452
+rect 18414 6440 18420 6452
+rect 15896 6412 18420 6440
+rect 15896 6400 15902 6412
+rect 18414 6400 18420 6412
+rect 18472 6440 18478 6452
+rect 24670 6440 24676 6452
+rect 18472 6412 24676 6440
+rect 18472 6400 18478 6412
+rect 24670 6400 24676 6412
+rect 24728 6400 24734 6452
+rect 24765 6443 24823 6449
+rect 24765 6409 24777 6443
+rect 24811 6409 24823 6443
+rect 25038 6440 25044 6452
+rect 24999 6412 25044 6440
+rect 24765 6403 24823 6409
+rect 17218 6332 17224 6384
+rect 17276 6372 17282 6384
+rect 20990 6372 20996 6384
+rect 17276 6344 20996 6372
+rect 17276 6332 17282 6344
+rect 20990 6332 20996 6344
+rect 21048 6372 21054 6384
+rect 22281 6375 22339 6381
+rect 22281 6372 22293 6375
+rect 21048 6344 22293 6372
+rect 21048 6332 21054 6344
+rect 22281 6341 22293 6344
+rect 22327 6341 22339 6375
+rect 24394 6372 24400 6384
+rect 24355 6344 24400 6372
+rect 22281 6335 22339 6341
+rect 24394 6332 24400 6344
+rect 24452 6332 24458 6384
+rect 24780 6372 24808 6403
+rect 25038 6400 25044 6412
+rect 25096 6400 25102 6452
+rect 27652 6447 27716 6472
+rect 27652 6395 27658 6447
+rect 27710 6395 27716 6447
+rect 24780 6344 27292 6372
+rect 27652 6370 27716 6395
+rect 31732 6447 31796 6472
+rect 31732 6395 31738 6447
+rect 31790 6395 31796 6447
+rect 31732 6370 31796 6395
+rect 35948 6447 36012 6472
+rect 35948 6395 35954 6447
+rect 36006 6395 36012 6447
+rect 35948 6370 36012 6395
+rect 27264 6322 27292 6344
+rect 27405 6325 27463 6331
+rect 27405 6322 27417 6325
+rect 14366 6264 14372 6316
+rect 14424 6304 14430 6316
+rect 17129 6307 17187 6313
+rect 17129 6304 17141 6307
+rect 14424 6276 17141 6304
+rect 14424 6264 14430 6276
+rect 17129 6273 17141 6276
+rect 17175 6273 17187 6307
+rect 17129 6267 17187 6273
+rect 17402 6264 17408 6316
+rect 17460 6304 17466 6316
+rect 21821 6307 21879 6313
+rect 21821 6304 21833 6307
+rect 17460 6276 21833 6304
+rect 17460 6264 17466 6276
+rect 21821 6273 21833 6276
+rect 21867 6273 21879 6307
+rect 21821 6267 21879 6273
+rect 23658 6264 23664 6316
+rect 23716 6304 23722 6316
+rect 24213 6307 24271 6313
+rect 24213 6304 24225 6307
+rect 23716 6276 24225 6304
+rect 23716 6264 23722 6276
+rect 24213 6273 24225 6276
+rect 24259 6273 24271 6307
+rect 24213 6267 24271 6273
+rect 24581 6307 24639 6313
+rect 24581 6273 24593 6307
+rect 24627 6273 24639 6307
+rect 24581 6267 24639 6273
+rect 24857 6307 24915 6313
+rect 24857 6273 24869 6307
+rect 24903 6273 24915 6307
+rect 24857 6267 24915 6273
+rect 18506 6196 18512 6248
+rect 18564 6236 18570 6248
+rect 18564 6208 19334 6236
+rect 18564 6196 18570 6208
+rect 16850 6128 16856 6180
+rect 16908 6168 16914 6180
+rect 18598 6168 18604 6180
+rect 16908 6140 18604 6168
+rect 16908 6128 16914 6140
+rect 18598 6128 18604 6140
+rect 18656 6128 18662 6180
+rect 17313 6103 17371 6109
+rect 17313 6069 17325 6103
+rect 17359 6100 17371 6103
+rect 18690 6100 18696 6112
+rect 17359 6072 18696 6100
+rect 17359 6069 17371 6072
+rect 17313 6063 17371 6069
+rect 18690 6060 18696 6072
+rect 18748 6060 18754 6112
+rect 19306 6100 19334 6208
+rect 24026 6196 24032 6248
+rect 24084 6236 24090 6248
+rect 24596 6236 24624 6267
+rect 24084 6208 24624 6236
+rect 24084 6196 24090 6208
+rect 22005 6171 22063 6177
+rect 22005 6137 22017 6171
+rect 22051 6168 22063 6171
+rect 22830 6168 22836 6180
+rect 22051 6140 22836 6168
+rect 22051 6137 22063 6140
+rect 22005 6131 22063 6137
+rect 22830 6128 22836 6140
+rect 22888 6128 22894 6180
+rect 23474 6128 23480 6180
+rect 23532 6168 23538 6180
+rect 24872 6168 24900 6267
+rect 25038 6264 25044 6316
+rect 25096 6304 25102 6316
+rect 25501 6307 25559 6313
+rect 25501 6304 25513 6307
+rect 25096 6276 25513 6304
+rect 25096 6264 25102 6276
+rect 25501 6273 25513 6276
+rect 25547 6304 25559 6307
+rect 26602 6304 26608 6316
+rect 25547 6276 26608 6304
+rect 25547 6273 25559 6276
+rect 25501 6267 25559 6273
+rect 26602 6264 26608 6276
+rect 26660 6264 26666 6316
+rect 27264 6294 27417 6322
+rect 27405 6291 27417 6294
+rect 27451 6291 27463 6325
+rect 31481 6325 31539 6331
+rect 27405 6285 27463 6291
+rect 30282 6264 30288 6316
+rect 30340 6304 30346 6316
+rect 31481 6304 31493 6325
+rect 30340 6291 31493 6304
+rect 31527 6291 31539 6325
+rect 30340 6285 31539 6291
+rect 35713 6325 35771 6331
+rect 35713 6291 35725 6325
+rect 35759 6322 35771 6325
+rect 35802 6322 35808 6334
+rect 35759 6294 35808 6322
+rect 35759 6291 35771 6294
+rect 35713 6285 35771 6291
+rect 30340 6276 31524 6285
+rect 35802 6282 35808 6294
+rect 35860 6282 35866 6334
+rect 30340 6264 30346 6276
+rect 25314 6196 25320 6248
+rect 25372 6236 25378 6248
+rect 25409 6239 25467 6245
+rect 25409 6236 25421 6239
+rect 25372 6208 25421 6236
+rect 25372 6196 25378 6208
+rect 25409 6205 25421 6208
+rect 25455 6205 25467 6239
+rect 25409 6199 25467 6205
+rect 25593 6239 25651 6245
+rect 25593 6205 25605 6239
+rect 25639 6205 25651 6239
+rect 25593 6199 25651 6205
+rect 25498 6168 25504 6180
+rect 23532 6140 25504 6168
+rect 23532 6128 23538 6140
+rect 25498 6128 25504 6140
+rect 25556 6128 25562 6180
+rect 25608 6168 25636 6199
+rect 25682 6196 25688 6248
+rect 25740 6236 25746 6248
+rect 33134 6236 33140 6248
+rect 25740 6208 33140 6236
+rect 25740 6196 25746 6208
+rect 33134 6196 33140 6208
+rect 33192 6196 33198 6248
+rect 26234 6168 26240 6180
+rect 25608 6140 26240 6168
+rect 21082 6100 21088 6112
+rect 19306 6072 21088 6100
+rect 21082 6060 21088 6072
+rect 21140 6060 21146 6112
+rect 22373 6103 22431 6109
+rect 22373 6069 22385 6103
+rect 22419 6100 22431 6103
+rect 23198 6100 23204 6112
+rect 22419 6072 23204 6100
+rect 22419 6069 22431 6072
+rect 22373 6063 22431 6069
+rect 23198 6060 23204 6072
+rect 23256 6060 23262 6112
+rect 24946 6060 24952 6112
+rect 25004 6100 25010 6112
+rect 25608 6100 25636 6140
+rect 26234 6128 26240 6140
+rect 26292 6128 26298 6180
+rect 25004 6072 25636 6100
+rect 25869 6103 25927 6109
+rect 25004 6060 25010 6072
+rect 25869 6069 25881 6103
+rect 25915 6100 25927 6103
+rect 26050 6100 26056 6112
+rect 25915 6072 26056 6100
+rect 25915 6069 25927 6072
+rect 25869 6063 25927 6069
+rect 26050 6060 26056 6072
+rect 26108 6060 26114 6112
+rect 27437 6103 27495 6109
+rect 27437 6069 27449 6103
+rect 27483 6100 27495 6103
+rect 27798 6100 27804 6112
+rect 27483 6072 27804 6100
+rect 27483 6069 27495 6072
+rect 27437 6063 27495 6069
+rect 27798 6060 27804 6072
+rect 27856 6060 27862 6112
+rect 31517 6103 31575 6109
+rect 31517 6069 31529 6103
+rect 31563 6100 31575 6103
+rect 31846 6100 31852 6112
+rect 31563 6072 31852 6100
+rect 31563 6069 31575 6072
+rect 31517 6063 31575 6069
+rect 31846 6060 31852 6072
+rect 31904 6060 31910 6112
+rect 35434 6060 35440 6112
+rect 35492 6100 35498 6112
+rect 35733 6103 35791 6109
+rect 35733 6100 35745 6103
+rect 35492 6072 35745 6100
+rect 35492 6060 35498 6072
+rect 35733 6069 35745 6072
+rect 35779 6069 35791 6103
+rect 35733 6063 35791 6069
+rect 28074 6041 28080 6044
+rect 28057 6035 28080 6041
+rect 1104 6010 26312 6032
+rect 1104 5958 2822 6010
+rect 2874 5958 2886 6010
+rect 2938 5958 2950 6010
+rect 3002 5958 3014 6010
+rect 3066 5958 3078 6010
+rect 3130 5958 3142 6010
+rect 3194 5958 3206 6010
+rect 3258 5958 3270 6010
+rect 3322 5958 3334 6010
+rect 3386 5958 5487 6010
+rect 5539 5958 5551 6010
+rect 5603 5958 5615 6010
+rect 5667 5958 5679 6010
+rect 5731 5958 5743 6010
+rect 5795 5958 5807 6010
+rect 5859 5958 5871 6010
+rect 5923 5958 5935 6010
+rect 5987 5958 5999 6010
+rect 6051 5958 8152 6010
+rect 8204 5958 8216 6010
+rect 8268 5958 8280 6010
+rect 8332 5958 8344 6010
+rect 8396 5958 8408 6010
+rect 8460 5958 8472 6010
+rect 8524 5958 8536 6010
+rect 8588 5958 8600 6010
+rect 8652 5958 8664 6010
+rect 8716 5958 10817 6010
+rect 10869 5958 10881 6010
+rect 10933 5958 10945 6010
+rect 10997 5958 11009 6010
+rect 11061 5958 11073 6010
+rect 11125 5958 11137 6010
+rect 11189 5958 11201 6010
+rect 11253 5958 11265 6010
+rect 11317 5958 11329 6010
+rect 11381 5958 13482 6010
+rect 13534 5958 13546 6010
+rect 13598 5958 13610 6010
+rect 13662 5958 13674 6010
+rect 13726 5958 13738 6010
+rect 13790 5958 13802 6010
+rect 13854 5958 13866 6010
+rect 13918 5958 13930 6010
+rect 13982 5958 13994 6010
+rect 14046 5958 16147 6010
+rect 16199 5958 16211 6010
+rect 16263 5958 16275 6010
+rect 16327 5958 16339 6010
+rect 16391 5958 16403 6010
+rect 16455 5958 16467 6010
+rect 16519 5958 16531 6010
+rect 16583 5958 16595 6010
+rect 16647 5958 16659 6010
+rect 16711 5958 18812 6010
+rect 18864 5958 18876 6010
+rect 18928 5958 18940 6010
+rect 18992 5958 19004 6010
+rect 19056 5958 19068 6010
+rect 19120 5958 19132 6010
+rect 19184 5958 19196 6010
+rect 19248 5958 19260 6010
+rect 19312 5958 19324 6010
+rect 19376 5958 21477 6010
+rect 21529 5958 21541 6010
+rect 21593 5958 21605 6010
+rect 21657 5958 21669 6010
+rect 21721 5958 21733 6010
+rect 21785 5958 21797 6010
+rect 21849 5958 21861 6010
+rect 21913 5958 21925 6010
+rect 21977 5958 21989 6010
+rect 22041 5958 24142 6010
+rect 24194 5958 24206 6010
+rect 24258 5958 24270 6010
+rect 24322 5958 24334 6010
+rect 24386 5958 24398 6010
+rect 24450 5958 24462 6010
+rect 24514 5958 24526 6010
+rect 24578 5958 24590 6010
+rect 24642 5958 24654 6010
+rect 24706 5958 26312 6010
+rect 27246 5958 27252 6010
+rect 27304 5998 27310 6010
+rect 27405 6001 27463 6007
+rect 27405 5998 27417 6001
+rect 27304 5970 27417 5998
+rect 27304 5958 27310 5970
+rect 27405 5967 27417 5970
+rect 27451 5967 27463 6001
+rect 28057 6001 28069 6035
+rect 28057 5995 28080 6001
+rect 28074 5992 28080 5995
+rect 28132 5992 28138 6044
+rect 31481 6001 31539 6007
+rect 27405 5961 27463 5967
+rect 31481 5967 31493 6001
+rect 31527 5998 31539 6001
+rect 31570 5998 31576 6010
+rect 31527 5970 31576 5998
+rect 31527 5967 31539 5970
+rect 31481 5961 31539 5967
+rect 31570 5958 31576 5970
+rect 31628 5958 31634 6010
+rect 31938 5992 31944 6044
+rect 31996 6032 32002 6044
+rect 32137 6035 32195 6041
+rect 32137 6032 32149 6035
+rect 31996 6004 32149 6032
+rect 31996 5992 32002 6004
+rect 32137 6001 32149 6004
+rect 32183 6001 32195 6035
+rect 32137 5995 32195 6001
+rect 34422 5992 34428 6044
+rect 34480 6032 34486 6044
+rect 36354 6041 36360 6044
+rect 34480 6022 35756 6032
+rect 34480 6016 35771 6022
+rect 34480 6004 35725 6016
+rect 34480 5992 34486 6004
+rect 35713 5982 35725 6004
+rect 35759 5982 35771 6016
+rect 36353 5995 36360 6041
+rect 36412 6032 36418 6044
+rect 36412 6004 36453 6032
+rect 36354 5992 36360 5995
+rect 36412 5992 36418 6004
+rect 35713 5976 35771 5982
+rect 1104 5936 26312 5958
+rect 10686 5856 10692 5908
+rect 10744 5896 10750 5908
+rect 10781 5899 10839 5905
+rect 10781 5896 10793 5899
+rect 10744 5868 10793 5896
+rect 10744 5856 10750 5868
+rect 10781 5865 10793 5868
+rect 10827 5865 10839 5899
+rect 10781 5859 10839 5865
+rect 14182 5856 14188 5908
+rect 14240 5896 14246 5908
+rect 15838 5896 15844 5908
+rect 14240 5868 15844 5896
+rect 14240 5856 14246 5868
+rect 15838 5856 15844 5868
+rect 15896 5856 15902 5908
+rect 16942 5896 16948 5908
+rect 16903 5868 16948 5896
+rect 16942 5856 16948 5868
+rect 17000 5896 17006 5908
+rect 18506 5896 18512 5908
+rect 17000 5868 18512 5896
+rect 17000 5856 17006 5868
+rect 18506 5856 18512 5868
+rect 18564 5856 18570 5908
+rect 19061 5899 19119 5905
+rect 19061 5865 19073 5899
+rect 19107 5865 19119 5899
+rect 20806 5896 20812 5908
+rect 19061 5859 19119 5865
+rect 19536 5868 20812 5896
+rect 15930 5828 15936 5840
+rect 12406 5800 15936 5828
+rect 10622 5763 10680 5769
+rect 10622 5729 10634 5763
+rect 10668 5760 10680 5763
+rect 11422 5760 11428 5772
+rect 10668 5732 11428 5760
+rect 10668 5729 10680 5732
+rect 10622 5723 10680 5729
+rect 11422 5720 11428 5732
+rect 11480 5760 11486 5772
+rect 12406 5760 12434 5800
+rect 15930 5788 15936 5800
+rect 15988 5788 15994 5840
+rect 17773 5831 17831 5837
+rect 16408 5800 17724 5828
+rect 16408 5760 16436 5800
+rect 11480 5732 12434 5760
+rect 15488 5732 16436 5760
+rect 11480 5720 11486 5732
+rect 15488 5704 15516 5732
+rect 16482 5720 16488 5772
+rect 16540 5760 16546 5772
+rect 17696 5760 17724 5800
+rect 17773 5797 17785 5831
+rect 17819 5828 17831 5831
+rect 18782 5828 18788 5840
+rect 17819 5800 18788 5828
+rect 17819 5797 17831 5800
+rect 17773 5791 17831 5797
+rect 18782 5788 18788 5800
+rect 18840 5788 18846 5840
+rect 16540 5732 17632 5760
+rect 17696 5732 18828 5760
+rect 16540 5720 16546 5732
+rect 10134 5692 10140 5704
+rect 10095 5664 10140 5692
+rect 10134 5652 10140 5664
+rect 10192 5652 10198 5704
+rect 10502 5652 10508 5704
+rect 10560 5692 10566 5704
+rect 10560 5664 11928 5692
+rect 10560 5652 10566 5664
+rect 10226 5584 10232 5636
+rect 10284 5624 10290 5636
+rect 10413 5627 10471 5633
+rect 10413 5624 10425 5627
+rect 10284 5596 10425 5624
+rect 10284 5584 10290 5596
+rect 10413 5593 10425 5596
+rect 10459 5624 10471 5627
+rect 10778 5624 10784 5636
+rect 10459 5596 10784 5624
+rect 10459 5593 10471 5596
+rect 10413 5587 10471 5593
+rect 10778 5584 10784 5596
+rect 10836 5584 10842 5636
+rect 7834 5516 7840 5568
+rect 7892 5556 7898 5568
+rect 10505 5559 10563 5565
+rect 10505 5556 10517 5559
+rect 7892 5528 10517 5556
+rect 7892 5516 7898 5528
+rect 10505 5525 10517 5528
+rect 10551 5556 10563 5559
+rect 11790 5556 11796 5568
+rect 10551 5528 11796 5556
+rect 10551 5525 10563 5528
+rect 10505 5519 10563 5525
+rect 11790 5516 11796 5528
+rect 11848 5516 11854 5568
+rect 11900 5556 11928 5664
+rect 12066 5652 12072 5704
+rect 12124 5692 12130 5704
+rect 14550 5692 14556 5704
+rect 12124 5664 14556 5692
+rect 12124 5652 12130 5664
+rect 14550 5652 14556 5664
+rect 14608 5652 14614 5704
+rect 15470 5692 15476 5704
+rect 15431 5664 15476 5692
+rect 15470 5652 15476 5664
+rect 15528 5652 15534 5704
+rect 15657 5695 15715 5701
+rect 15657 5661 15669 5695
+rect 15703 5692 15715 5695
+rect 15746 5692 15752 5704
+rect 15703 5664 15752 5692
+rect 15703 5661 15715 5664
+rect 15657 5655 15715 5661
+rect 15746 5652 15752 5664
+rect 15804 5652 15810 5704
+rect 15856 5664 16804 5692
+rect 11974 5584 11980 5636
+rect 12032 5624 12038 5636
+rect 15856 5624 15884 5664
+rect 12032 5596 15884 5624
+rect 15933 5627 15991 5633
+rect 12032 5584 12038 5596
+rect 15933 5593 15945 5627
+rect 15979 5624 15991 5627
+rect 16022 5624 16028 5636
+rect 15979 5596 16028 5624
+rect 15979 5593 15991 5596
+rect 15933 5587 15991 5593
+rect 16022 5584 16028 5596
+rect 16080 5584 16086 5636
+rect 16669 5627 16727 5633
+rect 16669 5593 16681 5627
+rect 16715 5593 16727 5627
+rect 16776 5624 16804 5664
+rect 16850 5652 16856 5704
+rect 16908 5692 16914 5704
+rect 16945 5695 17003 5701
+rect 16945 5692 16957 5695
+rect 16908 5664 16957 5692
+rect 16908 5652 16914 5664
+rect 16945 5661 16957 5664
+rect 16991 5661 17003 5695
+rect 16945 5655 17003 5661
+rect 17129 5695 17187 5701
+rect 17129 5661 17141 5695
+rect 17175 5692 17187 5695
+rect 17218 5692 17224 5704
+rect 17175 5664 17224 5692
+rect 17175 5661 17187 5664
+rect 17129 5655 17187 5661
+rect 17218 5652 17224 5664
+rect 17276 5652 17282 5704
+rect 17604 5701 17632 5732
+rect 17589 5695 17647 5701
+rect 17589 5661 17601 5695
+rect 17635 5661 17647 5695
+rect 17589 5655 17647 5661
+rect 17957 5695 18015 5701
+rect 17957 5661 17969 5695
+rect 18003 5692 18015 5695
+rect 18003 5664 18552 5692
+rect 18003 5661 18015 5664
+rect 17957 5655 18015 5661
+rect 17972 5624 18000 5655
+rect 18138 5624 18144 5636
+rect 16776 5596 18000 5624
+rect 18099 5596 18144 5624
+rect 16669 5587 16727 5593
+rect 12894 5556 12900 5568
+rect 11900 5528 12900 5556
+rect 12894 5516 12900 5528
+rect 12952 5556 12958 5568
+rect 14458 5556 14464 5568
+rect 12952 5528 14464 5556
+rect 12952 5516 12958 5528
+rect 14458 5516 14464 5528
+rect 14516 5516 14522 5568
+rect 15289 5559 15347 5565
+rect 15289 5525 15301 5559
+rect 15335 5556 15347 5559
+rect 15654 5556 15660 5568
+rect 15335 5528 15660 5556
+rect 15335 5525 15347 5528
+rect 15289 5519 15347 5525
+rect 15654 5516 15660 5528
+rect 15712 5516 15718 5568
+rect 15838 5516 15844 5568
+rect 15896 5556 15902 5568
+rect 16298 5556 16304 5568
+rect 15896 5528 16304 5556
+rect 15896 5516 15902 5528
+rect 16298 5516 16304 5528
+rect 16356 5516 16362 5568
+rect 16684 5556 16712 5587
+rect 18138 5584 18144 5596
+rect 18196 5584 18202 5636
+rect 18414 5624 18420 5636
+rect 18375 5596 18420 5624
+rect 18414 5584 18420 5596
+rect 18472 5584 18478 5636
+rect 18524 5624 18552 5664
+rect 18598 5652 18604 5704
+rect 18656 5692 18662 5704
+rect 18693 5695 18751 5701
+rect 18693 5692 18705 5695
+rect 18656 5664 18705 5692
+rect 18656 5652 18662 5664
+rect 18693 5661 18705 5664
+rect 18739 5661 18751 5695
+rect 18800 5692 18828 5732
+rect 18877 5695 18935 5701
+rect 18877 5692 18889 5695
+rect 18800 5664 18889 5692
+rect 18693 5655 18751 5661
+rect 18877 5661 18889 5664
+rect 18923 5692 18935 5695
+rect 18966 5692 18972 5704
+rect 18923 5664 18972 5692
+rect 18923 5661 18935 5664
+rect 18877 5655 18935 5661
+rect 18966 5652 18972 5664
+rect 19024 5652 19030 5704
+rect 19076 5692 19104 5859
+rect 19536 5837 19564 5868
+rect 20806 5856 20812 5868
+rect 20864 5856 20870 5908
+rect 20990 5896 20996 5908
+rect 20951 5868 20996 5896
+rect 20990 5856 20996 5868
+rect 21048 5856 21054 5908
+rect 22465 5899 22523 5905
+rect 22465 5865 22477 5899
+rect 22511 5896 22523 5899
+rect 22646 5896 22652 5908
+rect 22511 5868 22652 5896
+rect 22511 5865 22523 5868
+rect 22465 5859 22523 5865
+rect 22646 5856 22652 5868
+rect 22704 5856 22710 5908
+rect 22830 5856 22836 5908
+rect 22888 5896 22894 5908
+rect 22888 5868 23612 5896
+rect 22888 5856 22894 5868
+rect 19521 5831 19579 5837
+rect 19521 5797 19533 5831
+rect 19567 5797 19579 5831
+rect 19521 5791 19579 5797
+rect 21453 5831 21511 5837
+rect 21453 5797 21465 5831
+rect 21499 5828 21511 5831
+rect 23106 5828 23112 5840
+rect 21499 5800 23112 5828
+rect 21499 5797 21511 5800
+rect 21453 5791 21511 5797
+rect 23106 5788 23112 5800
+rect 23164 5828 23170 5840
+rect 23382 5828 23388 5840
+rect 23164 5800 23388 5828
+rect 23164 5788 23170 5800
+rect 23382 5788 23388 5800
+rect 23440 5788 23446 5840
+rect 22830 5760 22836 5772
+rect 20180 5732 21312 5760
+rect 22791 5732 22836 5760
+rect 19345 5695 19403 5701
+rect 19076 5686 19196 5692
+rect 19345 5686 19357 5695
+rect 19076 5664 19357 5686
+rect 19168 5661 19357 5664
+rect 19391 5661 19403 5695
+rect 19168 5658 19403 5661
+rect 19345 5655 19403 5658
+rect 19610 5652 19616 5704
+rect 19668 5692 19674 5704
+rect 20180 5701 20208 5732
+rect 20165 5695 20223 5701
+rect 20165 5692 20177 5695
+rect 19668 5664 20177 5692
+rect 19668 5652 19674 5664
+rect 20165 5661 20177 5664
+rect 20211 5661 20223 5695
+rect 20438 5692 20444 5704
+rect 20399 5664 20444 5692
+rect 20165 5655 20223 5661
+rect 20438 5652 20444 5664
+rect 20496 5652 20502 5704
+rect 20916 5701 20944 5732
+rect 20533 5695 20591 5701
+rect 20533 5661 20545 5695
+rect 20579 5661 20591 5695
+rect 20533 5655 20591 5661
+rect 20901 5695 20959 5701
+rect 20901 5661 20913 5695
+rect 20947 5661 20959 5695
+rect 21082 5692 21088 5704
+rect 21043 5664 21088 5692
+rect 20901 5655 20959 5661
+rect 20070 5624 20076 5636
+rect 18524 5596 20076 5624
+rect 20070 5584 20076 5596
+rect 20128 5584 20134 5636
+rect 16850 5556 16856 5568
+rect 16684 5528 16856 5556
+rect 16850 5516 16856 5528
+rect 16908 5516 16914 5568
+rect 17218 5516 17224 5568
+rect 17276 5556 17282 5568
+rect 17313 5559 17371 5565
+rect 17313 5556 17325 5559
+rect 17276 5528 17325 5556
+rect 17276 5516 17282 5528
+rect 17313 5525 17325 5528
+rect 17359 5525 17371 5559
+rect 17313 5519 17371 5525
+rect 18598 5516 18604 5568
+rect 18656 5556 18662 5568
+rect 19288 5556 19294 5568
+rect 18656 5528 19294 5556
+rect 18656 5516 18662 5528
+rect 19288 5516 19294 5528
+rect 19346 5516 19352 5568
+rect 19610 5516 19616 5568
+rect 19668 5556 19674 5568
+rect 20548 5556 20576 5655
+rect 21082 5652 21088 5664
+rect 21140 5652 21146 5704
+rect 21284 5701 21312 5732
+rect 22830 5720 22836 5732
+rect 22888 5720 22894 5772
+rect 23017 5763 23075 5769
+rect 23017 5729 23029 5763
+rect 23063 5760 23075 5763
+rect 23198 5760 23204 5772
+rect 23063 5732 23204 5760
+rect 23063 5729 23075 5732
+rect 23017 5723 23075 5729
+rect 23198 5720 23204 5732
+rect 23256 5720 23262 5772
+rect 23584 5760 23612 5868
+rect 23658 5856 23664 5908
+rect 23716 5896 23722 5908
+rect 23753 5899 23811 5905
+rect 23753 5896 23765 5899
+rect 23716 5868 23765 5896
+rect 23716 5856 23722 5868
+rect 23753 5865 23765 5868
+rect 23799 5865 23811 5899
+rect 24026 5896 24032 5908
+rect 23987 5868 24032 5896
+rect 23753 5859 23811 5865
+rect 24026 5856 24032 5868
+rect 24084 5856 24090 5908
+rect 25774 5896 25780 5908
+rect 24964 5868 25636 5896
+rect 25735 5868 25780 5896
+rect 24673 5831 24731 5837
+rect 24673 5797 24685 5831
+rect 24719 5828 24731 5831
+rect 24762 5828 24768 5840
+rect 24719 5800 24768 5828
+rect 24719 5797 24731 5800
+rect 24673 5791 24731 5797
+rect 24762 5788 24768 5800
+rect 24820 5828 24826 5840
+rect 24964 5828 24992 5868
+rect 24820 5800 24992 5828
+rect 25041 5831 25099 5837
+rect 24820 5788 24826 5800
+rect 25041 5797 25053 5831
+rect 25087 5828 25099 5831
+rect 25130 5828 25136 5840
+rect 25087 5800 25136 5828
+rect 25087 5797 25099 5800
+rect 25041 5791 25099 5797
+rect 25130 5788 25136 5800
+rect 25188 5788 25194 5840
+rect 25498 5760 25504 5772
+rect 23584 5732 25504 5760
+rect 21269 5695 21327 5701
+rect 21269 5661 21281 5695
+rect 21315 5661 21327 5695
+rect 21269 5655 21327 5661
+rect 21450 5652 21456 5704
+rect 21508 5692 21514 5704
+rect 22005 5695 22063 5701
+rect 22005 5692 22017 5695
+rect 21508 5664 22017 5692
+rect 21508 5652 21514 5664
+rect 22005 5661 22017 5664
+rect 22051 5661 22063 5695
+rect 22005 5655 22063 5661
+rect 22094 5652 22100 5704
+rect 22152 5692 22158 5704
+rect 22281 5695 22339 5701
+rect 22281 5692 22293 5695
+rect 22152 5664 22293 5692
+rect 22152 5652 22158 5664
+rect 22281 5661 22293 5664
+rect 22327 5661 22339 5695
+rect 22646 5692 22652 5704
+rect 22607 5664 22652 5692
+rect 22281 5655 22339 5661
+rect 22296 5624 22324 5655
+rect 22646 5652 22652 5664
+rect 22704 5652 22710 5704
+rect 22925 5695 22983 5701
+rect 22925 5661 22937 5695
+rect 22971 5661 22983 5695
+rect 23106 5692 23112 5704
+rect 23067 5664 23112 5692
+rect 22925 5655 22983 5661
+rect 22830 5624 22836 5636
+rect 22296 5596 22836 5624
+rect 22830 5584 22836 5596
+rect 22888 5584 22894 5636
+rect 19668 5528 20576 5556
+rect 20717 5559 20775 5565
+rect 19668 5516 19674 5528
+rect 20717 5525 20729 5559
+rect 20763 5556 20775 5559
+rect 21358 5556 21364 5568
+rect 20763 5528 21364 5556
+rect 20763 5525 20775 5528
+rect 20717 5519 20775 5525
+rect 21358 5516 21364 5528
+rect 21416 5516 21422 5568
+rect 22097 5559 22155 5565
+rect 22097 5525 22109 5559
+rect 22143 5556 22155 5559
+rect 22940 5556 22968 5655
+rect 23106 5652 23112 5664
+rect 23164 5652 23170 5704
+rect 23382 5692 23388 5704
+rect 23343 5664 23388 5692
+rect 23382 5652 23388 5664
+rect 23440 5652 23446 5704
+rect 23477 5695 23535 5701
+rect 23477 5661 23489 5695
+rect 23523 5661 23535 5695
+rect 23842 5692 23848 5704
+rect 23803 5664 23848 5692
+rect 23477 5655 23535 5661
+rect 23014 5584 23020 5636
+rect 23072 5624 23078 5636
+rect 23492 5624 23520 5655
+rect 23842 5652 23848 5664
+rect 23900 5652 23906 5704
+rect 24780 5701 24808 5732
+rect 25498 5720 25504 5732
+rect 25556 5720 25562 5772
+rect 24765 5695 24823 5701
+rect 24765 5661 24777 5695
+rect 24811 5661 24823 5695
+rect 24765 5655 24823 5661
+rect 24857 5695 24915 5701
+rect 24857 5661 24869 5695
+rect 24903 5692 24915 5695
+rect 24946 5692 24952 5704
+rect 24903 5664 24952 5692
+rect 24903 5661 24915 5664
+rect 24857 5655 24915 5661
+rect 24486 5624 24492 5636
+rect 23072 5596 23520 5624
+rect 24447 5596 24492 5624
+rect 23072 5584 23078 5596
+rect 24486 5584 24492 5596
+rect 24544 5584 24550 5636
+rect 24872 5556 24900 5655
+rect 24946 5652 24952 5664
+rect 25004 5652 25010 5704
+rect 25038 5652 25044 5704
+rect 25096 5692 25102 5704
+rect 25133 5695 25191 5701
+rect 25133 5692 25145 5695
+rect 25096 5664 25145 5692
+rect 25096 5652 25102 5664
+rect 25133 5661 25145 5664
+rect 25179 5661 25191 5695
+rect 25133 5655 25191 5661
+rect 25317 5695 25375 5701
+rect 25317 5661 25329 5695
+rect 25363 5661 25375 5695
+rect 25317 5655 25375 5661
+rect 25332 5624 25360 5655
+rect 25406 5652 25412 5704
+rect 25464 5692 25470 5704
+rect 25608 5692 25636 5868
+rect 25774 5856 25780 5868
+rect 25832 5856 25838 5908
+rect 25961 5899 26019 5905
+rect 25961 5865 25973 5899
+rect 26007 5896 26019 5899
+rect 26142 5896 26148 5908
+rect 26007 5868 26148 5896
+rect 26007 5865 26019 5868
+rect 25961 5859 26019 5865
+rect 26142 5856 26148 5868
+rect 26200 5856 26206 5908
+rect 27352 5898 27416 5924
+rect 27352 5846 27358 5898
+rect 27410 5846 27416 5898
+rect 27352 5820 27416 5846
+rect 27952 5898 28016 5924
+rect 27952 5846 27958 5898
+rect 28010 5846 28016 5898
+rect 27952 5820 28016 5846
+rect 31432 5898 31496 5924
+rect 31432 5846 31438 5898
+rect 31490 5846 31496 5898
+rect 31432 5820 31496 5846
+rect 32032 5898 32096 5924
+rect 32032 5846 32038 5898
+rect 32090 5846 32096 5898
+rect 32032 5820 32096 5846
+rect 35648 5898 35712 5924
+rect 35648 5846 35654 5898
+rect 35706 5846 35712 5898
+rect 35648 5820 35712 5846
+rect 36248 5898 36312 5924
+rect 36248 5846 36254 5898
+rect 36306 5846 36312 5898
+rect 36248 5820 36312 5846
+rect 25777 5695 25835 5701
+rect 25777 5692 25789 5695
+rect 25464 5664 25509 5692
+rect 25608 5664 25789 5692
+rect 25464 5652 25470 5664
+rect 25777 5661 25789 5664
+rect 25823 5661 25835 5695
+rect 25777 5655 25835 5661
+rect 25498 5624 25504 5636
+rect 25332 5596 25504 5624
+rect 25498 5584 25504 5596
+rect 25556 5584 25562 5636
+rect 22143 5528 24900 5556
+rect 22143 5525 22155 5528
+rect 22097 5519 22155 5525
+rect 24946 5516 24952 5568
+rect 25004 5556 25010 5568
+rect 25004 5528 25049 5556
+rect 25004 5516 25010 5528
+rect 25130 5516 25136 5568
+rect 25188 5556 25194 5568
+rect 25314 5556 25320 5568
+rect 25188 5528 25320 5556
+rect 25188 5516 25194 5528
+rect 25314 5516 25320 5528
+rect 25372 5516 25378 5568
+rect 1104 5466 26312 5488
+rect 1104 5414 4154 5466
+rect 4206 5414 4218 5466
+rect 4270 5414 4282 5466
+rect 4334 5414 4346 5466
+rect 4398 5414 4410 5466
+rect 4462 5414 4474 5466
+rect 4526 5414 4538 5466
+rect 4590 5414 4602 5466
+rect 4654 5414 4666 5466
+rect 4718 5414 6819 5466
+rect 6871 5414 6883 5466
+rect 6935 5414 6947 5466
+rect 6999 5414 7011 5466
+rect 7063 5414 7075 5466
+rect 7127 5414 7139 5466
+rect 7191 5414 7203 5466
+rect 7255 5414 7267 5466
+rect 7319 5414 7331 5466
+rect 7383 5414 9484 5466
+rect 9536 5414 9548 5466
+rect 9600 5414 9612 5466
+rect 9664 5414 9676 5466
+rect 9728 5414 9740 5466
+rect 9792 5414 9804 5466
+rect 9856 5414 9868 5466
+rect 9920 5414 9932 5466
+rect 9984 5414 9996 5466
+rect 10048 5414 12149 5466
+rect 12201 5414 12213 5466
+rect 12265 5414 12277 5466
+rect 12329 5414 12341 5466
+rect 12393 5414 12405 5466
+rect 12457 5414 12469 5466
+rect 12521 5414 12533 5466
+rect 12585 5414 12597 5466
+rect 12649 5414 12661 5466
+rect 12713 5414 14814 5466
+rect 14866 5414 14878 5466
+rect 14930 5414 14942 5466
+rect 14994 5414 15006 5466
+rect 15058 5414 15070 5466
+rect 15122 5414 15134 5466
+rect 15186 5414 15198 5466
+rect 15250 5414 15262 5466
+rect 15314 5414 15326 5466
+rect 15378 5414 17479 5466
+rect 17531 5414 17543 5466
+rect 17595 5414 17607 5466
+rect 17659 5414 17671 5466
+rect 17723 5414 17735 5466
+rect 17787 5414 17799 5466
+rect 17851 5414 17863 5466
+rect 17915 5414 17927 5466
+rect 17979 5414 17991 5466
+rect 18043 5414 20144 5466
+rect 20196 5414 20208 5466
+rect 20260 5414 20272 5466
+rect 20324 5414 20336 5466
+rect 20388 5414 20400 5466
+rect 20452 5414 20464 5466
+rect 20516 5414 20528 5466
+rect 20580 5414 20592 5466
+rect 20644 5414 20656 5466
+rect 20708 5414 22809 5466
+rect 22861 5414 22873 5466
+rect 22925 5414 22937 5466
+rect 22989 5414 23001 5466
+rect 23053 5414 23065 5466
+rect 23117 5414 23129 5466
+rect 23181 5414 23193 5466
+rect 23245 5414 23257 5466
+rect 23309 5414 23321 5466
+rect 23373 5414 25474 5466
+rect 25526 5414 25538 5466
+rect 25590 5414 25602 5466
+rect 25654 5414 25666 5466
+rect 25718 5414 25730 5466
+rect 25782 5414 25794 5466
+rect 25846 5414 25858 5466
+rect 25910 5414 25922 5466
+rect 25974 5414 25986 5466
+rect 26038 5414 26312 5466
+rect 1104 5392 26312 5414
+rect 10686 5352 10692 5364
+rect 8404 5324 10692 5352
+rect 8404 5293 8432 5324
+rect 10686 5312 10692 5324
+rect 10744 5312 10750 5364
+rect 11330 5352 11336 5364
+rect 11291 5324 11336 5352
+rect 11330 5312 11336 5324
+rect 11388 5312 11394 5364
+rect 11514 5312 11520 5364
+rect 11572 5352 11578 5364
+rect 14182 5352 14188 5364
+rect 11572 5324 14188 5352
+rect 11572 5312 11578 5324
+rect 14182 5312 14188 5324
+rect 14240 5312 14246 5364
+rect 14274 5312 14280 5364
+rect 14332 5352 14338 5364
+rect 14369 5355 14427 5361
+rect 14369 5352 14381 5355
+rect 14332 5324 14381 5352
+rect 14332 5312 14338 5324
+rect 14369 5321 14381 5324
+rect 14415 5321 14427 5355
+rect 14369 5315 14427 5321
+rect 14458 5312 14464 5364
+rect 14516 5352 14522 5364
+rect 16482 5352 16488 5364
+rect 14516 5324 15884 5352
+rect 16443 5324 16488 5352
+rect 14516 5312 14522 5324
+rect 8389 5287 8447 5293
+rect 8389 5253 8401 5287
+rect 8435 5253 8447 5287
+rect 11174 5287 11232 5293
+rect 8389 5247 8447 5253
+rect 9784 5256 11100 5284
+rect 9784 5225 9812 5256
+rect 9769 5219 9827 5225
+rect 9769 5185 9781 5219
+rect 9815 5185 9827 5219
+rect 9769 5179 9827 5185
+rect 9950 5176 9956 5228
+rect 10008 5216 10014 5228
+rect 10045 5219 10103 5225
+rect 10045 5216 10057 5219
+rect 10008 5188 10057 5216
+rect 10008 5176 10014 5188
+rect 10045 5185 10057 5188
+rect 10091 5185 10103 5219
+rect 10045 5179 10103 5185
+rect 10318 5176 10324 5228
+rect 10376 5216 10382 5228
+rect 10413 5219 10471 5225
+rect 10413 5216 10425 5219
+rect 10376 5188 10425 5216
+rect 10376 5176 10382 5188
+rect 10413 5185 10425 5188
+rect 10459 5185 10471 5219
+rect 10413 5179 10471 5185
+rect 10505 5219 10563 5225
+rect 10505 5185 10517 5219
+rect 10551 5185 10563 5219
+rect 10505 5179 10563 5185
+rect 9493 5151 9551 5157
+rect 9493 5117 9505 5151
+rect 9539 5148 9551 5151
+rect 9674 5148 9680 5160
+rect 9539 5120 9680 5148
+rect 9539 5117 9551 5120
+rect 9493 5111 9551 5117
+rect 9674 5108 9680 5120
+rect 9732 5148 9738 5160
+rect 10226 5148 10232 5160
+rect 9732 5120 10232 5148
+rect 9732 5108 9738 5120
+rect 10226 5108 10232 5120
+rect 10284 5108 10290 5160
+rect 8018 5040 8024 5092
+rect 8076 5080 8082 5092
+rect 8205 5083 8263 5089
+rect 8205 5080 8217 5083
+rect 8076 5052 8217 5080
+rect 8076 5040 8082 5052
+rect 8205 5049 8217 5052
+rect 8251 5049 8263 5083
+rect 10410 5080 10416 5092
+rect 8205 5043 8263 5049
+rect 8772 5052 10416 5080
+rect 7926 4972 7932 5024
+rect 7984 5012 7990 5024
+rect 8772 5012 8800 5052
+rect 10410 5040 10416 5052
+rect 10468 5080 10474 5092
+rect 10520 5080 10548 5179
+rect 10594 5176 10600 5228
+rect 10652 5222 10658 5228
+rect 10652 5216 10824 5222
+rect 10965 5219 11023 5225
+rect 10965 5216 10977 5219
+rect 10652 5194 10977 5216
+rect 10652 5176 10658 5194
+rect 10796 5188 10977 5194
+rect 10965 5185 10977 5188
+rect 11011 5185 11023 5219
+rect 11072 5216 11100 5256
+rect 11174 5253 11186 5287
+rect 11220 5284 11232 5287
+rect 12161 5287 12219 5293
+rect 11220 5256 12112 5284
+rect 11220 5253 11232 5256
+rect 11174 5247 11232 5253
+rect 11974 5216 11980 5228
+rect 11072 5188 11980 5216
+rect 10965 5179 11023 5185
+rect 11974 5176 11980 5188
+rect 12032 5176 12038 5228
+rect 12084 5216 12112 5256
+rect 12161 5253 12173 5287
+rect 12207 5284 12219 5287
+rect 12894 5284 12900 5296
+rect 12207 5256 12900 5284
+rect 12207 5253 12219 5256
+rect 12161 5247 12219 5253
+rect 12894 5244 12900 5256
+rect 12952 5244 12958 5296
+rect 14550 5284 14556 5296
+rect 14511 5256 14556 5284
+rect 14550 5244 14556 5256
+rect 14608 5284 14614 5296
+rect 15194 5284 15200 5296
+rect 14608 5256 15200 5284
+rect 14608 5244 14614 5256
+rect 15194 5244 15200 5256
+rect 15252 5244 15258 5296
+rect 15378 5244 15384 5296
+rect 15436 5284 15442 5296
+rect 15473 5287 15531 5293
+rect 15473 5284 15485 5287
+rect 15436 5256 15485 5284
+rect 15436 5244 15442 5256
+rect 15473 5253 15485 5256
+rect 15519 5284 15531 5287
+rect 15562 5284 15568 5296
+rect 15519 5256 15568 5284
+rect 15519 5253 15531 5256
+rect 15473 5247 15531 5253
+rect 15562 5244 15568 5256
+rect 15620 5244 15626 5296
+rect 15856 5284 15884 5324
+rect 16482 5312 16488 5324
+rect 16540 5312 16546 5364
+rect 24486 5312 24492 5364
+rect 24544 5352 24550 5364
+rect 26326 5352 26332 5364
+rect 24544 5324 26332 5352
+rect 24544 5312 24550 5324
+rect 26326 5312 26332 5324
+rect 26384 5312 26390 5364
+rect 21450 5284 21456 5296
+rect 15856 5256 21456 5284
+rect 12084 5188 12756 5216
+rect 12728 5160 12756 5188
+rect 14090 5176 14096 5228
+rect 14148 5216 14154 5228
+rect 14185 5219 14243 5225
+rect 14185 5216 14197 5219
+rect 14148 5188 14197 5216
+rect 14148 5176 14154 5188
+rect 14185 5185 14197 5188
+rect 14231 5185 14243 5219
+rect 14185 5179 14243 5185
+rect 14458 5176 14464 5228
+rect 14516 5216 14522 5228
+rect 15856 5225 15884 5256
+rect 21450 5244 21456 5256
+rect 21508 5244 21514 5296
+rect 23474 5244 23480 5296
+rect 23532 5244 23538 5296
+rect 15105 5219 15163 5225
+rect 15105 5216 15117 5219
+rect 14516 5188 15117 5216
+rect 14516 5176 14522 5188
+rect 15105 5185 15117 5188
+rect 15151 5185 15163 5219
+rect 15105 5179 15163 5185
+rect 15841 5219 15899 5225
+rect 15841 5185 15853 5219
+rect 15887 5185 15899 5219
+rect 15841 5179 15899 5185
+rect 15930 5176 15936 5228
+rect 15988 5216 15994 5228
+rect 16298 5216 16304 5228
+rect 15988 5188 16081 5216
+rect 16259 5188 16304 5216
+rect 15988 5176 15994 5188
+rect 16298 5176 16304 5188
+rect 16356 5176 16362 5228
+rect 23492 5216 23520 5244
+rect 16408 5188 23520 5216
+rect 10689 5151 10747 5157
+rect 10689 5117 10701 5151
+rect 10735 5117 10747 5151
+rect 10689 5111 10747 5117
+rect 10468 5052 10548 5080
+rect 10704 5080 10732 5111
+rect 10778 5108 10784 5160
+rect 10836 5148 10842 5160
+rect 11057 5151 11115 5157
+rect 11057 5148 11069 5151
+rect 10836 5120 11069 5148
+rect 10836 5108 10842 5120
+rect 11057 5117 11069 5120
+rect 11103 5148 11115 5151
+rect 11882 5148 11888 5160
+rect 11103 5120 11888 5148
+rect 11103 5117 11115 5120
+rect 11057 5111 11115 5117
+rect 11882 5108 11888 5120
+rect 11940 5108 11946 5160
+rect 12250 5148 12256 5160
+rect 12211 5120 12256 5148
+rect 12250 5108 12256 5120
+rect 12308 5108 12314 5160
+rect 12529 5151 12587 5157
+rect 12529 5117 12541 5151
+rect 12575 5117 12587 5151
+rect 12529 5111 12587 5117
+rect 11514 5080 11520 5092
+rect 10704 5052 11520 5080
+rect 10468 5040 10474 5052
+rect 11514 5040 11520 5052
+rect 11572 5040 11578 5092
+rect 11698 5040 11704 5092
+rect 11756 5080 11762 5092
+rect 12544 5080 12572 5111
+rect 12710 5108 12716 5160
+rect 12768 5148 12774 5160
+rect 15013 5151 15071 5157
+rect 15013 5148 15025 5151
+rect 12768 5120 15025 5148
+rect 12768 5108 12774 5120
+rect 14200 5092 14228 5120
+rect 15013 5117 15025 5120
+rect 15059 5117 15071 5151
+rect 15948 5148 15976 5176
+rect 16408 5148 16436 5188
+rect 15948 5120 16436 5148
+rect 16669 5151 16727 5157
+rect 15013 5111 15071 5117
+rect 16669 5117 16681 5151
+rect 16715 5148 16727 5151
+rect 16758 5148 16764 5160
+rect 16715 5120 16764 5148
+rect 16715 5117 16727 5120
+rect 16669 5111 16727 5117
+rect 16758 5108 16764 5120
+rect 16816 5108 16822 5160
+rect 16945 5151 17003 5157
+rect 16945 5117 16957 5151
+rect 16991 5117 17003 5151
+rect 16945 5111 17003 5117
+rect 13262 5080 13268 5092
+rect 11756 5052 12434 5080
+rect 12544 5052 13268 5080
+rect 11756 5040 11762 5052
+rect 7984 4984 8800 5012
+rect 7984 4972 7990 4984
+rect 8846 4972 8852 5024
+rect 8904 5012 8910 5024
+rect 9861 5015 9919 5021
+rect 9861 5012 9873 5015
+rect 8904 4984 9873 5012
+rect 8904 4972 8910 4984
+rect 9861 4981 9873 4984
+rect 9907 4981 9919 5015
+rect 10042 5012 10048 5024
+rect 10003 4984 10048 5012
+rect 9861 4975 9919 4981
+rect 10042 4972 10048 4984
+rect 10100 4972 10106 5024
+rect 12406 5012 12434 5052
+rect 13262 5040 13268 5052
+rect 13320 5040 13326 5092
+rect 14182 5040 14188 5092
+rect 14240 5040 14246 5092
+rect 14550 5080 14556 5092
+rect 14384 5052 14556 5080
+rect 14384 5012 14412 5052
+rect 14550 5040 14556 5052
+rect 14608 5040 14614 5092
+rect 14642 5040 14648 5092
+rect 14700 5080 14706 5092
+rect 16960 5080 16988 5111
+rect 14700 5052 16988 5080
+rect 14700 5040 14706 5052
+rect 15286 5012 15292 5024
+rect 12406 4984 14412 5012
+rect 15247 4984 15292 5012
+rect 15286 4972 15292 4984
+rect 15344 4972 15350 5024
+rect 15562 5012 15568 5024
+rect 15475 4984 15568 5012
+rect 15562 4972 15568 4984
+rect 15620 5012 15626 5024
+rect 16301 5015 16359 5021
+rect 16301 5012 16313 5015
+rect 15620 4984 16313 5012
+rect 15620 4972 15626 4984
+rect 16301 4981 16313 4984
+rect 16347 5012 16359 5015
+rect 23658 5012 23664 5024
+rect 16347 4984 23664 5012
+rect 16347 4981 16359 4984
+rect 16301 4975 16359 4981
+rect 23658 4972 23664 4984
+rect 23716 4972 23722 5024
+rect 1104 4922 17940 4944
+rect 1104 4870 2822 4922
+rect 2874 4870 2886 4922
+rect 2938 4870 2950 4922
+rect 3002 4870 3014 4922
+rect 3066 4870 3078 4922
+rect 3130 4870 3142 4922
+rect 3194 4870 3206 4922
+rect 3258 4870 3270 4922
+rect 3322 4870 3334 4922
+rect 3386 4870 5487 4922
+rect 5539 4870 5551 4922
+rect 5603 4870 5615 4922
+rect 5667 4870 5679 4922
+rect 5731 4870 5743 4922
+rect 5795 4870 5807 4922
+rect 5859 4870 5871 4922
+rect 5923 4870 5935 4922
+rect 5987 4870 5999 4922
+rect 6051 4870 8152 4922
+rect 8204 4870 8216 4922
+rect 8268 4870 8280 4922
+rect 8332 4870 8344 4922
+rect 8396 4870 8408 4922
+rect 8460 4870 8472 4922
+rect 8524 4870 8536 4922
+rect 8588 4870 8600 4922
+rect 8652 4870 8664 4922
+rect 8716 4870 10817 4922
+rect 10869 4870 10881 4922
+rect 10933 4870 10945 4922
+rect 10997 4870 11009 4922
+rect 11061 4870 11073 4922
+rect 11125 4870 11137 4922
+rect 11189 4870 11201 4922
+rect 11253 4870 11265 4922
+rect 11317 4870 11329 4922
+rect 11381 4870 13482 4922
+rect 13534 4870 13546 4922
+rect 13598 4870 13610 4922
+rect 13662 4870 13674 4922
+rect 13726 4870 13738 4922
+rect 13790 4870 13802 4922
+rect 13854 4870 13866 4922
+rect 13918 4870 13930 4922
+rect 13982 4870 13994 4922
+rect 14046 4870 16147 4922
+rect 16199 4870 16211 4922
+rect 16263 4870 16275 4922
+rect 16327 4870 16339 4922
+rect 16391 4870 16403 4922
+rect 16455 4870 16467 4922
+rect 16519 4870 16531 4922
+rect 16583 4870 16595 4922
+rect 16647 4870 16659 4922
+rect 16711 4870 17940 4922
+rect 1104 4848 17940 4870
+rect 8573 4811 8631 4817
+rect 7852 4780 8340 4808
+rect 6086 4740 6092 4752
+rect 6047 4712 6092 4740
+rect 6086 4700 6092 4712
+rect 6144 4700 6150 4752
+rect 7466 4672 7472 4684
+rect 7427 4644 7472 4672
+rect 7466 4632 7472 4644
+rect 7524 4632 7530 4684
+rect 7745 4675 7803 4681
+rect 7745 4641 7757 4675
+rect 7791 4672 7803 4675
+rect 7852 4672 7880 4780
+rect 7926 4700 7932 4752
+rect 7984 4700 7990 4752
+rect 7791 4644 7880 4672
+rect 7944 4672 7972 4700
+rect 8110 4672 8116 4684
+rect 7944 4644 8116 4672
+rect 7791 4641 7803 4644
+rect 7745 4635 7803 4641
+rect 8110 4632 8116 4644
+rect 8168 4672 8174 4684
+rect 8312 4672 8340 4780
+rect 8573 4777 8585 4811
+rect 8619 4808 8631 4811
+rect 8938 4808 8944 4820
+rect 8619 4780 8944 4808
+rect 8619 4777 8631 4780
+rect 8573 4771 8631 4777
+rect 8938 4768 8944 4780
+rect 8996 4768 9002 4820
+rect 9030 4768 9036 4820
+rect 9088 4808 9094 4820
+rect 10134 4808 10140 4820
+rect 9088 4780 10140 4808
+rect 9088 4768 9094 4780
+rect 10134 4768 10140 4780
+rect 10192 4768 10198 4820
+rect 10686 4768 10692 4820
+rect 10744 4808 10750 4820
+rect 12250 4808 12256 4820
+rect 10744 4780 12256 4808
+rect 10744 4768 10750 4780
+rect 12250 4768 12256 4780
+rect 12308 4768 12314 4820
+rect 12802 4808 12808 4820
+rect 12763 4780 12808 4808
+rect 12802 4768 12808 4780
+rect 12860 4768 12866 4820
+rect 15746 4808 15752 4820
+rect 13004 4780 15752 4808
+rect 8757 4743 8815 4749
+rect 8757 4709 8769 4743
+rect 8803 4740 8815 4743
+rect 9950 4740 9956 4752
+rect 8803 4712 8984 4740
+rect 8803 4709 8815 4712
+rect 8757 4703 8815 4709
+rect 8846 4672 8852 4684
+rect 8168 4644 8213 4672
+rect 8312 4644 8852 4672
+rect 8168 4632 8174 4644
+rect 8846 4632 8852 4644
+rect 8904 4632 8910 4684
+rect 8956 4681 8984 4712
+rect 9048 4712 9956 4740
+rect 8941 4675 8999 4681
+rect 8941 4641 8953 4675
+rect 8987 4641 8999 4675
+rect 8941 4635 8999 4641
+rect 7926 4604 7932 4616
+rect 6104 4576 7932 4604
+rect 6104 4545 6132 4576
+rect 7926 4564 7932 4576
+rect 7984 4564 7990 4616
+rect 8205 4607 8263 4613
+rect 8205 4573 8217 4607
+rect 8251 4604 8263 4607
+rect 8478 4604 8484 4616
+rect 8251 4576 8484 4604
+rect 8251 4573 8263 4576
+rect 8205 4567 8263 4573
+rect 8478 4564 8484 4576
+rect 8536 4564 8542 4616
+rect 8573 4607 8631 4613
+rect 8573 4573 8585 4607
+rect 8619 4604 8631 4607
+rect 9048 4604 9076 4712
+rect 9950 4700 9956 4712
+rect 10008 4740 10014 4752
+rect 12894 4740 12900 4752
+rect 10008 4712 12900 4740
+rect 10008 4700 10014 4712
+rect 12894 4700 12900 4712
+rect 12952 4700 12958 4752
+rect 9214 4672 9220 4684
+rect 9175 4644 9220 4672
+rect 9214 4632 9220 4644
+rect 9272 4632 9278 4684
+rect 11977 4675 12035 4681
+rect 9508 4644 11100 4672
+rect 8619 4576 9076 4604
+rect 8619 4573 8631 4576
+rect 8573 4567 8631 4573
+rect 6089 4539 6147 4545
+rect 6089 4505 6101 4539
+rect 6135 4505 6147 4539
+rect 6089 4499 6147 4505
+rect 6825 4539 6883 4545
+rect 6825 4505 6837 4539
+rect 6871 4536 6883 4539
+rect 9508 4536 9536 4644
+rect 10318 4564 10324 4616
+rect 10376 4604 10382 4616
+rect 10413 4607 10471 4613
+rect 10413 4604 10425 4607
+rect 10376 4576 10425 4604
+rect 10376 4564 10382 4576
+rect 10413 4573 10425 4576
+rect 10459 4573 10471 4607
+rect 10686 4604 10692 4616
+rect 10647 4576 10692 4604
+rect 10413 4567 10471 4573
+rect 6871 4508 9536 4536
+rect 10428 4536 10456 4567
+rect 10686 4564 10692 4576
+rect 10744 4564 10750 4616
+rect 10962 4604 10968 4616
+rect 10923 4576 10968 4604
+rect 10962 4564 10968 4576
+rect 11020 4564 11026 4616
+rect 11072 4604 11100 4644
+rect 11977 4641 11989 4675
+rect 12023 4672 12035 4675
+rect 13004 4672 13032 4780
+rect 15746 4768 15752 4780
+rect 15804 4808 15810 4820
+rect 16758 4808 16764 4820
+rect 15804 4780 16764 4808
+rect 15804 4768 15810 4780
+rect 16758 4768 16764 4780
+rect 16816 4768 16822 4820
+rect 19220 4815 19284 4840
+rect 19220 4763 19226 4815
+rect 19278 4763 19284 4815
+rect 13081 4743 13139 4749
+rect 13081 4709 13093 4743
+rect 13127 4709 13139 4743
+rect 13081 4703 13139 4709
+rect 13909 4743 13967 4749
+rect 13909 4709 13921 4743
+rect 13955 4740 13967 4743
+rect 14366 4740 14372 4752
+rect 13955 4712 14372 4740
+rect 13955 4709 13967 4712
+rect 13909 4703 13967 4709
+rect 12023 4644 13032 4672
+rect 13096 4672 13124 4703
+rect 14366 4700 14372 4712
+rect 14424 4700 14430 4752
+rect 14550 4700 14556 4752
+rect 14608 4740 14614 4752
+rect 14829 4743 14887 4749
+rect 14829 4740 14841 4743
+rect 14608 4712 14841 4740
+rect 14608 4700 14614 4712
+rect 14829 4709 14841 4712
+rect 14875 4740 14887 4743
+rect 15562 4740 15568 4752
+rect 14875 4712 15568 4740
+rect 14875 4709 14887 4712
+rect 14829 4703 14887 4709
+rect 15562 4700 15568 4712
+rect 15620 4700 15626 4752
+rect 17126 4740 17132 4752
+rect 16316 4712 17132 4740
+rect 13096 4644 15286 4672
+rect 12023 4641 12035 4644
+rect 11977 4635 12035 4641
+rect 12158 4604 12164 4616
+rect 11072 4576 12164 4604
+rect 12158 4564 12164 4576
+rect 12216 4564 12222 4616
+rect 12250 4564 12256 4616
+rect 12308 4604 12314 4616
+rect 12419 4607 12477 4613
+rect 12308 4576 12353 4604
+rect 12308 4564 12314 4576
+rect 12419 4573 12431 4607
+rect 12465 4604 12477 4607
+rect 12529 4607 12587 4613
+rect 12465 4573 12480 4604
+rect 12419 4567 12480 4573
+rect 12529 4573 12541 4607
+rect 12575 4604 12587 4607
+rect 12575 4576 12848 4604
+rect 12575 4573 12587 4576
+rect 12529 4567 12587 4573
+rect 12452 4536 12480 4567
+rect 12820 4548 12848 4576
+rect 12894 4564 12900 4616
+rect 12952 4604 12958 4616
+rect 13541 4607 13599 4613
+rect 13541 4604 13553 4607
+rect 12952 4576 12997 4604
+rect 13096 4576 13553 4604
+rect 12952 4564 12958 4576
+rect 12710 4536 12716 4548
+rect 10428 4508 12716 4536
+rect 6871 4505 6883 4508
+rect 6825 4499 6883 4505
+rect 12710 4496 12716 4508
+rect 12768 4496 12774 4548
+rect 12802 4496 12808 4548
+rect 12860 4496 12866 4548
+rect 6546 4468 6552 4480
+rect 6507 4440 6552 4468
+rect 6546 4428 6552 4440
+rect 6604 4428 6610 4480
+rect 6638 4428 6644 4480
+rect 6696 4468 6702 4480
+rect 9122 4468 9128 4480
+rect 6696 4440 9128 4468
+rect 6696 4428 6702 4440
+rect 9122 4428 9128 4440
+rect 9180 4468 9186 4480
+rect 10594 4468 10600 4480
+rect 9180 4440 10600 4468
+rect 9180 4428 9186 4440
+rect 10594 4428 10600 4440
+rect 10652 4468 10658 4480
+rect 10781 4471 10839 4477
+rect 10781 4468 10793 4471
+rect 10652 4440 10793 4468
+rect 10652 4428 10658 4440
+rect 10781 4437 10793 4440
+rect 10827 4437 10839 4471
+rect 10781 4431 10839 4437
+rect 10870 4428 10876 4480
+rect 10928 4468 10934 4480
+rect 11698 4468 11704 4480
+rect 10928 4440 11704 4468
+rect 10928 4428 10934 4440
+rect 11698 4428 11704 4440
+rect 11756 4468 11762 4480
+rect 13096 4468 13124 4576
+rect 13541 4573 13553 4576
+rect 13587 4573 13599 4607
+rect 13541 4567 13599 4573
+rect 13630 4564 13636 4616
+rect 13688 4604 13694 4616
+rect 13725 4607 13783 4613
+rect 13725 4604 13737 4607
+rect 13688 4576 13737 4604
+rect 13688 4564 13694 4576
+rect 13725 4573 13737 4576
+rect 13771 4604 13783 4607
+rect 14642 4604 14648 4616
+rect 13771 4576 14648 4604
+rect 13771 4573 13783 4576
+rect 13725 4567 13783 4573
+rect 14642 4564 14648 4576
+rect 14700 4564 14706 4616
+rect 15258 4614 15286 4644
+rect 15838 4632 15844 4684
+rect 15896 4672 15902 4684
+rect 16316 4681 16344 4712
+rect 17126 4700 17132 4712
+rect 17184 4700 17190 4752
+rect 17405 4743 17463 4749
+rect 17405 4709 17417 4743
+rect 17451 4709 17463 4743
+rect 19220 4738 19284 4763
+rect 23436 4815 23500 4840
+rect 23436 4763 23442 4815
+rect 23494 4763 23500 4815
+rect 23436 4738 23500 4763
+rect 27652 4815 27716 4840
+rect 27652 4763 27658 4815
+rect 27710 4763 27716 4815
+rect 27652 4738 27716 4763
+rect 31732 4815 31796 4840
+rect 31732 4763 31738 4815
+rect 31790 4763 31796 4815
+rect 31732 4738 31796 4763
+rect 35948 4815 36012 4840
+rect 35948 4763 35954 4815
+rect 36006 4763 36012 4815
+rect 35948 4738 36012 4763
+rect 17405 4703 17463 4709
+rect 16301 4675 16359 4681
+rect 16301 4672 16313 4675
+rect 15896 4644 16313 4672
+rect 15896 4632 15902 4644
+rect 16301 4641 16313 4644
+rect 16347 4641 16359 4675
+rect 16301 4635 16359 4641
+rect 16577 4675 16635 4681
+rect 16577 4641 16589 4675
+rect 16623 4672 16635 4675
+rect 16850 4672 16856 4684
+rect 16623 4644 16856 4672
+rect 16623 4641 16635 4644
+rect 16577 4635 16635 4641
+rect 16850 4632 16856 4644
+rect 16908 4632 16914 4684
+rect 17420 4672 17448 4703
+rect 18969 4693 19027 4699
+rect 18969 4672 18981 4693
+rect 17420 4659 18981 4672
+rect 19015 4659 19027 4693
+rect 31481 4693 31539 4699
+rect 17420 4653 19027 4659
+rect 17420 4644 19012 4653
+rect 22462 4632 22468 4684
+rect 22520 4672 22526 4684
+rect 23201 4682 23259 4688
+rect 23201 4672 23213 4682
+rect 22520 4648 23213 4672
+rect 23247 4648 23259 4682
+rect 22520 4644 23259 4648
+rect 22520 4632 22526 4644
+rect 23201 4642 23259 4644
+rect 27433 4682 27491 4688
+rect 27433 4648 27445 4682
+rect 27479 4679 27491 4682
+rect 27522 4679 27528 4691
+rect 27479 4651 27528 4679
+rect 27479 4648 27491 4651
+rect 27433 4642 27491 4648
+rect 27522 4639 27528 4651
+rect 27580 4639 27586 4691
+rect 31018 4632 31024 4684
+rect 31076 4672 31082 4684
+rect 31481 4672 31493 4693
+rect 31076 4659 31493 4672
+rect 31527 4659 31539 4693
+rect 31076 4653 31539 4659
+rect 31076 4644 31524 4653
+rect 35526 4650 35532 4702
+rect 35584 4690 35590 4702
+rect 35701 4693 35759 4699
+rect 35701 4690 35713 4693
+rect 35584 4662 35713 4690
+rect 35584 4650 35590 4662
+rect 35701 4659 35713 4662
+rect 35747 4659 35759 4693
+rect 35701 4653 35759 4659
+rect 31076 4632 31082 4644
+rect 35802 4639 35808 4691
+rect 35860 4639 35866 4691
+rect 15212 4613 15286 4614
+rect 15197 4607 15286 4613
+rect 15197 4573 15209 4607
+rect 15243 4586 15286 4607
+rect 15243 4573 15255 4586
+rect 15197 4567 15255 4573
+rect 15378 4564 15384 4616
+rect 15436 4604 15442 4616
+rect 15933 4607 15991 4613
+rect 15933 4604 15945 4607
+rect 15436 4576 15945 4604
+rect 15436 4564 15442 4576
+rect 15933 4573 15945 4576
+rect 15979 4573 15991 4607
+rect 15933 4567 15991 4573
+rect 16209 4607 16267 4613
+rect 16209 4573 16221 4607
+rect 16255 4604 16267 4607
+rect 16942 4604 16948 4616
+rect 16255 4576 16948 4604
+rect 16255 4573 16267 4576
+rect 16209 4567 16267 4573
+rect 16942 4564 16948 4576
+rect 17000 4564 17006 4616
+rect 17218 4604 17224 4616
+rect 17179 4576 17224 4604
+rect 17218 4564 17224 4576
+rect 17276 4564 17282 4616
+rect 19005 4607 19063 4613
+rect 19005 4573 19017 4607
+rect 19051 4604 19063 4607
+rect 19334 4604 19340 4616
+rect 19051 4576 19340 4604
+rect 19051 4573 19063 4576
+rect 19005 4567 19063 4573
+rect 19334 4564 19340 4576
+rect 19392 4604 19398 4616
+rect 19978 4604 19984 4616
+rect 19392 4576 19984 4604
+rect 19392 4564 19398 4576
+rect 19978 4564 19984 4576
+rect 20036 4564 20042 4616
+rect 35434 4564 35440 4616
+rect 35492 4604 35498 4616
+rect 35733 4607 35791 4613
+rect 35733 4604 35745 4607
+rect 35492 4576 35745 4604
+rect 35492 4564 35498 4576
+rect 35733 4573 35745 4576
+rect 35779 4573 35791 4607
+rect 35733 4567 35791 4573
+rect 13354 4536 13360 4548
+rect 13315 4508 13360 4536
+rect 13354 4496 13360 4508
+rect 13412 4496 13418 4548
+rect 14369 4539 14427 4545
+rect 14369 4536 14381 4539
+rect 13648 4508 14381 4536
+rect 13648 4480 13676 4508
+rect 14369 4505 14381 4508
+rect 14415 4505 14427 4539
+rect 14369 4499 14427 4505
+rect 14550 4496 14556 4548
+rect 14608 4536 14614 4548
+rect 14829 4539 14887 4545
+rect 14829 4536 14841 4539
+rect 14608 4508 14841 4536
+rect 14608 4496 14614 4508
+rect 14829 4505 14841 4508
+rect 14875 4536 14887 4539
+rect 17402 4536 17408 4548
+rect 14875 4508 17408 4536
+rect 14875 4505 14887 4508
+rect 14829 4499 14887 4505
+rect 17402 4496 17408 4508
+rect 17460 4496 17466 4548
+rect 13630 4468 13636 4480
+rect 11756 4440 13124 4468
+rect 13591 4440 13636 4468
+rect 11756 4428 11762 4440
+rect 13630 4428 13636 4440
+rect 13688 4428 13694 4480
+rect 13998 4428 14004 4480
+rect 14056 4468 14062 4480
+rect 14093 4471 14151 4477
+rect 14093 4468 14105 4471
+rect 14056 4440 14105 4468
+rect 14056 4428 14062 4440
+rect 14093 4437 14105 4440
+rect 14139 4437 14151 4471
+rect 14093 4431 14151 4437
+rect 14277 4471 14335 4477
+rect 14277 4437 14289 4471
+rect 14323 4468 14335 4471
+rect 14458 4468 14464 4480
+rect 14323 4440 14464 4468
+rect 14323 4437 14335 4440
+rect 14277 4431 14335 4437
+rect 14458 4428 14464 4440
+rect 14516 4428 14522 4480
+rect 14734 4428 14740 4480
+rect 14792 4468 14798 4480
+rect 15013 4471 15071 4477
+rect 15013 4468 15025 4471
+rect 14792 4440 15025 4468
+rect 14792 4428 14798 4440
+rect 15013 4437 15025 4440
+rect 15059 4437 15071 4471
+rect 22646 4468 22652 4480
+rect 15013 4431 15071 4437
+rect 19076 4440 22652 4468
+rect 1104 4378 17940 4400
+rect 1104 4326 4154 4378
+rect 4206 4326 4218 4378
+rect 4270 4326 4282 4378
+rect 4334 4326 4346 4378
+rect 4398 4326 4410 4378
+rect 4462 4326 4474 4378
+rect 4526 4326 4538 4378
+rect 4590 4326 4602 4378
+rect 4654 4326 4666 4378
+rect 4718 4326 6819 4378
+rect 6871 4326 6883 4378
+rect 6935 4326 6947 4378
+rect 6999 4326 7011 4378
+rect 7063 4326 7075 4378
+rect 7127 4326 7139 4378
+rect 7191 4326 7203 4378
+rect 7255 4326 7267 4378
+rect 7319 4326 7331 4378
+rect 7383 4326 9484 4378
+rect 9536 4326 9548 4378
+rect 9600 4326 9612 4378
+rect 9664 4326 9676 4378
+rect 9728 4326 9740 4378
+rect 9792 4326 9804 4378
+rect 9856 4326 9868 4378
+rect 9920 4326 9932 4378
+rect 9984 4326 9996 4378
+rect 10048 4326 12149 4378
+rect 12201 4326 12213 4378
+rect 12265 4326 12277 4378
+rect 12329 4326 12341 4378
+rect 12393 4326 12405 4378
+rect 12457 4326 12469 4378
+rect 12521 4326 12533 4378
+rect 12585 4326 12597 4378
+rect 12649 4326 12661 4378
+rect 12713 4326 14814 4378
+rect 14866 4326 14878 4378
+rect 14930 4326 14942 4378
+rect 14994 4326 15006 4378
+rect 15058 4326 15070 4378
+rect 15122 4326 15134 4378
+rect 15186 4326 15198 4378
+rect 15250 4326 15262 4378
+rect 15314 4326 15326 4378
+rect 15378 4326 17940 4378
+rect 18989 4369 19047 4375
+rect 18989 4335 19001 4369
+rect 19035 4366 19047 4369
+rect 19076 4366 19104 4440
+rect 22646 4428 22652 4440
+rect 22704 4428 22710 4480
+rect 22922 4428 22928 4480
+rect 22980 4468 22986 4480
+rect 23221 4471 23279 4477
+rect 23221 4468 23233 4471
+rect 22980 4440 23233 4468
+rect 22980 4428 22986 4440
+rect 23221 4437 23233 4440
+rect 23267 4437 23279 4471
+rect 23221 4431 23279 4437
+rect 27437 4471 27495 4477
+rect 27437 4437 27449 4471
+rect 27483 4468 27495 4471
+rect 27798 4468 27804 4480
+rect 27483 4440 27804 4468
+rect 27483 4437 27495 4440
+rect 27437 4431 27495 4437
+rect 27798 4428 27804 4440
+rect 27856 4428 27862 4480
+rect 31517 4471 31575 4477
+rect 31517 4437 31529 4471
+rect 31563 4468 31575 4471
+rect 31846 4468 31852 4480
+rect 31563 4440 31852 4468
+rect 31563 4437 31575 4440
+rect 31517 4431 31575 4437
+rect 31846 4428 31852 4440
+rect 31904 4428 31910 4480
+rect 35820 4468 35848 4639
+rect 35728 4440 35848 4468
+rect 19035 4338 19104 4366
+rect 19426 4360 19432 4412
+rect 19484 4400 19490 4412
+rect 23842 4409 23848 4412
+rect 19625 4403 19683 4409
+rect 19625 4400 19637 4403
+rect 19484 4372 19637 4400
+rect 19484 4360 19490 4372
+rect 19625 4369 19637 4372
+rect 19671 4369 19683 4403
+rect 19625 4363 19683 4369
+rect 19035 4335 19047 4338
+rect 18989 4329 19047 4335
+rect 23014 4326 23020 4378
+rect 23072 4366 23078 4378
+rect 23189 4369 23247 4375
+rect 23189 4366 23201 4369
+rect 23072 4338 23201 4366
+rect 23072 4326 23078 4338
+rect 23189 4335 23201 4338
+rect 23235 4335 23247 4369
+rect 23841 4363 23848 4409
+rect 23900 4400 23906 4412
+rect 28057 4403 28115 4409
+rect 23900 4372 23941 4400
+rect 23842 4360 23848 4363
+rect 23900 4360 23906 4372
+rect 23189 4329 23247 4335
+rect 27154 4326 27160 4378
+rect 27212 4366 27218 4378
+rect 27405 4369 27463 4375
+rect 27405 4366 27417 4369
+rect 27212 4338 27417 4366
+rect 27212 4326 27218 4338
+rect 27405 4335 27417 4338
+rect 27451 4335 27463 4369
+rect 28057 4369 28069 4403
+rect 28103 4400 28115 4403
+rect 28103 4372 28304 4400
+rect 28103 4369 28115 4372
+rect 28057 4363 28115 4369
+rect 27405 4329 27463 4335
+rect 1104 4304 17940 4326
+rect 5537 4267 5595 4273
+rect 5537 4233 5549 4267
+rect 5583 4264 5595 4267
+rect 6638 4264 6644 4276
+rect 5583 4236 6644 4264
+rect 5583 4233 5595 4236
+rect 5537 4227 5595 4233
+rect 6638 4224 6644 4236
+rect 6696 4224 6702 4276
+rect 11517 4267 11575 4273
+rect 11517 4264 11529 4267
+rect 7392 4236 11529 4264
+rect 6086 4196 6092 4208
+rect 5999 4168 6092 4196
+rect 6086 4156 6092 4168
+rect 6144 4196 6150 4208
+rect 6546 4205 6552 4208
+rect 6524 4199 6552 4205
+rect 6144 4168 6408 4196
+rect 6144 4156 6150 4168
+rect 6380 4128 6408 4168
+rect 6524 4165 6536 4199
+rect 6524 4159 6552 4165
+rect 6546 4156 6552 4159
+rect 6604 4156 6610 4208
+rect 6733 4199 6791 4205
+rect 6733 4196 6745 4199
+rect 6656 4168 6745 4196
+rect 6656 4128 6684 4168
+rect 6733 4165 6745 4168
+rect 6779 4196 6791 4199
+rect 7282 4196 7288 4208
+rect 6779 4168 7288 4196
+rect 6779 4165 6791 4168
+rect 6733 4159 6791 4165
+rect 7282 4156 7288 4168
+rect 7340 4156 7346 4208
+rect 7392 4205 7420 4236
+rect 11517 4233 11529 4236
+rect 11563 4233 11575 4267
+rect 11517 4227 11575 4233
+rect 11606 4224 11612 4276
+rect 11664 4264 11670 4276
+rect 11793 4267 11851 4273
+rect 11793 4264 11805 4267
+rect 11664 4236 11805 4264
+rect 11664 4224 11670 4236
+rect 11793 4233 11805 4236
+rect 11839 4233 11851 4267
+rect 11793 4227 11851 4233
+rect 11882 4224 11888 4276
+rect 11940 4264 11946 4276
+rect 11940 4236 12434 4264
+rect 11940 4224 11946 4236
+rect 7377 4199 7435 4205
+rect 7377 4165 7389 4199
+rect 7423 4165 7435 4199
+rect 7377 4159 7435 4165
+rect 7745 4199 7803 4205
+rect 7745 4165 7757 4199
+rect 7791 4196 7803 4199
+rect 7791 4168 8432 4196
+rect 7791 4165 7803 4168
+rect 7745 4159 7803 4165
+rect 6380 4100 6684 4128
+rect 7009 4131 7067 4137
+rect 7009 4097 7021 4131
+rect 7055 4128 7067 4131
+rect 8018 4128 8024 4140
+rect 7055 4100 8024 4128
+rect 7055 4097 7067 4100
+rect 7009 4091 7067 4097
+rect 8018 4088 8024 4100
+rect 8076 4088 8082 4140
+rect 8110 4088 8116 4140
+rect 8168 4128 8174 4140
+rect 8205 4131 8263 4137
+rect 8205 4128 8217 4131
+rect 8168 4100 8217 4128
+rect 8168 4088 8174 4100
+rect 8205 4097 8217 4100
+rect 8251 4097 8263 4131
+rect 8205 4091 8263 4097
+rect 5629 4063 5687 4069
+rect 5629 4029 5641 4063
+rect 5675 4060 5687 4063
+rect 7834 4060 7840 4072
+rect 5675 4032 7840 4060
+rect 5675 4029 5687 4032
+rect 5629 4023 5687 4029
+rect 7834 4020 7840 4032
+rect 7892 4060 7898 4072
+rect 8297 4063 8355 4069
+rect 8297 4060 8309 4063
+rect 7892 4032 8309 4060
+rect 7892 4020 7898 4032
+rect 8297 4029 8309 4032
+rect 8343 4029 8355 4063
+rect 8404 4060 8432 4168
+rect 8478 4156 8484 4208
+rect 8536 4196 8542 4208
+rect 8536 4168 9628 4196
+rect 8536 4156 8542 4168
+rect 8573 4131 8631 4137
+rect 8573 4097 8585 4131
+rect 8619 4128 8631 4131
+rect 8941 4131 8999 4137
+rect 8941 4128 8953 4131
+rect 8619 4100 8953 4128
+rect 8619 4097 8631 4100
+rect 8573 4091 8631 4097
+rect 8941 4097 8953 4100
+rect 8987 4097 8999 4131
+rect 9122 4128 9128 4140
+rect 9083 4100 9128 4128
+rect 8941 4091 8999 4097
+rect 9122 4088 9128 4100
+rect 9180 4088 9186 4140
+rect 9217 4131 9275 4137
+rect 9217 4097 9229 4131
+rect 9263 4128 9275 4131
+rect 9398 4128 9404 4140
+rect 9263 4100 9404 4128
+rect 9263 4097 9275 4100
+rect 9217 4091 9275 4097
+rect 9398 4088 9404 4100
+rect 9456 4088 9462 4140
+rect 9600 4128 9628 4168
+rect 9674 4156 9680 4208
+rect 9732 4196 9738 4208
+rect 9732 4168 9777 4196
+rect 9732 4156 9738 4168
+rect 10134 4156 10140 4208
+rect 10192 4196 10198 4208
+rect 10870 4196 10876 4208
+rect 10192 4168 10876 4196
+rect 10192 4156 10198 4168
+rect 10870 4156 10876 4168
+rect 10928 4156 10934 4208
+rect 11054 4156 11060 4208
+rect 11112 4196 11118 4208
+rect 12406 4196 12434 4236
+rect 14182 4224 14188 4276
+rect 14240 4264 14246 4276
+rect 16022 4264 16028 4276
+rect 14240 4236 16028 4264
+rect 14240 4224 14246 4236
+rect 16022 4224 16028 4236
+rect 16080 4224 16086 4276
+rect 18920 4266 18984 4292
+rect 18920 4214 18926 4266
+rect 18978 4214 18984 4266
+rect 13630 4196 13636 4208
+rect 11112 4168 12296 4196
+rect 12406 4168 13636 4196
+rect 11112 4156 11118 4168
+rect 10778 4132 10784 4140
+rect 10704 4128 10784 4132
+rect 9600 4104 10784 4128
+rect 9600 4100 10732 4104
+rect 10778 4088 10784 4104
+rect 10836 4088 10842 4140
+rect 10965 4131 11023 4137
+rect 10965 4128 10977 4131
+rect 10888 4100 10977 4128
+rect 9030 4060 9036 4072
+rect 8404 4032 9036 4060
+rect 8297 4023 8355 4029
+rect 9030 4020 9036 4032
+rect 9088 4020 9094 4072
+rect 9861 4063 9919 4069
+rect 9861 4060 9873 4063
+rect 9508 4032 9873 4060
+rect 6089 3995 6147 4001
+rect 6089 3961 6101 3995
+rect 6135 3992 6147 3995
+rect 7558 3992 7564 4004
+rect 6135 3964 6592 3992
+rect 7519 3964 7564 3992
+rect 6135 3961 6147 3964
+rect 6089 3955 6147 3961
+rect 3418 3884 3424 3936
+rect 3476 3924 3482 3936
+rect 5353 3927 5411 3933
+rect 5353 3924 5365 3927
+rect 3476 3896 5365 3924
+rect 3476 3884 3482 3896
+rect 5353 3893 5365 3896
+rect 5399 3893 5411 3927
+rect 5353 3887 5411 3893
+rect 6178 3884 6184 3936
+rect 6236 3924 6242 3936
+rect 6365 3927 6423 3933
+rect 6365 3924 6377 3927
+rect 6236 3896 6377 3924
+rect 6236 3884 6242 3896
+rect 6365 3893 6377 3896
+rect 6411 3893 6423 3927
+rect 6564 3924 6592 3964
+rect 7558 3952 7564 3964
+rect 7616 3952 7622 4004
+rect 7742 3992 7748 4004
+rect 7703 3964 7748 3992
+rect 7742 3952 7748 3964
+rect 7800 3952 7806 4004
+rect 8481 3995 8539 4001
+rect 8481 3961 8493 3995
+rect 8527 3992 8539 3995
+rect 9508 3992 9536 4032
+rect 9861 4029 9873 4032
+rect 9907 4029 9919 4063
+rect 9861 4023 9919 4029
+rect 10137 4063 10195 4069
+rect 10137 4029 10149 4063
+rect 10183 4060 10195 4063
+rect 10318 4060 10324 4072
+rect 10183 4032 10324 4060
+rect 10183 4029 10195 4032
+rect 10137 4023 10195 4029
+rect 10318 4020 10324 4032
+rect 10376 4020 10382 4072
+rect 10888 4060 10916 4100
+rect 10965 4097 10977 4100
+rect 11011 4097 11023 4131
+rect 10965 4091 11023 4097
+rect 11241 4131 11299 4137
+rect 11241 4097 11253 4131
+rect 11287 4128 11299 4131
+rect 11974 4128 11980 4140
+rect 11287 4100 11980 4128
+rect 11287 4097 11299 4100
+rect 11241 4091 11299 4097
+rect 11974 4088 11980 4100
+rect 12032 4088 12038 4140
+rect 12268 4128 12296 4168
+rect 13630 4156 13636 4168
+rect 13688 4156 13694 4208
+rect 13998 4156 14004 4208
+rect 14056 4160 14062 4208
+rect 14056 4156 14136 4160
+rect 14274 4156 14280 4208
+rect 14332 4196 14338 4208
+rect 16850 4196 16856 4208
+rect 14332 4168 16856 4196
+rect 14332 4156 14338 4168
+rect 13446 4128 13452 4140
+rect 12268 4100 13452 4128
+rect 13446 4088 13452 4100
+rect 13504 4128 13510 4140
+rect 14016 4137 14136 4156
+rect 13817 4131 13875 4137
+rect 14016 4132 14158 4137
+rect 13817 4128 13829 4131
+rect 13504 4100 13829 4128
+rect 13504 4088 13510 4100
+rect 13817 4097 13829 4100
+rect 13863 4097 13875 4131
+rect 13817 4091 13875 4097
+rect 14100 4131 14158 4132
+rect 14100 4097 14112 4131
+rect 14146 4097 14158 4131
+rect 14100 4091 14158 4097
+rect 14385 4131 14443 4137
+rect 14385 4097 14397 4131
+rect 14431 4128 14443 4131
+rect 14476 4128 14504 4168
+rect 16850 4156 16856 4168
+rect 16908 4156 16914 4208
+rect 18920 4188 18984 4214
+rect 19520 4266 19584 4292
+rect 19520 4214 19526 4266
+rect 19578 4214 19584 4266
+rect 19520 4188 19584 4214
+rect 23136 4266 23200 4292
+rect 23136 4214 23142 4266
+rect 23194 4214 23200 4266
+rect 23136 4188 23200 4214
+rect 23736 4266 23800 4292
+rect 23736 4214 23742 4266
+rect 23794 4214 23800 4266
+rect 23736 4188 23800 4214
+rect 27352 4266 27416 4292
+rect 27352 4214 27358 4266
+rect 27410 4214 27416 4266
+rect 27352 4188 27416 4214
+rect 27952 4266 28016 4292
+rect 27952 4214 27958 4266
+rect 28010 4214 28016 4266
+rect 27952 4188 28016 4214
+rect 23934 4128 23940 4140
+rect 14431 4100 14504 4128
+rect 14568 4100 23940 4128
+rect 14431 4097 14443 4100
+rect 14385 4091 14443 4097
+rect 10796 4032 10916 4060
+rect 8527 3964 9536 3992
+rect 8527 3961 8539 3964
+rect 8481 3955 8539 3961
+rect 9674 3952 9680 4004
+rect 9732 3992 9738 4004
+rect 10796 3992 10824 4032
+rect 11146 4020 11152 4072
+rect 11204 4060 11210 4072
+rect 11698 4069 11704 4072
+rect 11676 4063 11704 4069
+rect 11676 4060 11688 4063
+rect 11204 4032 11688 4060
+rect 11204 4020 11210 4032
+rect 11676 4029 11688 4032
+rect 11676 4023 11704 4029
+rect 11698 4020 11704 4023
+rect 11756 4020 11762 4072
+rect 11790 4020 11796 4072
+rect 11848 4060 11854 4072
+rect 11885 4063 11943 4069
+rect 11885 4060 11897 4063
+rect 11848 4032 11897 4060
+rect 11848 4020 11854 4032
+rect 11885 4029 11897 4032
+rect 11931 4029 11943 4063
+rect 12158 4060 12164 4072
+rect 12119 4032 12164 4060
+rect 11885 4023 11943 4029
+rect 12158 4020 12164 4032
+rect 12216 4020 12222 4072
+rect 12342 4020 12348 4072
+rect 12400 4060 12406 4072
+rect 13265 4063 13323 4069
+rect 13265 4060 13277 4063
+rect 12400 4032 13277 4060
+rect 12400 4020 12406 4032
+rect 13265 4029 13277 4032
+rect 13311 4029 13323 4063
+rect 13265 4023 13323 4029
+rect 13541 4063 13599 4069
+rect 13541 4029 13553 4063
+rect 13587 4029 13599 4063
+rect 13541 4023 13599 4029
+rect 13633 4063 13691 4069
+rect 13633 4029 13645 4063
+rect 13679 4060 13691 4063
+rect 13998 4060 14004 4072
+rect 13679 4032 14004 4060
+rect 13679 4029 13691 4032
+rect 13633 4023 13691 4029
+rect 13170 3992 13176 4004
+rect 9732 3964 9777 3992
+rect 10796 3964 13176 3992
+rect 9732 3952 9738 3964
+rect 13170 3952 13176 3964
+rect 13228 3952 13234 4004
+rect 13556 3992 13584 4023
+rect 13998 4020 14004 4032
+rect 14056 4020 14062 4072
+rect 14182 4060 14188 4072
+rect 14143 4032 14188 4060
+rect 14182 4020 14188 4032
+rect 14240 4020 14246 4072
+rect 14568 4001 14596 4100
+rect 23934 4088 23940 4100
+rect 23992 4088 23998 4140
+rect 28276 4128 28304 4372
+rect 31294 4326 31300 4378
+rect 31352 4366 31358 4378
+rect 31481 4369 31539 4375
+rect 31481 4366 31493 4369
+rect 31352 4338 31493 4366
+rect 31352 4326 31358 4338
+rect 31481 4335 31493 4338
+rect 31527 4335 31539 4369
+rect 32122 4360 32128 4412
+rect 32180 4409 32186 4412
+rect 32180 4403 32195 4409
+rect 32183 4369 32195 4403
+rect 35728 4390 35756 4440
+rect 32180 4363 32195 4369
+rect 35713 4384 35771 4390
+rect 32180 4360 32186 4363
+rect 35713 4350 35725 4384
+rect 35759 4350 35771 4384
+rect 35802 4360 35808 4412
+rect 35860 4400 35866 4412
+rect 36353 4403 36411 4409
+rect 36353 4400 36365 4403
+rect 35860 4372 36365 4400
+rect 35860 4360 35866 4372
+rect 36353 4369 36365 4372
+rect 36399 4369 36411 4403
+rect 36353 4363 36411 4369
+rect 35713 4344 35771 4350
+rect 31481 4329 31539 4335
+rect 31432 4266 31496 4292
+rect 31432 4214 31438 4266
+rect 31490 4214 31496 4266
+rect 31432 4188 31496 4214
+rect 32032 4266 32096 4292
+rect 32032 4214 32038 4266
+rect 32090 4214 32096 4266
+rect 32032 4188 32096 4214
+rect 35648 4266 35712 4292
+rect 35648 4214 35654 4266
+rect 35706 4214 35712 4266
+rect 35648 4188 35712 4214
+rect 36248 4266 36312 4292
+rect 36248 4214 36254 4266
+rect 36306 4214 36312 4266
+rect 36248 4188 36312 4214
+rect 31294 4128 31300 4140
+rect 28276 4100 31300 4128
+rect 31294 4088 31300 4100
+rect 31352 4088 31358 4140
+rect 15470 4060 15476 4072
+rect 15431 4032 15476 4060
+rect 15470 4020 15476 4032
+rect 15528 4020 15534 4072
+rect 15565 4063 15623 4069
+rect 15565 4029 15577 4063
+rect 15611 4060 15623 4063
+rect 15746 4060 15752 4072
+rect 15611 4032 15752 4060
+rect 15611 4029 15623 4032
+rect 15565 4023 15623 4029
+rect 15746 4020 15752 4032
+rect 15804 4060 15810 4072
+rect 17310 4060 17316 4072
+rect 15804 4032 17316 4060
+rect 15804 4020 15810 4032
+rect 17310 4020 17316 4032
+rect 17368 4020 17374 4072
+rect 17586 4060 17592 4072
+rect 17547 4032 17592 4060
+rect 17586 4020 17592 4032
+rect 17644 4060 17650 4072
+rect 24486 4060 24492 4072
+rect 17644 4032 24492 4060
+rect 17644 4020 17650 4032
+rect 24486 4020 24492 4032
+rect 24544 4020 24550 4072
+rect 14553 3995 14611 4001
+rect 13280 3964 13584 3992
+rect 13648 3964 14320 3992
+rect 7760 3924 7788 3952
+rect 6564 3896 7788 3924
+rect 8757 3927 8815 3933
+rect 6365 3887 6423 3893
+rect 8757 3893 8769 3927
+rect 8803 3924 8815 3927
+rect 10410 3924 10416 3936
+rect 8803 3896 10416 3924
+rect 8803 3893 8815 3896
+rect 8757 3887 8815 3893
+rect 10410 3884 10416 3896
+rect 10468 3884 10474 3936
+rect 10594 3884 10600 3936
+rect 10652 3924 10658 3936
+rect 10781 3927 10839 3933
+rect 10781 3924 10793 3927
+rect 10652 3896 10793 3924
+rect 10652 3884 10658 3896
+rect 10781 3893 10793 3896
+rect 10827 3893 10839 3927
+rect 10781 3887 10839 3893
+rect 11149 3927 11207 3933
+rect 11149 3893 11161 3927
+rect 11195 3924 11207 3927
+rect 11422 3924 11428 3936
+rect 11195 3896 11428 3924
+rect 11195 3893 11207 3896
+rect 11149 3887 11207 3893
+rect 11422 3884 11428 3896
+rect 11480 3884 11486 3936
+rect 11974 3884 11980 3936
+rect 12032 3924 12038 3936
+rect 12986 3924 12992 3936
+rect 12032 3896 12992 3924
+rect 12032 3884 12038 3896
+rect 12986 3884 12992 3896
+rect 13044 3924 13050 3936
+rect 13280 3924 13308 3964
+rect 13044 3896 13308 3924
+rect 13044 3884 13050 3896
+rect 13354 3884 13360 3936
+rect 13412 3924 13418 3936
+rect 13648 3924 13676 3964
+rect 14182 3924 14188 3936
+rect 13412 3896 13676 3924
+rect 14143 3896 14188 3924
+rect 13412 3884 13418 3896
+rect 14182 3884 14188 3896
+rect 14240 3884 14246 3936
+rect 14292 3924 14320 3964
+rect 14553 3961 14565 3995
+rect 14599 3961 14611 3995
+rect 14553 3955 14611 3961
+rect 15243 3927 15301 3933
+rect 15243 3924 15255 3927
+rect 14292 3896 15255 3924
+rect 15243 3893 15255 3896
+rect 15289 3893 15301 3927
+rect 15243 3887 15301 3893
+rect 15562 3884 15568 3936
+rect 15620 3924 15626 3936
+rect 15795 3927 15853 3933
+rect 15795 3924 15807 3927
+rect 15620 3896 15807 3924
+rect 15620 3884 15626 3896
+rect 15795 3893 15807 3896
+rect 15841 3893 15853 3927
+rect 15795 3887 15853 3893
+rect 1104 3834 17940 3856
+rect 1104 3782 2822 3834
+rect 2874 3782 2886 3834
+rect 2938 3782 2950 3834
+rect 3002 3782 3014 3834
+rect 3066 3782 3078 3834
+rect 3130 3782 3142 3834
+rect 3194 3782 3206 3834
+rect 3258 3782 3270 3834
+rect 3322 3782 3334 3834
+rect 3386 3782 5487 3834
+rect 5539 3782 5551 3834
+rect 5603 3782 5615 3834
+rect 5667 3782 5679 3834
+rect 5731 3782 5743 3834
+rect 5795 3782 5807 3834
+rect 5859 3782 5871 3834
+rect 5923 3782 5935 3834
+rect 5987 3782 5999 3834
+rect 6051 3782 8152 3834
+rect 8204 3782 8216 3834
+rect 8268 3782 8280 3834
+rect 8332 3782 8344 3834
+rect 8396 3782 8408 3834
+rect 8460 3782 8472 3834
+rect 8524 3782 8536 3834
+rect 8588 3782 8600 3834
+rect 8652 3782 8664 3834
+rect 8716 3782 10817 3834
+rect 10869 3782 10881 3834
+rect 10933 3782 10945 3834
+rect 10997 3782 11009 3834
+rect 11061 3782 11073 3834
+rect 11125 3782 11137 3834
+rect 11189 3782 11201 3834
+rect 11253 3782 11265 3834
+rect 11317 3782 11329 3834
+rect 11381 3782 13482 3834
+rect 13534 3782 13546 3834
+rect 13598 3782 13610 3834
+rect 13662 3782 13674 3834
+rect 13726 3782 13738 3834
+rect 13790 3782 13802 3834
+rect 13854 3782 13866 3834
+rect 13918 3782 13930 3834
+rect 13982 3782 13994 3834
+rect 14046 3782 16147 3834
+rect 16199 3782 16211 3834
+rect 16263 3782 16275 3834
+rect 16327 3782 16339 3834
+rect 16391 3782 16403 3834
+rect 16455 3782 16467 3834
+rect 16519 3782 16531 3834
+rect 16583 3782 16595 3834
+rect 16647 3782 16659 3834
+rect 16711 3782 17940 3834
+rect 19058 3816 19064 3868
+rect 19116 3856 19122 3868
+rect 24762 3856 24768 3868
+rect 19116 3828 24768 3856
+rect 19116 3816 19122 3828
+rect 24762 3816 24768 3828
+rect 24820 3816 24826 3868
+rect 1104 3760 17940 3782
+rect 18782 3748 18788 3800
+rect 18840 3788 18846 3800
+rect 23198 3788 23204 3800
+rect 18840 3760 23204 3788
+rect 18840 3748 18846 3760
+rect 23198 3748 23204 3760
+rect 23256 3748 23262 3800
+rect 6546 3680 6552 3732
+rect 6604 3720 6610 3732
+rect 11606 3720 11612 3732
+rect 6604 3692 11612 3720
+rect 6604 3680 6610 3692
+rect 11606 3680 11612 3692
+rect 11664 3680 11670 3732
+rect 11698 3680 11704 3732
+rect 11756 3720 11762 3732
+rect 12802 3720 12808 3732
+rect 11756 3692 12808 3720
+rect 11756 3680 11762 3692
+rect 12802 3680 12808 3692
+rect 12860 3720 12866 3732
+rect 13078 3720 13084 3732
+rect 12860 3692 13084 3720
+rect 12860 3680 12866 3692
+rect 13078 3680 13084 3692
+rect 13136 3680 13142 3732
+rect 13170 3680 13176 3732
+rect 13228 3720 13234 3732
+rect 15654 3720 15660 3732
+rect 13228 3692 15660 3720
+rect 13228 3680 13234 3692
+rect 15654 3680 15660 3692
+rect 15712 3680 15718 3732
+rect 7742 3612 7748 3664
+rect 7800 3652 7806 3664
+rect 9674 3652 9680 3664
+rect 7800 3624 9680 3652
+rect 7800 3612 7806 3624
+rect 9674 3612 9680 3624
+rect 9732 3612 9738 3664
+rect 13722 3612 13728 3664
+rect 13780 3652 13786 3664
+rect 14090 3652 14096 3664
+rect 13780 3624 14096 3652
+rect 13780 3612 13786 3624
+rect 14090 3612 14096 3624
+rect 14148 3652 14154 3664
+rect 22094 3652 22100 3664
+rect 14148 3624 22100 3652
+rect 14148 3612 14154 3624
+rect 22094 3612 22100 3624
+rect 22152 3612 22158 3664
+rect 11606 3544 11612 3596
+rect 11664 3584 11670 3596
+rect 12342 3584 12348 3596
+rect 11664 3556 12348 3584
+rect 11664 3544 11670 3556
+rect 12342 3544 12348 3556
+rect 12400 3584 12406 3596
+rect 14458 3584 14464 3596
+rect 12400 3556 14464 3584
+rect 12400 3544 12406 3556
+rect 14458 3544 14464 3556
+rect 14516 3544 14522 3596
+rect 15838 3584 15844 3596
+rect 14568 3556 15844 3584
+rect 7282 3476 7288 3528
+rect 7340 3516 7346 3528
+rect 12158 3516 12164 3528
+rect 7340 3488 12164 3516
+rect 7340 3476 7346 3488
+rect 12158 3476 12164 3488
+rect 12216 3476 12222 3528
+rect 12986 3476 12992 3528
+rect 13044 3516 13050 3528
+rect 14568 3516 14596 3556
+rect 15838 3544 15844 3556
+rect 15896 3544 15902 3596
+rect 13044 3488 14596 3516
+rect 13044 3476 13050 3488
+rect 14918 3476 14924 3528
+rect 14976 3516 14982 3528
+rect 28074 3516 28080 3528
+rect 14976 3488 28080 3516
+rect 14976 3476 14982 3488
+rect 28074 3476 28080 3488
+rect 28132 3476 28138 3528
+rect 13262 3408 13268 3460
+rect 13320 3448 13326 3460
+rect 27246 3448 27252 3460
+rect 13320 3420 27252 3448
+rect 13320 3408 13326 3420
+rect 27246 3408 27252 3420
+rect 27304 3408 27310 3460
+rect 14550 3380 14556 3392
+rect 6196 3352 14556 3380
+rect 1104 3290 5244 3312
+rect 1104 3238 4154 3290
+rect 4206 3238 4218 3290
+rect 4270 3238 4282 3290
+rect 4334 3238 4346 3290
+rect 4398 3238 4410 3290
+rect 4462 3238 4474 3290
+rect 4526 3238 4538 3290
+rect 4590 3238 4602 3290
+rect 4654 3238 4666 3290
+rect 4718 3238 5244 3290
+rect 1104 3216 5244 3238
+rect 2961 3179 3019 3185
+rect 2961 3145 2973 3179
+rect 3007 3176 3019 3179
+rect 6196 3176 6224 3352
+rect 14550 3340 14556 3352
+rect 14608 3340 14614 3392
+rect 21174 3340 21180 3392
+rect 21232 3380 21238 3392
+rect 35802 3380 35808 3392
+rect 21232 3352 35808 3380
+rect 21232 3340 21238 3352
+rect 35802 3340 35808 3352
+rect 35860 3340 35866 3392
+rect 8754 3272 8760 3324
+rect 8812 3312 8818 3324
+rect 14918 3312 14924 3324
+rect 8812 3284 14924 3312
+rect 8812 3272 8818 3284
+rect 14918 3272 14924 3284
+rect 14976 3272 14982 3324
+rect 22646 3312 22652 3324
+rect 17604 3284 22652 3312
+rect 3007 3148 6224 3176
+rect 6572 3183 6636 3208
+rect 3007 3145 3019 3148
+rect 2961 3139 3019 3145
+rect 6572 3131 6578 3183
+rect 6630 3131 6636 3183
+rect 6572 3106 6636 3131
+rect 10788 3183 10852 3208
+rect 13078 3204 13084 3256
+rect 13136 3244 13142 3256
+rect 13722 3244 13728 3256
+rect 13136 3216 13728 3244
+rect 13136 3204 13142 3216
+rect 13722 3204 13728 3216
+rect 13780 3204 13786 3256
+rect 10788 3131 10794 3183
+rect 10846 3131 10852 3183
+rect 10788 3106 10852 3131
+rect 15004 3183 15068 3208
+rect 16298 3204 16304 3256
+rect 16356 3244 16362 3256
+rect 17604 3244 17632 3284
+rect 22646 3272 22652 3284
+rect 22704 3272 22710 3324
+rect 22738 3272 22744 3324
+rect 22796 3312 22802 3324
+rect 23842 3312 23848 3324
+rect 22796 3284 23848 3312
+rect 22796 3272 22802 3284
+rect 23842 3272 23848 3284
+rect 23900 3272 23906 3324
+rect 16356 3216 17632 3244
+rect 16356 3204 16362 3216
+rect 15004 3131 15010 3183
+rect 15062 3131 15068 3183
+rect 15004 3106 15068 3131
+rect 19220 3183 19284 3208
+rect 19220 3131 19226 3183
+rect 19278 3131 19284 3183
+rect 19220 3106 19284 3131
+rect 23436 3183 23500 3208
+rect 23436 3131 23442 3183
+rect 23494 3131 23500 3183
+rect 23436 3106 23500 3131
+rect 27652 3183 27716 3208
+rect 28718 3204 28724 3256
+rect 28776 3244 28782 3256
+rect 31202 3244 31208 3256
+rect 28776 3216 31208 3244
+rect 28776 3204 28782 3216
+rect 31202 3204 31208 3216
+rect 31260 3204 31266 3256
+rect 27652 3131 27658 3183
+rect 27710 3131 27716 3183
+rect 27652 3106 27716 3131
+rect 31732 3183 31796 3208
+rect 31732 3131 31738 3183
+rect 31790 3131 31796 3183
+rect 31732 3106 31796 3131
+rect 35948 3183 36012 3208
+rect 35948 3131 35954 3183
+rect 36006 3131 36012 3183
+rect 35948 3106 36012 3131
+rect 6341 3061 6399 3067
+rect 2777 3043 2835 3049
+rect 2777 3009 2789 3043
+rect 2823 3040 2835 3043
+rect 3418 3040 3424 3052
+rect 2823 3012 3424 3040
+rect 2823 3009 2835 3012
+rect 2777 3003 2835 3009
+rect 3418 3000 3424 3012
+rect 3476 3000 3482 3052
+rect 3789 3043 3847 3049
+rect 3789 3009 3801 3043
+rect 3835 3040 3847 3043
+rect 6178 3040 6184 3052
+rect 3835 3012 6184 3040
+rect 3835 3009 3847 3012
+rect 3789 3003 3847 3009
+rect 6178 3000 6184 3012
+rect 6236 3000 6242 3052
+rect 6341 3027 6353 3061
+rect 6387 3058 6399 3061
+rect 6454 3058 6460 3070
+rect 6387 3030 6460 3058
+rect 6387 3027 6399 3030
+rect 6341 3021 6399 3027
+rect 6454 3018 6460 3030
+rect 6512 3018 6518 3070
+rect 10594 3067 10600 3070
+rect 10573 3061 10600 3067
+rect 10573 3027 10585 3061
+rect 10573 3021 10600 3027
+rect 10594 3018 10600 3021
+rect 10652 3018 10658 3070
+rect 14826 3067 14832 3070
+rect 14789 3061 14832 3067
+rect 14789 3027 14801 3061
+rect 14789 3021 14832 3027
+rect 14826 3018 14832 3021
+rect 14884 3018 14890 3070
+rect 18969 3061 19027 3067
+rect 18969 3027 18981 3061
+rect 19015 3058 19027 3061
+rect 19058 3058 19064 3070
+rect 19015 3030 19064 3058
+rect 19015 3027 19027 3030
+rect 18969 3021 19027 3027
+rect 19058 3018 19064 3030
+rect 19116 3018 19122 3070
+rect 20806 3000 20812 3052
+rect 20864 3040 20870 3052
+rect 20864 3012 23152 3040
+rect 23218 3018 23224 3070
+rect 23276 3058 23282 3070
+rect 27433 3061 27491 3067
+rect 23276 3030 23321 3058
+rect 27433 3040 27445 3061
+rect 23276 3018 23282 3030
+rect 26068 3027 27445 3040
+rect 27479 3027 27491 3061
+rect 31481 3061 31539 3067
+rect 26068 3021 27491 3027
+rect 20864 3000 20870 3012
+rect 13630 2932 13636 2984
+rect 13688 2972 13694 2984
+rect 22738 2972 22744 2984
+rect 13688 2944 22744 2972
+rect 13688 2932 13694 2944
+rect 22738 2932 22744 2944
+rect 22796 2932 22802 2984
+rect 23124 2972 23152 3012
+rect 26068 3012 27476 3021
+rect 26068 2972 26096 3012
+rect 29270 3000 29276 3052
+rect 29328 3040 29334 3052
+rect 31481 3040 31493 3061
+rect 29328 3027 31493 3040
+rect 31527 3027 31539 3061
+rect 29328 3021 31539 3027
+rect 35713 3050 35771 3056
+rect 29328 3012 31524 3021
+rect 35713 3016 35725 3050
+rect 35759 3040 35771 3050
+rect 36078 3040 36084 3052
+rect 35759 3016 36084 3040
+rect 35713 3012 36084 3016
+rect 29328 3000 29334 3012
+rect 35713 3010 35771 3012
+rect 36078 3000 36084 3012
+rect 36136 3000 36142 3052
+rect 23124 2944 26096 2972
+rect 26142 2932 26148 2984
+rect 26200 2972 26206 2984
+rect 31938 2972 31944 2984
+rect 26200 2944 31944 2972
+rect 26200 2932 26206 2944
+rect 31938 2932 31944 2944
+rect 31996 2932 32002 2984
+rect 35434 2972 35440 2984
+rect 32048 2944 35440 2972
+rect 10594 2913 10600 2916
+rect 10573 2907 10600 2913
+rect 10573 2904 10585 2907
+rect 10507 2876 10585 2904
+rect 10573 2873 10585 2876
+rect 10652 2904 10658 2916
+rect 14789 2907 14847 2913
+rect 14789 2904 14801 2907
+rect 10652 2876 14801 2904
+rect 10573 2867 10600 2873
+rect 10594 2864 10600 2867
+rect 10652 2864 10658 2876
+rect 14789 2873 14801 2876
+rect 14835 2904 14847 2907
+rect 19005 2907 19063 2913
+rect 19005 2904 19017 2907
+rect 14835 2876 16436 2904
+rect 14835 2873 14847 2876
+rect 14789 2867 14847 2873
+rect 6362 2845 6368 2848
+rect 3973 2839 4031 2845
+rect 3973 2805 3985 2839
+rect 4019 2836 4031 2839
+rect 4019 2808 6316 2836
+rect 4019 2805 4031 2808
+rect 3973 2799 4031 2805
+rect 6288 2768 6316 2808
+rect 6357 2799 6368 2845
+rect 6420 2836 6426 2848
+rect 6420 2808 6457 2836
+rect 6362 2796 6368 2799
+rect 6420 2796 6426 2808
+rect 10962 2796 10968 2848
+rect 11020 2836 11026 2848
+rect 11193 2839 11251 2845
+rect 11193 2836 11205 2839
+rect 11020 2808 11205 2836
+rect 11020 2796 11026 2808
+rect 11193 2805 11205 2808
+rect 11239 2805 11251 2839
+rect 11193 2799 11251 2805
+rect 7006 2777 7012 2780
+rect 6977 2771 7012 2777
+rect 1104 2746 5244 2768
+rect 1104 2694 2822 2746
+rect 2874 2694 2886 2746
+rect 2938 2694 2950 2746
+rect 3002 2694 3014 2746
+rect 3066 2694 3078 2746
+rect 3130 2694 3142 2746
+rect 3194 2694 3206 2746
+rect 3258 2694 3270 2746
+rect 3322 2694 3334 2746
+rect 3386 2694 5244 2746
+rect 6288 2740 6408 2768
+rect 6341 2737 6408 2740
+rect 6341 2703 6353 2737
+rect 6387 2706 6408 2737
+rect 6977 2737 6989 2771
+rect 6977 2731 7012 2737
+rect 7006 2728 7012 2731
+rect 7064 2728 7070 2780
+rect 15409 2771 15467 2777
+rect 10573 2737 10631 2743
+rect 6387 2703 6399 2706
+rect 6341 2697 6399 2703
+rect 10573 2703 10585 2737
+rect 10619 2734 10631 2737
+rect 10686 2734 10692 2746
+rect 10619 2706 10692 2734
+rect 10619 2703 10631 2706
+rect 10573 2697 10631 2703
+rect 10686 2694 10692 2706
+rect 10744 2694 10750 2746
+rect 14550 2697 14556 2749
+rect 14608 2737 14614 2749
+rect 14757 2740 14815 2746
+rect 14757 2737 14769 2740
+rect 14608 2709 14769 2737
+rect 14608 2697 14614 2709
+rect 14757 2706 14769 2709
+rect 14803 2706 14815 2740
+rect 15409 2737 15421 2771
+rect 15455 2768 15467 2771
+rect 15746 2768 15752 2780
+rect 15455 2740 15752 2768
+rect 15455 2737 15467 2740
+rect 15409 2731 15467 2737
+rect 15746 2728 15752 2740
+rect 15804 2728 15810 2780
+rect 16408 2768 16436 2876
+rect 18616 2876 19017 2904
+rect 18616 2768 18644 2876
+rect 19005 2873 19017 2876
+rect 19051 2904 19063 2907
+rect 19334 2904 19340 2916
+rect 19051 2876 19340 2904
+rect 19051 2873 19063 2876
+rect 19005 2867 19063 2873
+rect 19334 2864 19340 2876
+rect 19392 2864 19398 2916
+rect 22646 2864 22652 2916
+rect 22704 2904 22710 2916
+rect 23841 2907 23899 2913
+rect 23841 2904 23853 2907
+rect 22704 2876 23853 2904
+rect 22704 2864 22710 2876
+rect 23841 2873 23853 2876
+rect 23887 2873 23899 2907
+rect 31517 2907 31575 2913
+rect 31517 2904 31529 2907
+rect 23841 2867 23899 2873
+rect 31128 2876 31529 2904
+rect 19352 2836 19380 2864
+rect 22922 2836 22928 2848
+rect 19352 2808 22928 2836
+rect 22922 2796 22928 2808
+rect 22980 2836 22986 2848
+rect 23221 2839 23279 2845
+rect 23221 2836 23233 2839
+rect 22980 2808 23233 2836
+rect 22980 2796 22986 2808
+rect 23221 2805 23233 2808
+rect 23267 2836 23279 2839
+rect 27437 2839 27495 2845
+rect 27437 2836 27449 2839
+rect 23267 2808 27449 2836
+rect 23267 2805 23279 2808
+rect 23221 2799 23279 2805
+rect 27437 2805 27449 2808
+rect 27483 2836 27495 2839
+rect 27798 2836 27804 2848
+rect 27483 2808 27804 2836
+rect 27483 2805 27495 2808
+rect 27437 2799 27495 2805
+rect 27798 2796 27804 2808
+rect 27856 2836 27862 2848
+rect 27856 2808 28994 2836
+rect 27856 2796 27862 2808
+rect 16408 2740 18644 2768
+rect 14757 2700 14815 2706
+rect 18690 2694 18696 2746
+rect 18748 2734 18754 2746
+rect 18969 2737 19027 2743
+rect 18969 2734 18981 2737
+rect 18748 2706 18981 2734
+rect 18748 2694 18754 2706
+rect 18969 2703 18981 2706
+rect 19015 2703 19027 2737
+rect 19610 2728 19616 2780
+rect 19668 2777 19674 2780
+rect 19668 2771 19683 2777
+rect 19671 2737 19683 2771
+rect 19668 2731 19683 2737
+rect 19668 2728 19674 2731
+rect 18969 2697 19027 2703
+rect 22922 2694 22928 2746
+rect 22980 2734 22986 2746
+rect 23189 2737 23247 2743
+rect 23189 2734 23201 2737
+rect 22980 2706 23201 2734
+rect 22980 2694 22986 2706
+rect 23189 2703 23201 2706
+rect 23235 2703 23247 2737
+rect 26050 2728 26056 2780
+rect 26108 2768 26114 2780
+rect 28074 2777 28080 2780
+rect 28057 2771 28080 2777
+rect 26108 2758 27476 2768
+rect 26108 2752 27491 2758
+rect 26108 2740 27445 2752
+rect 26108 2728 26114 2740
+rect 27433 2718 27445 2740
+rect 27479 2718 27491 2752
+rect 28057 2737 28069 2771
+rect 28057 2731 28080 2737
+rect 28074 2728 28080 2731
+rect 28132 2728 28138 2780
+rect 28966 2768 28994 2808
+rect 31128 2774 31156 2876
+rect 31517 2873 31529 2876
+rect 31563 2904 31575 2907
+rect 31846 2904 31852 2916
+rect 31563 2876 31852 2904
+rect 31563 2873 31575 2876
+rect 31517 2867 31575 2873
+rect 31846 2864 31852 2876
+rect 31904 2904 31910 2916
+rect 32048 2904 32076 2944
+rect 35434 2932 35440 2944
+rect 35492 2972 35498 2984
+rect 35733 2975 35791 2981
+rect 35733 2972 35745 2975
+rect 35492 2944 35745 2972
+rect 35492 2932 35498 2944
+rect 35733 2941 35745 2944
+rect 35779 2941 35791 2975
+rect 35733 2935 35791 2941
+rect 31904 2876 32076 2904
+rect 31904 2864 31910 2876
+rect 31202 2796 31208 2848
+rect 31260 2836 31266 2848
+rect 32137 2839 32195 2845
+rect 32137 2836 32149 2839
+rect 31260 2808 32149 2836
+rect 31260 2796 31266 2808
+rect 32137 2805 32149 2808
+rect 32183 2805 32195 2839
+rect 32137 2799 32195 2805
+rect 33686 2796 33692 2848
+rect 33744 2836 33750 2848
+rect 36353 2839 36411 2845
+rect 36353 2836 36365 2839
+rect 33744 2808 36365 2836
+rect 33744 2796 33750 2808
+rect 36353 2805 36365 2808
+rect 36399 2805 36411 2839
+rect 36353 2799 36411 2805
+rect 31036 2768 31156 2774
+rect 28966 2746 31156 2768
+rect 28966 2740 31064 2746
+rect 27433 2712 27491 2718
+rect 23189 2697 23247 2703
+rect 31202 2694 31208 2746
+rect 31260 2734 31266 2746
+rect 31481 2737 31539 2743
+rect 31481 2734 31493 2737
+rect 31260 2706 31493 2734
+rect 31260 2694 31266 2706
+rect 31481 2703 31493 2706
+rect 31527 2703 31539 2737
+rect 31662 2728 31668 2780
+rect 31720 2768 31726 2780
+rect 31720 2758 35756 2768
+rect 31720 2752 35771 2758
+rect 31720 2740 35725 2752
+rect 31720 2728 31726 2740
+rect 35713 2718 35725 2740
+rect 35759 2718 35771 2752
+rect 35713 2712 35771 2718
+rect 31481 2697 31539 2703
+rect 1104 2672 5244 2694
+rect 6272 2634 6336 2660
+rect 6272 2582 6278 2634
+rect 6330 2582 6336 2634
+rect 6272 2556 6336 2582
+rect 6872 2634 6936 2660
+rect 6872 2582 6878 2634
+rect 6930 2582 6936 2634
+rect 6872 2556 6936 2582
+rect 10488 2634 10552 2660
+rect 10488 2582 10494 2634
+rect 10546 2582 10552 2634
+rect 10488 2556 10552 2582
+rect 11088 2634 11152 2660
+rect 11088 2582 11094 2634
+rect 11146 2582 11152 2634
+rect 11088 2556 11152 2582
+rect 14704 2634 14768 2660
+rect 14704 2582 14710 2634
+rect 14762 2582 14768 2634
+rect 14704 2556 14768 2582
+rect 15304 2634 15368 2660
+rect 15304 2582 15310 2634
+rect 15362 2582 15368 2634
+rect 15304 2556 15368 2582
+rect 18920 2634 18984 2660
+rect 18920 2582 18926 2634
+rect 18978 2582 18984 2634
+rect 18920 2556 18984 2582
+rect 19520 2634 19584 2660
+rect 19520 2582 19526 2634
+rect 19578 2582 19584 2634
+rect 19520 2556 19584 2582
+rect 23136 2634 23200 2660
+rect 23136 2582 23142 2634
+rect 23194 2582 23200 2634
+rect 23136 2556 23200 2582
+rect 23736 2634 23800 2660
+rect 23736 2582 23742 2634
+rect 23794 2582 23800 2634
+rect 23736 2556 23800 2582
+rect 27352 2634 27416 2660
+rect 27352 2582 27358 2634
+rect 27410 2582 27416 2634
+rect 27352 2556 27416 2582
+rect 27952 2634 28016 2660
+rect 27952 2582 27958 2634
+rect 28010 2582 28016 2634
+rect 27952 2556 28016 2582
+rect 31432 2634 31496 2660
+rect 31432 2582 31438 2634
+rect 31490 2582 31496 2634
+rect 31432 2556 31496 2582
+rect 32032 2634 32096 2660
+rect 32032 2582 32038 2634
+rect 32090 2582 32096 2634
+rect 32032 2556 32096 2582
+rect 35648 2634 35712 2660
+rect 35648 2582 35654 2634
+rect 35706 2582 35712 2634
+rect 35648 2556 35712 2582
+rect 36248 2634 36312 2660
+rect 36248 2582 36254 2634
+rect 36306 2582 36312 2634
+rect 36248 2556 36312 2582
+rect 6178 2456 6184 2508
+rect 6236 2496 6242 2508
+rect 19426 2496 19432 2508
+rect 6236 2468 19432 2496
+rect 6236 2456 6242 2468
+rect 19426 2456 19432 2468
+rect 19484 2456 19490 2508
+rect 10410 2388 10416 2440
+rect 10468 2428 10474 2440
+rect 23014 2428 23020 2440
+rect 10468 2400 23020 2428
+rect 10468 2388 10474 2400
+rect 23014 2388 23020 2400
+rect 23072 2388 23078 2440
+rect 10318 2320 10324 2372
+rect 10376 2360 10382 2372
+rect 27154 2360 27160 2372
+rect 10376 2332 27160 2360
+rect 10376 2320 10382 2332
+rect 27154 2320 27160 2332
+rect 27212 2320 27218 2372
+rect 1104 2202 5244 2224
+rect 1104 2150 4154 2202
+rect 4206 2150 4218 2202
+rect 4270 2150 4282 2202
+rect 4334 2150 4346 2202
+rect 4398 2150 4410 2202
+rect 4462 2150 4474 2202
+rect 4526 2150 4538 2202
+rect 4590 2150 4602 2202
+rect 4654 2150 4666 2202
+rect 4718 2150 5244 2202
+rect 1104 2128 5244 2150
+rect 1210 1572 1216 1624
+rect 1268 1612 1274 1624
+rect 32122 1612 32128 1624
+rect 1268 1584 32128 1612
+rect 1268 1572 1274 1584
+rect 32122 1572 32128 1584
+rect 32180 1572 32186 1624
+rect 17034 1504 17040 1556
+rect 17092 1544 17098 1556
+rect 22922 1544 22928 1556
+rect 17092 1516 22928 1544
+rect 17092 1504 17098 1516
+rect 22922 1504 22928 1516
+rect 22980 1504 22986 1556
+rect 10686 1436 10692 1488
+rect 10744 1476 10750 1488
+rect 33318 1476 33324 1488
+rect 10744 1448 33324 1476
+rect 10744 1436 10750 1448
+rect 33318 1436 33324 1448
+rect 33376 1436 33382 1488
+rect 7558 1368 7564 1420
+rect 7616 1408 7622 1420
+rect 31202 1408 31208 1420
+rect 7616 1380 31208 1408
+rect 7616 1368 7622 1380
+rect 31202 1368 31208 1380
+rect 31260 1368 31266 1420
+rect 15746 1300 15752 1352
+rect 15804 1340 15810 1352
+rect 23658 1340 23664 1352
+rect 15804 1312 23664 1340
+rect 15804 1300 15810 1312
+rect 23658 1300 23664 1312
+rect 23716 1300 23722 1352
+rect 7006 1232 7012 1284
+rect 7064 1272 7070 1284
+rect 18690 1272 18696 1284
+rect 7064 1244 18696 1272
+rect 7064 1232 7070 1244
+rect 18690 1232 18696 1244
+rect 18748 1232 18754 1284
+rect 6362 620 6368 672
+rect 6420 660 6426 672
+rect 10594 660 10600 672
+rect 6420 632 10600 660
+rect 6420 620 6426 632
+rect 10594 620 10600 632
+rect 10652 620 10658 672
+rect 19610 76 19616 128
+rect 19668 116 19674 128
+rect 38562 116 38568 128
+rect 19668 88 38568 116
+rect 19668 76 19674 88
+rect 38562 76 38568 88
+rect 38620 76 38626 128
+rect 3786 8 3792 60
+rect 3844 48 3850 60
+rect 28074 48 28080 60
+rect 3844 20 28080 48
+rect 3844 8 3850 20
+rect 28074 8 28080 20
+rect 28132 8 28138 60
+<< via1 >>
+rect 27160 8168 27212 8220
+rect 37188 8168 37240 8220
+rect 27896 8032 27948 8084
+rect 31392 8032 31444 8084
+rect 15568 7692 15620 7744
+rect 36912 7964 36964 8016
+rect 29092 7828 29144 7880
+rect 29644 7828 29696 7880
+rect 34980 7828 35032 7880
+rect 22652 7760 22704 7812
+rect 28816 7760 28868 7812
+rect 30656 7760 30708 7812
+rect 26608 7692 26660 7744
+rect 29276 7692 29328 7744
+rect 30564 7692 30616 7744
+rect 4154 7590 4206 7642
+rect 4218 7590 4270 7642
+rect 4282 7590 4334 7642
+rect 4346 7590 4398 7642
+rect 4410 7590 4462 7642
+rect 4474 7590 4526 7642
+rect 4538 7590 4590 7642
+rect 4602 7590 4654 7642
+rect 4666 7590 4718 7642
+rect 6819 7590 6871 7642
+rect 6883 7590 6935 7642
+rect 6947 7590 6999 7642
+rect 7011 7590 7063 7642
+rect 7075 7590 7127 7642
+rect 7139 7590 7191 7642
+rect 7203 7590 7255 7642
+rect 7267 7590 7319 7642
+rect 7331 7590 7383 7642
+rect 9484 7590 9536 7642
+rect 9548 7590 9600 7642
+rect 9612 7590 9664 7642
+rect 9676 7590 9728 7642
+rect 9740 7590 9792 7642
+rect 9804 7590 9856 7642
+rect 9868 7590 9920 7642
+rect 9932 7590 9984 7642
+rect 9996 7590 10048 7642
+rect 12149 7590 12201 7642
+rect 12213 7590 12265 7642
+rect 12277 7590 12329 7642
+rect 12341 7590 12393 7642
+rect 12405 7590 12457 7642
+rect 12469 7590 12521 7642
+rect 12533 7590 12585 7642
+rect 12597 7590 12649 7642
+rect 12661 7590 12713 7642
+rect 14814 7590 14866 7642
+rect 14878 7590 14930 7642
+rect 14942 7590 14994 7642
+rect 15006 7590 15058 7642
+rect 15070 7590 15122 7642
+rect 15134 7590 15186 7642
+rect 15198 7590 15250 7642
+rect 15262 7590 15314 7642
+rect 15326 7590 15378 7642
+rect 17479 7590 17531 7642
+rect 17543 7590 17595 7642
+rect 17607 7590 17659 7642
+rect 17671 7590 17723 7642
+rect 17735 7590 17787 7642
+rect 17799 7590 17851 7642
+rect 17863 7590 17915 7642
+rect 17927 7590 17979 7642
+rect 17991 7590 18043 7642
+rect 20144 7590 20196 7642
+rect 20208 7590 20260 7642
+rect 20272 7590 20324 7642
+rect 20336 7590 20388 7642
+rect 20400 7590 20452 7642
+rect 20464 7590 20516 7642
+rect 20528 7590 20580 7642
+rect 20592 7590 20644 7642
+rect 20656 7590 20708 7642
+rect 22809 7590 22861 7642
+rect 22873 7590 22925 7642
+rect 22937 7590 22989 7642
+rect 23001 7590 23053 7642
+rect 23065 7590 23117 7642
+rect 23129 7590 23181 7642
+rect 23193 7590 23245 7642
+rect 23257 7590 23309 7642
+rect 23321 7590 23373 7642
+rect 25474 7590 25526 7642
+rect 25538 7590 25590 7642
+rect 25602 7590 25654 7642
+rect 25666 7590 25718 7642
+rect 25730 7590 25782 7642
+rect 25794 7590 25846 7642
+rect 25858 7590 25910 7642
+rect 25922 7590 25974 7642
+rect 25986 7590 26038 7642
+rect 28139 7590 28191 7642
+rect 28203 7590 28255 7642
+rect 28267 7590 28319 7642
+rect 28331 7590 28383 7642
+rect 28395 7590 28447 7642
+rect 28459 7590 28511 7642
+rect 28523 7590 28575 7642
+rect 28587 7590 28639 7642
+rect 28651 7590 28703 7642
+rect 30804 7590 30856 7642
+rect 30868 7590 30920 7642
+rect 30932 7590 30984 7642
+rect 30996 7590 31048 7642
+rect 31060 7590 31112 7642
+rect 31124 7590 31176 7642
+rect 31188 7590 31240 7642
+rect 31252 7590 31304 7642
+rect 31316 7590 31368 7642
+rect 33469 7590 33521 7642
+rect 33533 7590 33585 7642
+rect 33597 7590 33649 7642
+rect 33661 7590 33713 7642
+rect 33725 7590 33777 7642
+rect 33789 7590 33841 7642
+rect 33853 7590 33905 7642
+rect 33917 7590 33969 7642
+rect 33981 7590 34033 7642
+rect 36134 7590 36186 7642
+rect 36198 7590 36250 7642
+rect 36262 7590 36314 7642
+rect 36326 7590 36378 7642
+rect 36390 7590 36442 7642
+rect 36454 7590 36506 7642
+rect 36518 7590 36570 7642
+rect 36582 7590 36634 7642
+rect 36646 7590 36698 7642
+rect 27896 7488 27948 7540
+rect 20076 7420 20128 7472
+rect 27160 7463 27212 7472
+rect 27160 7429 27169 7463
+rect 27169 7429 27203 7463
+rect 27203 7429 27212 7463
+rect 27160 7420 27212 7429
+rect 29276 7488 29328 7540
+rect 21088 7352 21140 7404
+rect 26148 7284 26200 7336
+rect 28172 7327 28224 7336
+rect 23480 7216 23532 7268
+rect 28172 7293 28181 7327
+rect 28181 7293 28215 7327
+rect 28215 7293 28224 7327
+rect 28172 7284 28224 7293
+rect 28448 7352 28500 7404
+rect 28816 7395 28868 7428
+rect 28816 7376 28849 7395
+rect 28849 7376 28868 7395
+rect 29092 7420 29144 7472
+rect 31760 7488 31812 7540
+rect 34428 7488 34480 7540
+rect 35624 7488 35676 7540
+rect 35716 7488 35768 7540
+rect 36912 7531 36964 7540
+rect 34980 7463 35032 7472
+rect 29644 7395 29696 7404
+rect 29276 7284 29328 7336
+rect 29644 7361 29653 7395
+rect 29653 7361 29687 7395
+rect 29687 7361 29696 7395
+rect 29644 7352 29696 7361
+rect 30656 7395 30708 7404
+rect 30656 7361 30665 7395
+rect 30665 7361 30699 7395
+rect 30699 7361 30708 7395
+rect 30656 7352 30708 7361
+rect 31208 7395 31260 7404
+rect 31208 7361 31217 7395
+rect 31217 7361 31251 7395
+rect 31251 7361 31260 7395
+rect 31208 7352 31260 7361
+rect 31668 7395 31720 7404
+rect 30380 7327 30432 7336
+rect 30380 7293 30389 7327
+rect 30389 7293 30423 7327
+rect 30423 7293 30432 7327
+rect 30380 7284 30432 7293
+rect 30564 7284 30616 7336
+rect 30288 7216 30340 7268
+rect 30748 7216 30800 7268
+rect 31668 7361 31677 7395
+rect 31677 7361 31711 7395
+rect 31711 7361 31720 7395
+rect 31668 7352 31720 7361
+rect 31852 7352 31904 7404
+rect 33140 7352 33192 7404
+rect 34980 7429 34989 7463
+rect 34989 7429 35023 7463
+rect 35023 7429 35032 7463
+rect 34980 7420 35032 7429
+rect 34244 7395 34296 7404
+rect 34244 7361 34253 7395
+rect 34253 7361 34287 7395
+rect 34287 7361 34296 7395
+rect 34244 7352 34296 7361
+rect 34704 7352 34756 7404
+rect 34888 7395 34940 7404
+rect 34888 7361 34897 7395
+rect 34897 7361 34931 7395
+rect 34931 7361 34940 7395
+rect 35532 7420 35584 7472
+rect 34888 7352 34940 7361
+rect 31760 7216 31812 7268
+rect 33324 7259 33376 7268
+rect 33324 7225 33333 7259
+rect 33333 7225 33367 7259
+rect 33367 7225 33376 7259
+rect 33324 7216 33376 7225
+rect 34244 7216 34296 7268
+rect 35624 7395 35676 7404
+rect 35624 7361 35633 7395
+rect 35633 7361 35667 7395
+rect 35667 7361 35676 7395
+rect 35624 7352 35676 7361
+rect 35900 7420 35952 7472
+rect 36912 7497 36921 7531
+rect 36921 7497 36955 7531
+rect 36955 7497 36964 7531
+rect 36912 7488 36964 7497
+rect 37372 7488 37424 7540
+rect 37004 7420 37056 7472
+rect 36452 7352 36504 7404
+rect 37096 7395 37148 7404
+rect 37096 7361 37105 7395
+rect 37105 7361 37139 7395
+rect 37139 7361 37148 7395
+rect 37096 7352 37148 7361
+rect 35532 7327 35584 7336
+rect 35532 7293 35541 7327
+rect 35541 7293 35575 7327
+rect 35575 7293 35584 7327
+rect 35532 7284 35584 7293
+rect 35716 7327 35768 7336
+rect 35716 7293 35725 7327
+rect 35725 7293 35759 7327
+rect 35759 7293 35768 7327
+rect 35716 7284 35768 7293
+rect 38200 7352 38252 7404
+rect 35808 7216 35860 7268
+rect 36084 7216 36136 7268
+rect 38752 7284 38804 7336
+rect 37280 7216 37332 7268
+rect 17224 7148 17276 7200
+rect 27528 7148 27580 7200
+rect 28264 7191 28316 7200
+rect 28264 7157 28273 7191
+rect 28273 7157 28307 7191
+rect 28307 7157 28316 7191
+rect 28264 7148 28316 7157
+rect 28448 7148 28500 7200
+rect 28908 7148 28960 7200
+rect 30564 7148 30616 7200
+rect 31116 7148 31168 7200
+rect 31576 7191 31628 7200
+rect 31576 7157 31585 7191
+rect 31585 7157 31619 7191
+rect 31619 7157 31628 7191
+rect 31576 7148 31628 7157
+rect 35532 7148 35584 7200
+rect 35716 7148 35768 7200
+rect 37188 7148 37240 7200
+rect 2822 7046 2874 7098
+rect 2886 7046 2938 7098
+rect 2950 7046 3002 7098
+rect 3014 7046 3066 7098
+rect 3078 7046 3130 7098
+rect 3142 7046 3194 7098
+rect 3206 7046 3258 7098
+rect 3270 7046 3322 7098
+rect 3334 7046 3386 7098
+rect 5487 7046 5539 7098
+rect 5551 7046 5603 7098
+rect 5615 7046 5667 7098
+rect 5679 7046 5731 7098
+rect 5743 7046 5795 7098
+rect 5807 7046 5859 7098
+rect 5871 7046 5923 7098
+rect 5935 7046 5987 7098
+rect 5999 7046 6051 7098
+rect 8152 7046 8204 7098
+rect 8216 7046 8268 7098
+rect 8280 7046 8332 7098
+rect 8344 7046 8396 7098
+rect 8408 7046 8460 7098
+rect 8472 7046 8524 7098
+rect 8536 7046 8588 7098
+rect 8600 7046 8652 7098
+rect 8664 7046 8716 7098
+rect 10817 7046 10869 7098
+rect 10881 7046 10933 7098
+rect 10945 7046 10997 7098
+rect 11009 7046 11061 7098
+rect 11073 7046 11125 7098
+rect 11137 7046 11189 7098
+rect 11201 7046 11253 7098
+rect 11265 7046 11317 7098
+rect 11329 7046 11381 7098
+rect 13482 7046 13534 7098
+rect 13546 7046 13598 7098
+rect 13610 7046 13662 7098
+rect 13674 7046 13726 7098
+rect 13738 7046 13790 7098
+rect 13802 7046 13854 7098
+rect 13866 7046 13918 7098
+rect 13930 7046 13982 7098
+rect 13994 7046 14046 7098
+rect 16147 7046 16199 7098
+rect 16211 7046 16263 7098
+rect 16275 7046 16327 7098
+rect 16339 7046 16391 7098
+rect 16403 7046 16455 7098
+rect 16467 7046 16519 7098
+rect 16531 7046 16583 7098
+rect 16595 7046 16647 7098
+rect 16659 7046 16711 7098
+rect 18812 7046 18864 7098
+rect 18876 7046 18928 7098
+rect 18940 7046 18992 7098
+rect 19004 7046 19056 7098
+rect 19068 7046 19120 7098
+rect 19132 7046 19184 7098
+rect 19196 7046 19248 7098
+rect 19260 7046 19312 7098
+rect 19324 7046 19376 7098
+rect 21477 7046 21529 7098
+rect 21541 7046 21593 7098
+rect 21605 7046 21657 7098
+rect 21669 7046 21721 7098
+rect 21733 7046 21785 7098
+rect 21797 7046 21849 7098
+rect 21861 7046 21913 7098
+rect 21925 7046 21977 7098
+rect 21989 7046 22041 7098
+rect 24142 7046 24194 7098
+rect 24206 7046 24258 7098
+rect 24270 7046 24322 7098
+rect 24334 7046 24386 7098
+rect 24398 7046 24450 7098
+rect 24462 7046 24514 7098
+rect 24526 7046 24578 7098
+rect 24590 7046 24642 7098
+rect 24654 7046 24706 7098
+rect 26807 7046 26859 7098
+rect 26871 7046 26923 7098
+rect 26935 7046 26987 7098
+rect 26999 7046 27051 7098
+rect 27063 7046 27115 7098
+rect 27127 7046 27179 7098
+rect 27191 7046 27243 7098
+rect 27255 7046 27307 7098
+rect 27319 7046 27371 7098
+rect 29472 7046 29524 7098
+rect 29536 7046 29588 7098
+rect 29600 7046 29652 7098
+rect 29664 7046 29716 7098
+rect 29728 7046 29780 7098
+rect 29792 7046 29844 7098
+rect 29856 7046 29908 7098
+rect 29920 7046 29972 7098
+rect 29984 7046 30036 7098
+rect 32137 7046 32189 7098
+rect 32201 7046 32253 7098
+rect 32265 7046 32317 7098
+rect 32329 7046 32381 7098
+rect 32393 7046 32445 7098
+rect 32457 7046 32509 7098
+rect 32521 7046 32573 7098
+rect 32585 7046 32637 7098
+rect 32649 7046 32701 7098
+rect 34802 7046 34854 7098
+rect 34866 7046 34918 7098
+rect 34930 7046 34982 7098
+rect 34994 7046 35046 7098
+rect 35058 7046 35110 7098
+rect 35122 7046 35174 7098
+rect 35186 7046 35238 7098
+rect 35250 7046 35302 7098
+rect 35314 7046 35366 7098
+rect 37467 7046 37519 7098
+rect 37531 7046 37583 7098
+rect 37595 7046 37647 7098
+rect 37659 7046 37711 7098
+rect 37723 7046 37775 7098
+rect 37787 7046 37839 7098
+rect 37851 7046 37903 7098
+rect 37915 7046 37967 7098
+rect 37979 7046 38031 7098
+rect 9220 6944 9272 6996
+rect 22468 6944 22520 6996
+rect 25320 6944 25372 6996
+rect 28264 6944 28316 6996
+rect 30564 6944 30616 6996
+rect 33140 6944 33192 6996
+rect 35900 6944 35952 6996
+rect 7472 6876 7524 6928
+rect 17224 6876 17276 6928
+rect 31024 6876 31076 6928
+rect 31116 6876 31168 6928
+rect 34704 6876 34756 6928
+rect 35624 6876 35676 6928
+rect 26240 6808 26292 6860
+rect 18144 6740 18196 6792
+rect 23848 6740 23900 6792
+rect 23940 6740 23992 6792
+rect 25228 6740 25280 6792
+rect 28172 6740 28224 6792
+rect 34244 6740 34296 6792
+rect 24400 6672 24452 6724
+rect 28448 6672 28500 6724
+rect 31668 6604 31720 6656
+rect 4154 6502 4206 6554
+rect 4218 6502 4270 6554
+rect 4282 6502 4334 6554
+rect 4346 6502 4398 6554
+rect 4410 6502 4462 6554
+rect 4474 6502 4526 6554
+rect 4538 6502 4590 6554
+rect 4602 6502 4654 6554
+rect 4666 6502 4718 6554
+rect 6819 6502 6871 6554
+rect 6883 6502 6935 6554
+rect 6947 6502 6999 6554
+rect 7011 6502 7063 6554
+rect 7075 6502 7127 6554
+rect 7139 6502 7191 6554
+rect 7203 6502 7255 6554
+rect 7267 6502 7319 6554
+rect 7331 6502 7383 6554
+rect 9484 6502 9536 6554
+rect 9548 6502 9600 6554
+rect 9612 6502 9664 6554
+rect 9676 6502 9728 6554
+rect 9740 6502 9792 6554
+rect 9804 6502 9856 6554
+rect 9868 6502 9920 6554
+rect 9932 6502 9984 6554
+rect 9996 6502 10048 6554
+rect 12149 6502 12201 6554
+rect 12213 6502 12265 6554
+rect 12277 6502 12329 6554
+rect 12341 6502 12393 6554
+rect 12405 6502 12457 6554
+rect 12469 6502 12521 6554
+rect 12533 6502 12585 6554
+rect 12597 6502 12649 6554
+rect 12661 6502 12713 6554
+rect 14814 6502 14866 6554
+rect 14878 6502 14930 6554
+rect 14942 6502 14994 6554
+rect 15006 6502 15058 6554
+rect 15070 6502 15122 6554
+rect 15134 6502 15186 6554
+rect 15198 6502 15250 6554
+rect 15262 6502 15314 6554
+rect 15326 6502 15378 6554
+rect 17479 6502 17531 6554
+rect 17543 6502 17595 6554
+rect 17607 6502 17659 6554
+rect 17671 6502 17723 6554
+rect 17735 6502 17787 6554
+rect 17799 6502 17851 6554
+rect 17863 6502 17915 6554
+rect 17927 6502 17979 6554
+rect 17991 6502 18043 6554
+rect 20144 6502 20196 6554
+rect 20208 6502 20260 6554
+rect 20272 6502 20324 6554
+rect 20336 6502 20388 6554
+rect 20400 6502 20452 6554
+rect 20464 6502 20516 6554
+rect 20528 6502 20580 6554
+rect 20592 6502 20644 6554
+rect 20656 6502 20708 6554
+rect 22809 6502 22861 6554
+rect 22873 6502 22925 6554
+rect 22937 6502 22989 6554
+rect 23001 6502 23053 6554
+rect 23065 6502 23117 6554
+rect 23129 6502 23181 6554
+rect 23193 6502 23245 6554
+rect 23257 6502 23309 6554
+rect 23321 6502 23373 6554
+rect 25474 6502 25526 6554
+rect 25538 6502 25590 6554
+rect 25602 6502 25654 6554
+rect 25666 6502 25718 6554
+rect 25730 6502 25782 6554
+rect 25794 6502 25846 6554
+rect 25858 6502 25910 6554
+rect 25922 6502 25974 6554
+rect 25986 6502 26038 6554
+rect 15844 6400 15896 6452
+rect 18420 6400 18472 6452
+rect 24676 6400 24728 6452
+rect 25044 6443 25096 6452
+rect 17224 6332 17276 6384
+rect 20996 6332 21048 6384
+rect 24400 6375 24452 6384
+rect 24400 6341 24409 6375
+rect 24409 6341 24443 6375
+rect 24443 6341 24452 6375
+rect 24400 6332 24452 6341
+rect 25044 6409 25053 6443
+rect 25053 6409 25087 6443
+rect 25087 6409 25096 6443
+rect 25044 6400 25096 6409
+rect 27658 6395 27710 6447
+rect 31738 6395 31790 6447
+rect 35954 6395 36006 6447
+rect 14372 6264 14424 6316
+rect 17408 6264 17460 6316
+rect 23664 6264 23716 6316
+rect 18512 6196 18564 6248
+rect 16856 6128 16908 6180
+rect 18604 6128 18656 6180
+rect 18696 6060 18748 6112
+rect 24032 6196 24084 6248
+rect 22836 6128 22888 6180
+rect 23480 6128 23532 6180
+rect 25044 6264 25096 6316
+rect 26608 6264 26660 6316
+rect 30288 6264 30340 6316
+rect 35808 6282 35860 6334
+rect 25320 6196 25372 6248
+rect 25504 6128 25556 6180
+rect 25688 6239 25740 6248
+rect 25688 6205 25697 6239
+rect 25697 6205 25731 6239
+rect 25731 6205 25740 6239
+rect 25688 6196 25740 6205
+rect 33140 6196 33192 6248
+rect 21088 6060 21140 6112
+rect 23204 6060 23256 6112
+rect 24952 6060 25004 6112
+rect 26240 6128 26292 6180
+rect 26056 6060 26108 6112
+rect 27804 6060 27856 6112
+rect 31852 6060 31904 6112
+rect 35440 6060 35492 6112
+rect 28080 6035 28132 6044
+rect 2822 5958 2874 6010
+rect 2886 5958 2938 6010
+rect 2950 5958 3002 6010
+rect 3014 5958 3066 6010
+rect 3078 5958 3130 6010
+rect 3142 5958 3194 6010
+rect 3206 5958 3258 6010
+rect 3270 5958 3322 6010
+rect 3334 5958 3386 6010
+rect 5487 5958 5539 6010
+rect 5551 5958 5603 6010
+rect 5615 5958 5667 6010
+rect 5679 5958 5731 6010
+rect 5743 5958 5795 6010
+rect 5807 5958 5859 6010
+rect 5871 5958 5923 6010
+rect 5935 5958 5987 6010
+rect 5999 5958 6051 6010
+rect 8152 5958 8204 6010
+rect 8216 5958 8268 6010
+rect 8280 5958 8332 6010
+rect 8344 5958 8396 6010
+rect 8408 5958 8460 6010
+rect 8472 5958 8524 6010
+rect 8536 5958 8588 6010
+rect 8600 5958 8652 6010
+rect 8664 5958 8716 6010
+rect 10817 5958 10869 6010
+rect 10881 5958 10933 6010
+rect 10945 5958 10997 6010
+rect 11009 5958 11061 6010
+rect 11073 5958 11125 6010
+rect 11137 5958 11189 6010
+rect 11201 5958 11253 6010
+rect 11265 5958 11317 6010
+rect 11329 5958 11381 6010
+rect 13482 5958 13534 6010
+rect 13546 5958 13598 6010
+rect 13610 5958 13662 6010
+rect 13674 5958 13726 6010
+rect 13738 5958 13790 6010
+rect 13802 5958 13854 6010
+rect 13866 5958 13918 6010
+rect 13930 5958 13982 6010
+rect 13994 5958 14046 6010
+rect 16147 5958 16199 6010
+rect 16211 5958 16263 6010
+rect 16275 5958 16327 6010
+rect 16339 5958 16391 6010
+rect 16403 5958 16455 6010
+rect 16467 5958 16519 6010
+rect 16531 5958 16583 6010
+rect 16595 5958 16647 6010
+rect 16659 5958 16711 6010
+rect 18812 5958 18864 6010
+rect 18876 5958 18928 6010
+rect 18940 5958 18992 6010
+rect 19004 5958 19056 6010
+rect 19068 5958 19120 6010
+rect 19132 5958 19184 6010
+rect 19196 5958 19248 6010
+rect 19260 5958 19312 6010
+rect 19324 5958 19376 6010
+rect 21477 5958 21529 6010
+rect 21541 5958 21593 6010
+rect 21605 5958 21657 6010
+rect 21669 5958 21721 6010
+rect 21733 5958 21785 6010
+rect 21797 5958 21849 6010
+rect 21861 5958 21913 6010
+rect 21925 5958 21977 6010
+rect 21989 5958 22041 6010
+rect 24142 5958 24194 6010
+rect 24206 5958 24258 6010
+rect 24270 5958 24322 6010
+rect 24334 5958 24386 6010
+rect 24398 5958 24450 6010
+rect 24462 5958 24514 6010
+rect 24526 5958 24578 6010
+rect 24590 5958 24642 6010
+rect 24654 5958 24706 6010
+rect 27252 5958 27304 6010
+rect 28080 6001 28103 6035
+rect 28103 6001 28132 6035
+rect 28080 5992 28132 6001
+rect 31576 5958 31628 6010
+rect 31944 5992 31996 6044
+rect 34428 5992 34480 6044
+rect 36360 6035 36412 6044
+rect 36360 6001 36365 6035
+rect 36365 6001 36399 6035
+rect 36399 6001 36412 6035
+rect 36360 5992 36412 6001
+rect 10692 5856 10744 5908
+rect 14188 5856 14240 5908
+rect 15844 5899 15896 5908
+rect 15844 5865 15853 5899
+rect 15853 5865 15887 5899
+rect 15887 5865 15896 5899
+rect 15844 5856 15896 5865
+rect 16948 5899 17000 5908
+rect 16948 5865 16957 5899
+rect 16957 5865 16991 5899
+rect 16991 5865 17000 5899
+rect 18512 5899 18564 5908
+rect 16948 5856 17000 5865
+rect 18512 5865 18521 5899
+rect 18521 5865 18555 5899
+rect 18555 5865 18564 5899
+rect 18512 5856 18564 5865
+rect 11428 5720 11480 5772
+rect 15936 5788 15988 5840
+rect 16488 5720 16540 5772
+rect 18788 5788 18840 5840
+rect 10140 5695 10192 5704
+rect 10140 5661 10149 5695
+rect 10149 5661 10183 5695
+rect 10183 5661 10192 5695
+rect 10140 5652 10192 5661
+rect 10508 5652 10560 5704
+rect 10232 5584 10284 5636
+rect 10784 5584 10836 5636
+rect 7840 5516 7892 5568
+rect 11796 5516 11848 5568
+rect 12072 5652 12124 5704
+rect 14556 5652 14608 5704
+rect 15476 5695 15528 5704
+rect 15476 5661 15485 5695
+rect 15485 5661 15519 5695
+rect 15519 5661 15528 5695
+rect 15476 5652 15528 5661
+rect 15752 5652 15804 5704
+rect 11980 5584 12032 5636
+rect 16028 5584 16080 5636
+rect 16856 5652 16908 5704
+rect 17224 5652 17276 5704
+rect 18144 5627 18196 5636
+rect 12900 5516 12952 5568
+rect 14464 5516 14516 5568
+rect 15660 5516 15712 5568
+rect 15844 5516 15896 5568
+rect 16304 5516 16356 5568
+rect 18144 5593 18153 5627
+rect 18153 5593 18187 5627
+rect 18187 5593 18196 5627
+rect 18144 5584 18196 5593
+rect 18420 5627 18472 5636
+rect 18420 5593 18429 5627
+rect 18429 5593 18463 5627
+rect 18463 5593 18472 5627
+rect 18420 5584 18472 5593
+rect 18604 5652 18656 5704
+rect 18972 5652 19024 5704
+rect 20812 5856 20864 5908
+rect 20996 5899 21048 5908
+rect 20996 5865 21005 5899
+rect 21005 5865 21039 5899
+rect 21039 5865 21048 5899
+rect 20996 5856 21048 5865
+rect 22652 5856 22704 5908
+rect 22836 5856 22888 5908
+rect 23112 5788 23164 5840
+rect 23388 5788 23440 5840
+rect 22836 5763 22888 5772
+rect 19616 5652 19668 5704
+rect 20444 5695 20496 5704
+rect 20444 5661 20453 5695
+rect 20453 5661 20487 5695
+rect 20487 5661 20496 5695
+rect 20444 5652 20496 5661
+rect 21088 5695 21140 5704
+rect 20076 5584 20128 5636
+rect 16856 5516 16908 5568
+rect 17224 5516 17276 5568
+rect 18604 5516 18656 5568
+rect 19294 5516 19346 5568
+rect 19616 5516 19668 5568
+rect 21088 5661 21097 5695
+rect 21097 5661 21131 5695
+rect 21131 5661 21140 5695
+rect 21088 5652 21140 5661
+rect 22836 5729 22845 5763
+rect 22845 5729 22879 5763
+rect 22879 5729 22888 5763
+rect 22836 5720 22888 5729
+rect 23204 5720 23256 5772
+rect 23664 5856 23716 5908
+rect 24032 5899 24084 5908
+rect 24032 5865 24041 5899
+rect 24041 5865 24075 5899
+rect 24075 5865 24084 5899
+rect 24032 5856 24084 5865
+rect 25780 5899 25832 5908
+rect 24768 5788 24820 5840
+rect 25136 5788 25188 5840
+rect 21456 5652 21508 5704
+rect 22100 5652 22152 5704
+rect 22652 5695 22704 5704
+rect 22652 5661 22661 5695
+rect 22661 5661 22695 5695
+rect 22695 5661 22704 5695
+rect 22652 5652 22704 5661
+rect 23112 5695 23164 5704
+rect 22836 5584 22888 5636
+rect 21364 5516 21416 5568
+rect 23112 5661 23121 5695
+rect 23121 5661 23155 5695
+rect 23155 5661 23164 5695
+rect 23112 5652 23164 5661
+rect 23388 5695 23440 5704
+rect 23388 5661 23397 5695
+rect 23397 5661 23431 5695
+rect 23431 5661 23440 5695
+rect 23388 5652 23440 5661
+rect 23848 5695 23900 5704
+rect 23020 5584 23072 5636
+rect 23848 5661 23857 5695
+rect 23857 5661 23891 5695
+rect 23891 5661 23900 5695
+rect 23848 5652 23900 5661
+rect 25504 5720 25556 5772
+rect 24492 5627 24544 5636
+rect 24492 5593 24501 5627
+rect 24501 5593 24535 5627
+rect 24535 5593 24544 5627
+rect 24492 5584 24544 5593
+rect 24952 5652 25004 5704
+rect 25044 5652 25096 5704
+rect 25412 5695 25464 5704
+rect 25412 5661 25421 5695
+rect 25421 5661 25455 5695
+rect 25455 5661 25464 5695
+rect 25780 5865 25789 5899
+rect 25789 5865 25823 5899
+rect 25823 5865 25832 5899
+rect 25780 5856 25832 5865
+rect 26148 5856 26200 5908
+rect 27358 5846 27410 5898
+rect 27958 5846 28010 5898
+rect 31438 5846 31490 5898
+rect 32038 5846 32090 5898
+rect 35654 5846 35706 5898
+rect 36254 5846 36306 5898
+rect 25412 5652 25464 5661
+rect 25504 5584 25556 5636
+rect 24952 5559 25004 5568
+rect 24952 5525 24961 5559
+rect 24961 5525 24995 5559
+rect 24995 5525 25004 5559
+rect 24952 5516 25004 5525
+rect 25136 5516 25188 5568
+rect 25320 5516 25372 5568
+rect 4154 5414 4206 5466
+rect 4218 5414 4270 5466
+rect 4282 5414 4334 5466
+rect 4346 5414 4398 5466
+rect 4410 5414 4462 5466
+rect 4474 5414 4526 5466
+rect 4538 5414 4590 5466
+rect 4602 5414 4654 5466
+rect 4666 5414 4718 5466
+rect 6819 5414 6871 5466
+rect 6883 5414 6935 5466
+rect 6947 5414 6999 5466
+rect 7011 5414 7063 5466
+rect 7075 5414 7127 5466
+rect 7139 5414 7191 5466
+rect 7203 5414 7255 5466
+rect 7267 5414 7319 5466
+rect 7331 5414 7383 5466
+rect 9484 5414 9536 5466
+rect 9548 5414 9600 5466
+rect 9612 5414 9664 5466
+rect 9676 5414 9728 5466
+rect 9740 5414 9792 5466
+rect 9804 5414 9856 5466
+rect 9868 5414 9920 5466
+rect 9932 5414 9984 5466
+rect 9996 5414 10048 5466
+rect 12149 5414 12201 5466
+rect 12213 5414 12265 5466
+rect 12277 5414 12329 5466
+rect 12341 5414 12393 5466
+rect 12405 5414 12457 5466
+rect 12469 5414 12521 5466
+rect 12533 5414 12585 5466
+rect 12597 5414 12649 5466
+rect 12661 5414 12713 5466
+rect 14814 5414 14866 5466
+rect 14878 5414 14930 5466
+rect 14942 5414 14994 5466
+rect 15006 5414 15058 5466
+rect 15070 5414 15122 5466
+rect 15134 5414 15186 5466
+rect 15198 5414 15250 5466
+rect 15262 5414 15314 5466
+rect 15326 5414 15378 5466
+rect 17479 5414 17531 5466
+rect 17543 5414 17595 5466
+rect 17607 5414 17659 5466
+rect 17671 5414 17723 5466
+rect 17735 5414 17787 5466
+rect 17799 5414 17851 5466
+rect 17863 5414 17915 5466
+rect 17927 5414 17979 5466
+rect 17991 5414 18043 5466
+rect 20144 5414 20196 5466
+rect 20208 5414 20260 5466
+rect 20272 5414 20324 5466
+rect 20336 5414 20388 5466
+rect 20400 5414 20452 5466
+rect 20464 5414 20516 5466
+rect 20528 5414 20580 5466
+rect 20592 5414 20644 5466
+rect 20656 5414 20708 5466
+rect 22809 5414 22861 5466
+rect 22873 5414 22925 5466
+rect 22937 5414 22989 5466
+rect 23001 5414 23053 5466
+rect 23065 5414 23117 5466
+rect 23129 5414 23181 5466
+rect 23193 5414 23245 5466
+rect 23257 5414 23309 5466
+rect 23321 5414 23373 5466
+rect 25474 5414 25526 5466
+rect 25538 5414 25590 5466
+rect 25602 5414 25654 5466
+rect 25666 5414 25718 5466
+rect 25730 5414 25782 5466
+rect 25794 5414 25846 5466
+rect 25858 5414 25910 5466
+rect 25922 5414 25974 5466
+rect 25986 5414 26038 5466
+rect 10692 5312 10744 5364
+rect 11336 5355 11388 5364
+rect 11336 5321 11345 5355
+rect 11345 5321 11379 5355
+rect 11379 5321 11388 5355
+rect 11336 5312 11388 5321
+rect 11520 5312 11572 5364
+rect 14188 5312 14240 5364
+rect 14280 5312 14332 5364
+rect 14464 5312 14516 5364
+rect 16488 5355 16540 5364
+rect 9956 5176 10008 5228
+rect 10324 5176 10376 5228
+rect 9680 5108 9732 5160
+rect 10232 5108 10284 5160
+rect 8024 5040 8076 5092
+rect 7932 4972 7984 5024
+rect 10416 5040 10468 5092
+rect 10600 5176 10652 5228
+rect 11980 5219 12032 5228
+rect 11980 5185 11989 5219
+rect 11989 5185 12023 5219
+rect 12023 5185 12032 5219
+rect 11980 5176 12032 5185
+rect 12900 5244 12952 5296
+rect 14556 5287 14608 5296
+rect 14556 5253 14565 5287
+rect 14565 5253 14599 5287
+rect 14599 5253 14608 5287
+rect 14556 5244 14608 5253
+rect 15200 5244 15252 5296
+rect 15384 5244 15436 5296
+rect 15568 5244 15620 5296
+rect 16488 5321 16497 5355
+rect 16497 5321 16531 5355
+rect 16531 5321 16540 5355
+rect 16488 5312 16540 5321
+rect 24492 5312 24544 5364
+rect 26332 5312 26384 5364
+rect 14096 5176 14148 5228
+rect 14464 5176 14516 5228
+rect 21456 5244 21508 5296
+rect 23480 5244 23532 5296
+rect 15936 5219 15988 5228
+rect 15936 5185 15945 5219
+rect 15945 5185 15979 5219
+rect 15979 5185 15988 5219
+rect 16304 5219 16356 5228
+rect 15936 5176 15988 5185
+rect 16304 5185 16313 5219
+rect 16313 5185 16347 5219
+rect 16347 5185 16356 5219
+rect 16304 5176 16356 5185
+rect 10784 5108 10836 5160
+rect 11888 5108 11940 5160
+rect 12256 5151 12308 5160
+rect 12256 5117 12265 5151
+rect 12265 5117 12299 5151
+rect 12299 5117 12308 5151
+rect 12256 5108 12308 5117
+rect 11520 5040 11572 5092
+rect 11704 5040 11756 5092
+rect 12716 5108 12768 5160
+rect 16764 5108 16816 5160
+rect 8852 4972 8904 5024
+rect 10048 5015 10100 5024
+rect 10048 4981 10057 5015
+rect 10057 4981 10091 5015
+rect 10091 4981 10100 5015
+rect 10048 4972 10100 4981
+rect 13268 5040 13320 5092
+rect 14188 5040 14240 5092
+rect 14556 5083 14608 5092
+rect 14556 5049 14565 5083
+rect 14565 5049 14599 5083
+rect 14599 5049 14608 5083
+rect 14556 5040 14608 5049
+rect 14648 5040 14700 5092
+rect 15292 5015 15344 5024
+rect 15292 4981 15301 5015
+rect 15301 4981 15335 5015
+rect 15335 4981 15344 5015
+rect 15292 4972 15344 4981
+rect 15568 5015 15620 5024
+rect 15568 4981 15577 5015
+rect 15577 4981 15611 5015
+rect 15611 4981 15620 5015
+rect 15568 4972 15620 4981
+rect 23664 4972 23716 5024
+rect 2822 4870 2874 4922
+rect 2886 4870 2938 4922
+rect 2950 4870 3002 4922
+rect 3014 4870 3066 4922
+rect 3078 4870 3130 4922
+rect 3142 4870 3194 4922
+rect 3206 4870 3258 4922
+rect 3270 4870 3322 4922
+rect 3334 4870 3386 4922
+rect 5487 4870 5539 4922
+rect 5551 4870 5603 4922
+rect 5615 4870 5667 4922
+rect 5679 4870 5731 4922
+rect 5743 4870 5795 4922
+rect 5807 4870 5859 4922
+rect 5871 4870 5923 4922
+rect 5935 4870 5987 4922
+rect 5999 4870 6051 4922
+rect 8152 4870 8204 4922
+rect 8216 4870 8268 4922
+rect 8280 4870 8332 4922
+rect 8344 4870 8396 4922
+rect 8408 4870 8460 4922
+rect 8472 4870 8524 4922
+rect 8536 4870 8588 4922
+rect 8600 4870 8652 4922
+rect 8664 4870 8716 4922
+rect 10817 4870 10869 4922
+rect 10881 4870 10933 4922
+rect 10945 4870 10997 4922
+rect 11009 4870 11061 4922
+rect 11073 4870 11125 4922
+rect 11137 4870 11189 4922
+rect 11201 4870 11253 4922
+rect 11265 4870 11317 4922
+rect 11329 4870 11381 4922
+rect 13482 4870 13534 4922
+rect 13546 4870 13598 4922
+rect 13610 4870 13662 4922
+rect 13674 4870 13726 4922
+rect 13738 4870 13790 4922
+rect 13802 4870 13854 4922
+rect 13866 4870 13918 4922
+rect 13930 4870 13982 4922
+rect 13994 4870 14046 4922
+rect 16147 4870 16199 4922
+rect 16211 4870 16263 4922
+rect 16275 4870 16327 4922
+rect 16339 4870 16391 4922
+rect 16403 4870 16455 4922
+rect 16467 4870 16519 4922
+rect 16531 4870 16583 4922
+rect 16595 4870 16647 4922
+rect 16659 4870 16711 4922
+rect 6092 4743 6144 4752
+rect 6092 4709 6101 4743
+rect 6101 4709 6135 4743
+rect 6135 4709 6144 4743
+rect 6092 4700 6144 4709
+rect 7472 4675 7524 4684
+rect 7472 4641 7481 4675
+rect 7481 4641 7515 4675
+rect 7515 4641 7524 4675
+rect 7472 4632 7524 4641
+rect 7932 4700 7984 4752
+rect 8116 4675 8168 4684
+rect 8116 4641 8125 4675
+rect 8125 4641 8159 4675
+rect 8159 4641 8168 4675
+rect 8944 4768 8996 4820
+rect 9036 4768 9088 4820
+rect 10140 4768 10192 4820
+rect 10692 4768 10744 4820
+rect 12256 4768 12308 4820
+rect 12808 4811 12860 4820
+rect 12808 4777 12817 4811
+rect 12817 4777 12851 4811
+rect 12851 4777 12860 4811
+rect 12808 4768 12860 4777
+rect 8116 4632 8168 4641
+rect 8852 4632 8904 4684
+rect 7932 4564 7984 4616
+rect 8484 4564 8536 4616
+rect 9956 4700 10008 4752
+rect 12900 4700 12952 4752
+rect 9220 4675 9272 4684
+rect 9220 4641 9229 4675
+rect 9229 4641 9263 4675
+rect 9263 4641 9272 4675
+rect 9220 4632 9272 4641
+rect 10324 4564 10376 4616
+rect 10692 4607 10744 4616
+rect 10692 4573 10701 4607
+rect 10701 4573 10735 4607
+rect 10735 4573 10744 4607
+rect 10692 4564 10744 4573
+rect 10968 4607 11020 4616
+rect 10968 4573 10977 4607
+rect 10977 4573 11011 4607
+rect 11011 4573 11020 4607
+rect 10968 4564 11020 4573
+rect 15752 4768 15804 4820
+rect 16764 4768 16816 4820
+rect 19226 4763 19278 4815
+rect 14372 4700 14424 4752
+rect 14556 4700 14608 4752
+rect 15568 4700 15620 4752
+rect 12164 4564 12216 4616
+rect 12256 4607 12308 4616
+rect 12256 4573 12265 4607
+rect 12265 4573 12299 4607
+rect 12299 4573 12308 4607
+rect 12256 4564 12308 4573
+rect 12900 4607 12952 4616
+rect 12900 4573 12909 4607
+rect 12909 4573 12943 4607
+rect 12943 4573 12952 4607
+rect 12900 4564 12952 4573
+rect 12716 4496 12768 4548
+rect 12808 4496 12860 4548
+rect 6552 4471 6604 4480
+rect 6552 4437 6561 4471
+rect 6561 4437 6595 4471
+rect 6595 4437 6604 4471
+rect 6552 4428 6604 4437
+rect 6644 4471 6696 4480
+rect 6644 4437 6653 4471
+rect 6653 4437 6687 4471
+rect 6687 4437 6696 4471
+rect 6644 4428 6696 4437
+rect 9128 4428 9180 4480
+rect 10600 4428 10652 4480
+rect 10876 4428 10928 4480
+rect 11704 4428 11756 4480
+rect 13636 4564 13688 4616
+rect 14648 4564 14700 4616
+rect 15844 4632 15896 4684
+rect 17132 4700 17184 4752
+rect 23442 4763 23494 4815
+rect 27658 4763 27710 4815
+rect 31738 4763 31790 4815
+rect 35954 4763 36006 4815
+rect 16856 4632 16908 4684
+rect 22468 4632 22520 4684
+rect 27528 4639 27580 4691
+rect 31024 4632 31076 4684
+rect 35532 4650 35584 4702
+rect 35808 4639 35860 4691
+rect 15384 4564 15436 4616
+rect 16948 4564 17000 4616
+rect 17224 4607 17276 4616
+rect 17224 4573 17233 4607
+rect 17233 4573 17267 4607
+rect 17267 4573 17276 4607
+rect 17224 4564 17276 4573
+rect 19340 4564 19392 4616
+rect 19984 4564 20036 4616
+rect 35440 4564 35492 4616
+rect 13360 4539 13412 4548
+rect 13360 4505 13369 4539
+rect 13369 4505 13403 4539
+rect 13403 4505 13412 4539
+rect 13360 4496 13412 4505
+rect 14556 4496 14608 4548
+rect 17408 4496 17460 4548
+rect 13636 4471 13688 4480
+rect 13636 4437 13645 4471
+rect 13645 4437 13679 4471
+rect 13679 4437 13688 4471
+rect 13636 4428 13688 4437
+rect 14004 4428 14056 4480
+rect 14464 4428 14516 4480
+rect 14740 4428 14792 4480
+rect 4154 4326 4206 4378
+rect 4218 4326 4270 4378
+rect 4282 4326 4334 4378
+rect 4346 4326 4398 4378
+rect 4410 4326 4462 4378
+rect 4474 4326 4526 4378
+rect 4538 4326 4590 4378
+rect 4602 4326 4654 4378
+rect 4666 4326 4718 4378
+rect 6819 4326 6871 4378
+rect 6883 4326 6935 4378
+rect 6947 4326 6999 4378
+rect 7011 4326 7063 4378
+rect 7075 4326 7127 4378
+rect 7139 4326 7191 4378
+rect 7203 4326 7255 4378
+rect 7267 4326 7319 4378
+rect 7331 4326 7383 4378
+rect 9484 4326 9536 4378
+rect 9548 4326 9600 4378
+rect 9612 4326 9664 4378
+rect 9676 4326 9728 4378
+rect 9740 4326 9792 4378
+rect 9804 4326 9856 4378
+rect 9868 4326 9920 4378
+rect 9932 4326 9984 4378
+rect 9996 4326 10048 4378
+rect 12149 4326 12201 4378
+rect 12213 4326 12265 4378
+rect 12277 4326 12329 4378
+rect 12341 4326 12393 4378
+rect 12405 4326 12457 4378
+rect 12469 4326 12521 4378
+rect 12533 4326 12585 4378
+rect 12597 4326 12649 4378
+rect 12661 4326 12713 4378
+rect 14814 4326 14866 4378
+rect 14878 4326 14930 4378
+rect 14942 4326 14994 4378
+rect 15006 4326 15058 4378
+rect 15070 4326 15122 4378
+rect 15134 4326 15186 4378
+rect 15198 4326 15250 4378
+rect 15262 4326 15314 4378
+rect 15326 4326 15378 4378
+rect 22652 4428 22704 4480
+rect 22928 4428 22980 4480
+rect 27804 4428 27856 4480
+rect 31852 4428 31904 4480
+rect 19432 4360 19484 4412
+rect 23020 4326 23072 4378
+rect 23848 4403 23900 4412
+rect 23848 4369 23853 4403
+rect 23853 4369 23887 4403
+rect 23887 4369 23900 4403
+rect 23848 4360 23900 4369
+rect 27160 4326 27212 4378
+rect 6644 4267 6696 4276
+rect 6644 4233 6653 4267
+rect 6653 4233 6687 4267
+rect 6687 4233 6696 4267
+rect 6644 4224 6696 4233
+rect 6092 4199 6144 4208
+rect 6092 4165 6101 4199
+rect 6101 4165 6135 4199
+rect 6135 4165 6144 4199
+rect 6552 4199 6604 4208
+rect 6092 4156 6144 4165
+rect 6552 4165 6570 4199
+rect 6570 4165 6604 4199
+rect 6552 4156 6604 4165
+rect 7288 4156 7340 4208
+rect 11612 4224 11664 4276
+rect 11888 4224 11940 4276
+rect 8024 4088 8076 4140
+rect 8116 4088 8168 4140
+rect 7840 4020 7892 4072
+rect 8484 4156 8536 4208
+rect 9128 4131 9180 4140
+rect 9128 4097 9137 4131
+rect 9137 4097 9171 4131
+rect 9171 4097 9180 4131
+rect 9128 4088 9180 4097
+rect 9404 4088 9456 4140
+rect 9680 4199 9732 4208
+rect 9680 4165 9689 4199
+rect 9689 4165 9723 4199
+rect 9723 4165 9732 4199
+rect 9680 4156 9732 4165
+rect 10140 4156 10192 4208
+rect 10876 4156 10928 4208
+rect 11060 4156 11112 4208
+rect 14188 4224 14240 4276
+rect 16028 4224 16080 4276
+rect 18926 4214 18978 4266
+rect 10784 4088 10836 4140
+rect 9036 4020 9088 4072
+rect 7564 3995 7616 4004
+rect 3424 3884 3476 3936
+rect 6184 3884 6236 3936
+rect 7564 3961 7573 3995
+rect 7573 3961 7607 3995
+rect 7607 3961 7616 3995
+rect 7564 3952 7616 3961
+rect 7748 3995 7800 4004
+rect 7748 3961 7757 3995
+rect 7757 3961 7791 3995
+rect 7791 3961 7800 3995
+rect 7748 3952 7800 3961
+rect 10324 4020 10376 4072
+rect 11980 4088 12032 4140
+rect 13636 4156 13688 4208
+rect 14004 4156 14056 4208
+rect 14280 4156 14332 4208
+rect 13452 4088 13504 4140
+rect 16856 4156 16908 4208
+rect 19526 4214 19578 4266
+rect 23142 4214 23194 4266
+rect 23742 4214 23794 4266
+rect 27358 4214 27410 4266
+rect 27958 4214 28010 4266
+rect 9680 3995 9732 4004
+rect 9680 3961 9689 3995
+rect 9689 3961 9723 3995
+rect 9723 3961 9732 3995
+rect 11152 4020 11204 4072
+rect 11704 4063 11756 4072
+rect 11704 4029 11722 4063
+rect 11722 4029 11756 4063
+rect 11704 4020 11756 4029
+rect 11796 4020 11848 4072
+rect 12164 4063 12216 4072
+rect 12164 4029 12173 4063
+rect 12173 4029 12207 4063
+rect 12207 4029 12216 4063
+rect 12164 4020 12216 4029
+rect 12348 4020 12400 4072
+rect 9680 3952 9732 3961
+rect 13176 3952 13228 4004
+rect 14004 4020 14056 4072
+rect 14188 4063 14240 4072
+rect 14188 4029 14197 4063
+rect 14197 4029 14231 4063
+rect 14231 4029 14240 4063
+rect 14188 4020 14240 4029
+rect 23940 4088 23992 4140
+rect 31300 4326 31352 4378
+rect 32128 4403 32180 4412
+rect 32128 4369 32149 4403
+rect 32149 4369 32180 4403
+rect 32128 4360 32180 4369
+rect 35808 4360 35860 4412
+rect 31438 4214 31490 4266
+rect 32038 4214 32090 4266
+rect 35654 4214 35706 4266
+rect 36254 4214 36306 4266
+rect 31300 4088 31352 4140
+rect 15476 4063 15528 4072
+rect 15476 4029 15485 4063
+rect 15485 4029 15519 4063
+rect 15519 4029 15528 4063
+rect 15476 4020 15528 4029
+rect 15752 4020 15804 4072
+rect 17316 4063 17368 4072
+rect 17316 4029 17325 4063
+rect 17325 4029 17359 4063
+rect 17359 4029 17368 4063
+rect 17316 4020 17368 4029
+rect 17592 4063 17644 4072
+rect 17592 4029 17601 4063
+rect 17601 4029 17635 4063
+rect 17635 4029 17644 4063
+rect 17592 4020 17644 4029
+rect 24492 4020 24544 4072
+rect 10416 3884 10468 3936
+rect 10600 3884 10652 3936
+rect 11428 3884 11480 3936
+rect 11980 3884 12032 3936
+rect 12992 3884 13044 3936
+rect 13360 3884 13412 3936
+rect 14188 3927 14240 3936
+rect 14188 3893 14197 3927
+rect 14197 3893 14231 3927
+rect 14231 3893 14240 3927
+rect 14188 3884 14240 3893
+rect 15568 3884 15620 3936
+rect 2822 3782 2874 3834
+rect 2886 3782 2938 3834
+rect 2950 3782 3002 3834
+rect 3014 3782 3066 3834
+rect 3078 3782 3130 3834
+rect 3142 3782 3194 3834
+rect 3206 3782 3258 3834
+rect 3270 3782 3322 3834
+rect 3334 3782 3386 3834
+rect 5487 3782 5539 3834
+rect 5551 3782 5603 3834
+rect 5615 3782 5667 3834
+rect 5679 3782 5731 3834
+rect 5743 3782 5795 3834
+rect 5807 3782 5859 3834
+rect 5871 3782 5923 3834
+rect 5935 3782 5987 3834
+rect 5999 3782 6051 3834
+rect 8152 3782 8204 3834
+rect 8216 3782 8268 3834
+rect 8280 3782 8332 3834
+rect 8344 3782 8396 3834
+rect 8408 3782 8460 3834
+rect 8472 3782 8524 3834
+rect 8536 3782 8588 3834
+rect 8600 3782 8652 3834
+rect 8664 3782 8716 3834
+rect 10817 3782 10869 3834
+rect 10881 3782 10933 3834
+rect 10945 3782 10997 3834
+rect 11009 3782 11061 3834
+rect 11073 3782 11125 3834
+rect 11137 3782 11189 3834
+rect 11201 3782 11253 3834
+rect 11265 3782 11317 3834
+rect 11329 3782 11381 3834
+rect 13482 3782 13534 3834
+rect 13546 3782 13598 3834
+rect 13610 3782 13662 3834
+rect 13674 3782 13726 3834
+rect 13738 3782 13790 3834
+rect 13802 3782 13854 3834
+rect 13866 3782 13918 3834
+rect 13930 3782 13982 3834
+rect 13994 3782 14046 3834
+rect 16147 3782 16199 3834
+rect 16211 3782 16263 3834
+rect 16275 3782 16327 3834
+rect 16339 3782 16391 3834
+rect 16403 3782 16455 3834
+rect 16467 3782 16519 3834
+rect 16531 3782 16583 3834
+rect 16595 3782 16647 3834
+rect 16659 3782 16711 3834
+rect 19064 3816 19116 3868
+rect 24768 3816 24820 3868
+rect 18788 3748 18840 3800
+rect 23204 3748 23256 3800
+rect 6552 3680 6604 3732
+rect 11612 3680 11664 3732
+rect 11704 3680 11756 3732
+rect 12808 3680 12860 3732
+rect 13084 3680 13136 3732
+rect 13176 3680 13228 3732
+rect 15660 3680 15712 3732
+rect 7748 3612 7800 3664
+rect 9680 3612 9732 3664
+rect 13728 3612 13780 3664
+rect 14096 3612 14148 3664
+rect 22100 3612 22152 3664
+rect 11612 3544 11664 3596
+rect 12348 3544 12400 3596
+rect 14464 3544 14516 3596
+rect 7288 3476 7340 3528
+rect 12164 3476 12216 3528
+rect 12992 3476 13044 3528
+rect 15844 3544 15896 3596
+rect 14924 3476 14976 3528
+rect 28080 3476 28132 3528
+rect 13268 3408 13320 3460
+rect 27252 3408 27304 3460
+rect 4154 3238 4206 3290
+rect 4218 3238 4270 3290
+rect 4282 3238 4334 3290
+rect 4346 3238 4398 3290
+rect 4410 3238 4462 3290
+rect 4474 3238 4526 3290
+rect 4538 3238 4590 3290
+rect 4602 3238 4654 3290
+rect 4666 3238 4718 3290
+rect 14556 3340 14608 3392
+rect 21180 3340 21232 3392
+rect 35808 3340 35860 3392
+rect 8760 3272 8812 3324
+rect 14924 3272 14976 3324
+rect 6578 3131 6630 3183
+rect 13084 3204 13136 3256
+rect 13728 3204 13780 3256
+rect 10794 3131 10846 3183
+rect 16304 3204 16356 3256
+rect 22652 3272 22704 3324
+rect 22744 3272 22796 3324
+rect 23848 3272 23900 3324
+rect 15010 3131 15062 3183
+rect 19226 3131 19278 3183
+rect 23442 3131 23494 3183
+rect 28724 3204 28776 3256
+rect 31208 3204 31260 3256
+rect 27658 3131 27710 3183
+rect 31738 3131 31790 3183
+rect 35954 3131 36006 3183
+rect 3424 3000 3476 3052
+rect 6184 3000 6236 3052
+rect 6460 3018 6512 3070
+rect 10600 3061 10652 3070
+rect 10600 3027 10619 3061
+rect 10619 3027 10652 3061
+rect 10600 3018 10652 3027
+rect 14832 3061 14884 3070
+rect 14832 3027 14835 3061
+rect 14835 3027 14884 3061
+rect 14832 3018 14884 3027
+rect 19064 3018 19116 3070
+rect 20812 3000 20864 3052
+rect 23224 3061 23276 3070
+rect 23224 3027 23233 3061
+rect 23233 3027 23267 3061
+rect 23267 3027 23276 3061
+rect 23224 3018 23276 3027
+rect 13636 2932 13688 2984
+rect 22744 2932 22796 2984
+rect 29276 3000 29328 3052
+rect 36084 3000 36136 3052
+rect 26148 2932 26200 2984
+rect 31944 2932 31996 2984
+rect 10600 2907 10652 2916
+rect 10600 2873 10619 2907
+rect 10619 2873 10652 2907
+rect 10600 2864 10652 2873
+rect 6368 2839 6420 2848
+rect 6368 2805 6369 2839
+rect 6369 2805 6403 2839
+rect 6403 2805 6420 2839
+rect 6368 2796 6420 2805
+rect 10968 2796 11020 2848
+rect 7012 2771 7064 2780
+rect 2822 2694 2874 2746
+rect 2886 2694 2938 2746
+rect 2950 2694 3002 2746
+rect 3014 2694 3066 2746
+rect 3078 2694 3130 2746
+rect 3142 2694 3194 2746
+rect 3206 2694 3258 2746
+rect 3270 2694 3322 2746
+rect 3334 2694 3386 2746
+rect 7012 2737 7023 2771
+rect 7023 2737 7064 2771
+rect 7012 2728 7064 2737
+rect 10692 2694 10744 2746
+rect 14556 2697 14608 2749
+rect 15752 2728 15804 2780
+rect 19340 2864 19392 2916
+rect 22652 2864 22704 2916
+rect 22928 2796 22980 2848
+rect 27804 2796 27856 2848
+rect 18696 2694 18748 2746
+rect 19616 2771 19668 2780
+rect 19616 2737 19637 2771
+rect 19637 2737 19668 2771
+rect 19616 2728 19668 2737
+rect 22928 2694 22980 2746
+rect 26056 2728 26108 2780
+rect 28080 2771 28132 2780
+rect 28080 2737 28103 2771
+rect 28103 2737 28132 2771
+rect 28080 2728 28132 2737
+rect 31852 2864 31904 2916
+rect 35440 2932 35492 2984
+rect 31208 2796 31260 2848
+rect 33692 2796 33744 2848
+rect 31208 2694 31260 2746
+rect 31668 2728 31720 2780
+rect 6278 2582 6330 2634
+rect 6878 2582 6930 2634
+rect 10494 2582 10546 2634
+rect 11094 2582 11146 2634
+rect 14710 2582 14762 2634
+rect 15310 2582 15362 2634
+rect 18926 2582 18978 2634
+rect 19526 2582 19578 2634
+rect 23142 2582 23194 2634
+rect 23742 2582 23794 2634
+rect 27358 2582 27410 2634
+rect 27958 2582 28010 2634
+rect 31438 2582 31490 2634
+rect 32038 2582 32090 2634
+rect 35654 2582 35706 2634
+rect 36254 2582 36306 2634
+rect 6184 2456 6236 2508
+rect 19432 2456 19484 2508
+rect 10416 2388 10468 2440
+rect 23020 2388 23072 2440
+rect 10324 2320 10376 2372
+rect 27160 2320 27212 2372
+rect 4154 2150 4206 2202
+rect 4218 2150 4270 2202
+rect 4282 2150 4334 2202
+rect 4346 2150 4398 2202
+rect 4410 2150 4462 2202
+rect 4474 2150 4526 2202
+rect 4538 2150 4590 2202
+rect 4602 2150 4654 2202
+rect 4666 2150 4718 2202
+rect 1216 1572 1268 1624
+rect 32128 1572 32180 1624
+rect 17040 1504 17092 1556
+rect 22928 1504 22980 1556
+rect 10692 1436 10744 1488
+rect 33324 1436 33376 1488
+rect 7564 1368 7616 1420
+rect 31208 1368 31260 1420
+rect 15752 1300 15804 1352
+rect 23664 1300 23716 1352
+rect 7012 1232 7064 1284
+rect 18696 1232 18748 1284
+rect 6368 620 6420 672
+rect 10600 620 10652 672
+rect 19616 76 19668 128
+rect 38568 76 38620 128
+rect 3792 8 3844 60
+rect 28080 8 28132 60
+<< metal2 >>
+rect 19982 9200 20038 10000
+rect 15568 7744 15620 7750
+rect 15568 7686 15620 7692
+rect 4154 7644 4718 7664
+rect 4154 7642 4168 7644
+rect 4224 7642 4248 7644
+rect 4304 7642 4328 7644
+rect 4384 7642 4408 7644
+rect 4464 7642 4488 7644
+rect 4544 7642 4568 7644
+rect 4624 7642 4648 7644
+rect 4704 7642 4718 7644
+rect 4398 7590 4408 7642
+rect 4464 7590 4474 7642
+rect 4154 7588 4168 7590
+rect 4224 7588 4248 7590
+rect 4304 7588 4328 7590
+rect 4384 7588 4408 7590
+rect 4464 7588 4488 7590
+rect 4544 7588 4568 7590
+rect 4624 7588 4648 7590
+rect 4704 7588 4718 7590
+rect 4154 7568 4718 7588
+rect 6819 7644 7383 7664
+rect 6819 7642 6833 7644
+rect 6889 7642 6913 7644
+rect 6969 7642 6993 7644
+rect 7049 7642 7073 7644
+rect 7129 7642 7153 7644
+rect 7209 7642 7233 7644
+rect 7289 7642 7313 7644
+rect 7369 7642 7383 7644
+rect 7063 7590 7073 7642
+rect 7129 7590 7139 7642
+rect 6819 7588 6833 7590
+rect 6889 7588 6913 7590
+rect 6969 7588 6993 7590
+rect 7049 7588 7073 7590
+rect 7129 7588 7153 7590
+rect 7209 7588 7233 7590
+rect 7289 7588 7313 7590
+rect 7369 7588 7383 7590
+rect 6819 7568 7383 7588
+rect 9484 7644 10048 7664
+rect 9484 7642 9498 7644
+rect 9554 7642 9578 7644
+rect 9634 7642 9658 7644
+rect 9714 7642 9738 7644
+rect 9794 7642 9818 7644
+rect 9874 7642 9898 7644
+rect 9954 7642 9978 7644
+rect 10034 7642 10048 7644
+rect 9728 7590 9738 7642
+rect 9794 7590 9804 7642
+rect 9484 7588 9498 7590
+rect 9554 7588 9578 7590
+rect 9634 7588 9658 7590
+rect 9714 7588 9738 7590
+rect 9794 7588 9818 7590
+rect 9874 7588 9898 7590
+rect 9954 7588 9978 7590
+rect 10034 7588 10048 7590
+rect 9484 7568 10048 7588
+rect 12149 7644 12713 7664
+rect 12149 7642 12163 7644
+rect 12219 7642 12243 7644
+rect 12299 7642 12323 7644
+rect 12379 7642 12403 7644
+rect 12459 7642 12483 7644
+rect 12539 7642 12563 7644
+rect 12619 7642 12643 7644
+rect 12699 7642 12713 7644
+rect 12393 7590 12403 7642
+rect 12459 7590 12469 7642
+rect 12149 7588 12163 7590
+rect 12219 7588 12243 7590
+rect 12299 7588 12323 7590
+rect 12379 7588 12403 7590
+rect 12459 7588 12483 7590
+rect 12539 7588 12563 7590
+rect 12619 7588 12643 7590
+rect 12699 7588 12713 7590
+rect 12149 7568 12713 7588
+rect 14814 7644 15378 7664
+rect 14814 7642 14828 7644
+rect 14884 7642 14908 7644
+rect 14964 7642 14988 7644
+rect 15044 7642 15068 7644
+rect 15124 7642 15148 7644
+rect 15204 7642 15228 7644
+rect 15284 7642 15308 7644
+rect 15364 7642 15378 7644
+rect 15058 7590 15068 7642
+rect 15124 7590 15134 7642
+rect 14814 7588 14828 7590
+rect 14884 7588 14908 7590
+rect 14964 7588 14988 7590
+rect 15044 7588 15068 7590
+rect 15124 7588 15148 7590
+rect 15204 7588 15228 7590
+rect 15284 7588 15308 7590
+rect 15364 7588 15378 7590
+rect 14814 7568 15378 7588
+rect 10690 7440 10746 7449
+rect 10690 7375 10746 7384
+rect 2822 7100 3386 7120
+rect 2822 7098 2836 7100
+rect 2892 7098 2916 7100
+rect 2972 7098 2996 7100
+rect 3052 7098 3076 7100
+rect 3132 7098 3156 7100
+rect 3212 7098 3236 7100
+rect 3292 7098 3316 7100
+rect 3372 7098 3386 7100
+rect 3066 7046 3076 7098
+rect 3132 7046 3142 7098
+rect 2822 7044 2836 7046
+rect 2892 7044 2916 7046
+rect 2972 7044 2996 7046
+rect 3052 7044 3076 7046
+rect 3132 7044 3156 7046
+rect 3212 7044 3236 7046
+rect 3292 7044 3316 7046
+rect 3372 7044 3386 7046
+rect 2822 7024 3386 7044
+rect 5487 7100 6051 7120
+rect 5487 7098 5501 7100
+rect 5557 7098 5581 7100
+rect 5637 7098 5661 7100
+rect 5717 7098 5741 7100
+rect 5797 7098 5821 7100
+rect 5877 7098 5901 7100
+rect 5957 7098 5981 7100
+rect 6037 7098 6051 7100
+rect 5731 7046 5741 7098
+rect 5797 7046 5807 7098
+rect 5487 7044 5501 7046
+rect 5557 7044 5581 7046
+rect 5637 7044 5661 7046
+rect 5717 7044 5741 7046
+rect 5797 7044 5821 7046
+rect 5877 7044 5901 7046
+rect 5957 7044 5981 7046
+rect 6037 7044 6051 7046
+rect 5487 7024 6051 7044
+rect 8152 7100 8716 7120
+rect 8152 7098 8166 7100
+rect 8222 7098 8246 7100
+rect 8302 7098 8326 7100
+rect 8382 7098 8406 7100
+rect 8462 7098 8486 7100
+rect 8542 7098 8566 7100
+rect 8622 7098 8646 7100
+rect 8702 7098 8716 7100
+rect 8396 7046 8406 7098
+rect 8462 7046 8472 7098
+rect 8152 7044 8166 7046
+rect 8222 7044 8246 7046
+rect 8302 7044 8326 7046
+rect 8382 7044 8406 7046
+rect 8462 7044 8486 7046
+rect 8542 7044 8566 7046
+rect 8622 7044 8646 7046
+rect 8702 7044 8716 7046
+rect 8152 7024 8716 7044
+rect 9220 6996 9272 7002
+rect 9220 6938 9272 6944
+rect 7472 6928 7524 6934
+rect 6458 6896 6514 6905
+rect 7472 6870 7524 6876
+rect 6458 6831 6514 6840
+rect 4154 6556 4718 6576
+rect 4154 6554 4168 6556
+rect 4224 6554 4248 6556
+rect 4304 6554 4328 6556
+rect 4384 6554 4408 6556
+rect 4464 6554 4488 6556
+rect 4544 6554 4568 6556
+rect 4624 6554 4648 6556
+rect 4704 6554 4718 6556
+rect 4398 6502 4408 6554
+rect 4464 6502 4474 6554
+rect 4154 6500 4168 6502
+rect 4224 6500 4248 6502
+rect 4304 6500 4328 6502
+rect 4384 6500 4408 6502
+rect 4464 6500 4488 6502
+rect 4544 6500 4568 6502
+rect 4624 6500 4648 6502
+rect 4704 6500 4718 6502
+rect 4154 6480 4718 6500
+rect 2822 6012 3386 6032
+rect 2822 6010 2836 6012
+rect 2892 6010 2916 6012
+rect 2972 6010 2996 6012
+rect 3052 6010 3076 6012
+rect 3132 6010 3156 6012
+rect 3212 6010 3236 6012
+rect 3292 6010 3316 6012
+rect 3372 6010 3386 6012
+rect 3066 5958 3076 6010
+rect 3132 5958 3142 6010
+rect 2822 5956 2836 5958
+rect 2892 5956 2916 5958
+rect 2972 5956 2996 5958
+rect 3052 5956 3076 5958
+rect 3132 5956 3156 5958
+rect 3212 5956 3236 5958
+rect 3292 5956 3316 5958
+rect 3372 5956 3386 5958
+rect 2822 5936 3386 5956
+rect 5487 6012 6051 6032
+rect 5487 6010 5501 6012
+rect 5557 6010 5581 6012
+rect 5637 6010 5661 6012
+rect 5717 6010 5741 6012
+rect 5797 6010 5821 6012
+rect 5877 6010 5901 6012
+rect 5957 6010 5981 6012
+rect 6037 6010 6051 6012
+rect 5731 5958 5741 6010
+rect 5797 5958 5807 6010
+rect 5487 5956 5501 5958
+rect 5557 5956 5581 5958
+rect 5637 5956 5661 5958
+rect 5717 5956 5741 5958
+rect 5797 5956 5821 5958
+rect 5877 5956 5901 5958
+rect 5957 5956 5981 5958
+rect 6037 5956 6051 5958
+rect 5487 5936 6051 5956
+rect 4154 5468 4718 5488
+rect 4154 5466 4168 5468
+rect 4224 5466 4248 5468
+rect 4304 5466 4328 5468
+rect 4384 5466 4408 5468
+rect 4464 5466 4488 5468
+rect 4544 5466 4568 5468
+rect 4624 5466 4648 5468
+rect 4704 5466 4718 5468
+rect 4398 5414 4408 5466
+rect 4464 5414 4474 5466
+rect 4154 5412 4168 5414
+rect 4224 5412 4248 5414
+rect 4304 5412 4328 5414
+rect 4384 5412 4408 5414
+rect 4464 5412 4488 5414
+rect 4544 5412 4568 5414
+rect 4624 5412 4648 5414
+rect 4704 5412 4718 5414
+rect 4154 5392 4718 5412
+rect 2822 4924 3386 4944
+rect 2822 4922 2836 4924
+rect 2892 4922 2916 4924
+rect 2972 4922 2996 4924
+rect 3052 4922 3076 4924
+rect 3132 4922 3156 4924
+rect 3212 4922 3236 4924
+rect 3292 4922 3316 4924
+rect 3372 4922 3386 4924
+rect 3066 4870 3076 4922
+rect 3132 4870 3142 4922
+rect 2822 4868 2836 4870
+rect 2892 4868 2916 4870
+rect 2972 4868 2996 4870
+rect 3052 4868 3076 4870
+rect 3132 4868 3156 4870
+rect 3212 4868 3236 4870
+rect 3292 4868 3316 4870
+rect 3372 4868 3386 4870
+rect 2822 4848 3386 4868
+rect 5487 4924 6051 4944
+rect 5487 4922 5501 4924
+rect 5557 4922 5581 4924
+rect 5637 4922 5661 4924
+rect 5717 4922 5741 4924
+rect 5797 4922 5821 4924
+rect 5877 4922 5901 4924
+rect 5957 4922 5981 4924
+rect 6037 4922 6051 4924
+rect 5731 4870 5741 4922
+rect 5797 4870 5807 4922
+rect 5487 4868 5501 4870
+rect 5557 4868 5581 4870
+rect 5637 4868 5661 4870
+rect 5717 4868 5741 4870
+rect 5797 4868 5821 4870
+rect 5877 4868 5901 4870
+rect 5957 4868 5981 4870
+rect 6037 4868 6051 4870
+rect 5487 4848 6051 4868
+rect 6092 4752 6144 4758
+rect 6092 4694 6144 4700
+rect 4154 4380 4718 4400
+rect 4154 4378 4168 4380
+rect 4224 4378 4248 4380
+rect 4304 4378 4328 4380
+rect 4384 4378 4408 4380
+rect 4464 4378 4488 4380
+rect 4544 4378 4568 4380
+rect 4624 4378 4648 4380
+rect 4704 4378 4718 4380
+rect 4398 4326 4408 4378
+rect 4464 4326 4474 4378
+rect 4154 4324 4168 4326
+rect 4224 4324 4248 4326
+rect 4304 4324 4328 4326
+rect 4384 4324 4408 4326
+rect 4464 4324 4488 4326
+rect 4544 4324 4568 4326
+rect 4624 4324 4648 4326
+rect 4704 4324 4718 4326
+rect 4154 4304 4718 4324
+rect 6104 4214 6132 4694
+rect 6092 4208 6144 4214
+rect 6092 4150 6144 4156
+rect 3424 3936 3476 3942
+rect 3424 3878 3476 3884
+rect 6184 3936 6236 3942
+rect 6184 3878 6236 3884
+rect 2822 3836 3386 3856
+rect 2822 3834 2836 3836
+rect 2892 3834 2916 3836
+rect 2972 3834 2996 3836
+rect 3052 3834 3076 3836
+rect 3132 3834 3156 3836
+rect 3212 3834 3236 3836
+rect 3292 3834 3316 3836
+rect 3372 3834 3386 3836
+rect 3066 3782 3076 3834
+rect 3132 3782 3142 3834
+rect 2822 3780 2836 3782
+rect 2892 3780 2916 3782
+rect 2972 3780 2996 3782
+rect 3052 3780 3076 3782
+rect 3132 3780 3156 3782
+rect 3212 3780 3236 3782
+rect 3292 3780 3316 3782
+rect 3372 3780 3386 3782
+rect 2822 3760 3386 3780
+rect 3436 3058 3464 3878
+rect 5487 3836 6051 3856
+rect 5487 3834 5501 3836
+rect 5557 3834 5581 3836
+rect 5637 3834 5661 3836
+rect 5717 3834 5741 3836
+rect 5797 3834 5821 3836
+rect 5877 3834 5901 3836
+rect 5957 3834 5981 3836
+rect 6037 3834 6051 3836
+rect 5731 3782 5741 3834
+rect 5797 3782 5807 3834
+rect 5487 3780 5501 3782
+rect 5557 3780 5581 3782
+rect 5637 3780 5661 3782
+rect 5717 3780 5741 3782
+rect 5797 3780 5821 3782
+rect 5877 3780 5901 3782
+rect 5957 3780 5981 3782
+rect 6037 3780 6051 3782
+rect 5487 3760 6051 3780
+rect 4154 3292 4718 3312
+rect 4154 3290 4168 3292
+rect 4224 3290 4248 3292
+rect 4304 3290 4328 3292
+rect 4384 3290 4408 3292
+rect 4464 3290 4488 3292
+rect 4544 3290 4568 3292
+rect 4624 3290 4648 3292
+rect 4704 3290 4718 3292
+rect 4398 3238 4408 3290
+rect 4464 3238 4474 3290
+rect 4154 3236 4168 3238
+rect 4224 3236 4248 3238
+rect 4304 3236 4328 3238
+rect 4384 3236 4408 3238
+rect 4464 3236 4488 3238
+rect 4544 3236 4568 3238
+rect 4624 3236 4648 3238
+rect 4704 3236 4718 3238
+rect 4154 3216 4718 3236
+rect 5390 3163 5418 3512
+rect 5376 3140 5432 3163
+rect 5376 3061 5432 3084
+rect 3424 3052 3476 3058
+rect 3424 2994 3476 3000
+rect 2822 2748 3386 2768
+rect 2822 2746 2836 2748
+rect 2892 2746 2916 2748
+rect 2972 2746 2996 2748
+rect 3052 2746 3076 2748
+rect 3132 2746 3156 2748
+rect 3212 2746 3236 2748
+rect 3292 2746 3316 2748
+rect 3372 2746 3386 2748
+rect 3066 2694 3076 2746
+rect 3132 2694 3142 2746
+rect 2822 2692 2836 2694
+rect 2892 2692 2916 2694
+rect 2972 2692 2996 2694
+rect 3052 2692 3076 2694
+rect 3132 2692 3156 2694
+rect 3212 2692 3236 2694
+rect 3292 2692 3316 2694
+rect 3372 2692 3386 2694
+rect 2822 2672 3386 2692
+rect 5390 2463 5418 3061
+rect 5690 2813 5718 3512
+rect 5990 3163 6018 3512
+rect 5976 3140 6032 3163
+rect 5976 3061 6032 3084
+rect 5676 2790 5732 2813
+rect 5676 2711 5732 2734
+rect 5376 2440 5432 2463
+rect 5376 2361 5432 2384
+rect 5390 2312 5418 2361
+rect 5690 2312 5718 2711
+rect 5990 2463 6018 3061
+rect 6196 3058 6224 3878
+rect 6184 3052 6236 3058
+rect 6184 2994 6236 3000
+rect 6290 2813 6318 3512
+rect 6472 3076 6500 6831
+rect 6819 6556 7383 6576
+rect 6819 6554 6833 6556
+rect 6889 6554 6913 6556
+rect 6969 6554 6993 6556
+rect 7049 6554 7073 6556
+rect 7129 6554 7153 6556
+rect 7209 6554 7233 6556
+rect 7289 6554 7313 6556
+rect 7369 6554 7383 6556
+rect 7063 6502 7073 6554
+rect 7129 6502 7139 6554
+rect 6819 6500 6833 6502
+rect 6889 6500 6913 6502
+rect 6969 6500 6993 6502
+rect 7049 6500 7073 6502
+rect 7129 6500 7153 6502
+rect 7209 6500 7233 6502
+rect 7289 6500 7313 6502
+rect 7369 6500 7383 6502
+rect 6819 6480 7383 6500
+rect 6819 5468 7383 5488
+rect 6819 5466 6833 5468
+rect 6889 5466 6913 5468
+rect 6969 5466 6993 5468
+rect 7049 5466 7073 5468
+rect 7129 5466 7153 5468
+rect 7209 5466 7233 5468
+rect 7289 5466 7313 5468
+rect 7369 5466 7383 5468
+rect 7063 5414 7073 5466
+rect 7129 5414 7139 5466
+rect 6819 5412 6833 5414
+rect 6889 5412 6913 5414
+rect 6969 5412 6993 5414
+rect 7049 5412 7073 5414
+rect 7129 5412 7153 5414
+rect 7209 5412 7233 5414
+rect 7289 5412 7313 5414
+rect 7369 5412 7383 5414
+rect 6819 5392 7383 5412
+rect 7484 4690 7512 6870
+rect 8152 6012 8716 6032
+rect 8152 6010 8166 6012
+rect 8222 6010 8246 6012
+rect 8302 6010 8326 6012
+rect 8382 6010 8406 6012
+rect 8462 6010 8486 6012
+rect 8542 6010 8566 6012
+rect 8622 6010 8646 6012
+rect 8702 6010 8716 6012
+rect 8396 5958 8406 6010
+rect 8462 5958 8472 6010
+rect 8152 5956 8166 5958
+rect 8222 5956 8246 5958
+rect 8302 5956 8326 5958
+rect 8382 5956 8406 5958
+rect 8462 5956 8486 5958
+rect 8542 5956 8566 5958
+rect 8622 5956 8646 5958
+rect 8702 5956 8716 5958
+rect 8152 5936 8716 5956
+rect 7840 5568 7892 5574
+rect 7840 5510 7892 5516
+rect 7472 4684 7524 4690
+rect 7472 4626 7524 4632
+rect 6552 4480 6604 4486
+rect 6552 4422 6604 4428
+rect 6644 4480 6696 4486
+rect 6644 4422 6696 4428
+rect 6564 4214 6592 4422
+rect 6656 4282 6684 4422
+rect 6819 4380 7383 4400
+rect 6819 4378 6833 4380
+rect 6889 4378 6913 4380
+rect 6969 4378 6993 4380
+rect 7049 4378 7073 4380
+rect 7129 4378 7153 4380
+rect 7209 4378 7233 4380
+rect 7289 4378 7313 4380
+rect 7369 4378 7383 4380
+rect 7063 4326 7073 4378
+rect 7129 4326 7139 4378
+rect 6819 4324 6833 4326
+rect 6889 4324 6913 4326
+rect 6969 4324 6993 4326
+rect 7049 4324 7073 4326
+rect 7129 4324 7153 4326
+rect 7209 4324 7233 4326
+rect 7289 4324 7313 4326
+rect 7369 4324 7383 4326
+rect 6819 4304 7383 4324
+rect 6644 4276 6696 4282
+rect 6644 4218 6696 4224
+rect 6552 4208 6604 4214
+rect 6552 4150 6604 4156
+rect 7288 4208 7340 4214
+rect 7288 4150 7340 4156
+rect 6564 3738 6592 4150
+rect 6552 3732 6604 3738
+rect 6552 3674 6604 3680
+rect 7300 3534 7328 4150
+rect 7852 4078 7880 5510
+rect 8942 5128 8998 5137
+rect 8024 5092 8076 5098
+rect 8942 5063 8998 5072
+rect 8024 5034 8076 5040
+rect 7932 5024 7984 5030
+rect 7932 4966 7984 4972
+rect 7944 4758 7972 4966
+rect 7932 4752 7984 4758
+rect 7932 4694 7984 4700
+rect 7932 4616 7984 4622
+rect 8036 4570 8064 5034
+rect 8852 5024 8904 5030
+rect 8852 4966 8904 4972
+rect 8152 4924 8716 4944
+rect 8152 4922 8166 4924
+rect 8222 4922 8246 4924
+rect 8302 4922 8326 4924
+rect 8382 4922 8406 4924
+rect 8462 4922 8486 4924
+rect 8542 4922 8566 4924
+rect 8622 4922 8646 4924
+rect 8702 4922 8716 4924
+rect 8396 4870 8406 4922
+rect 8462 4870 8472 4922
+rect 8152 4868 8166 4870
+rect 8222 4868 8246 4870
+rect 8302 4868 8326 4870
+rect 8382 4868 8406 4870
+rect 8462 4868 8486 4870
+rect 8542 4868 8566 4870
+rect 8622 4868 8646 4870
+rect 8702 4868 8716 4870
+rect 8152 4848 8716 4868
+rect 8864 4690 8892 4966
+rect 8956 4826 8984 5063
+rect 8944 4820 8996 4826
+rect 8944 4762 8996 4768
+rect 9036 4820 9088 4826
+rect 9036 4762 9088 4768
+rect 8116 4684 8168 4690
+rect 8116 4626 8168 4632
+rect 8852 4684 8904 4690
+rect 8852 4626 8904 4632
+rect 7984 4564 8064 4570
+rect 7932 4558 8064 4564
+rect 7944 4542 8064 4558
+rect 8036 4185 8064 4542
+rect 8022 4176 8078 4185
+rect 8128 4146 8156 4626
+rect 8484 4616 8536 4622
+rect 8484 4558 8536 4564
+rect 8496 4214 8524 4558
+rect 8484 4208 8536 4214
+rect 8484 4150 8536 4156
+rect 8022 4111 8024 4120
+rect 8076 4111 8078 4120
+rect 8116 4140 8168 4146
+rect 8024 4082 8076 4088
+rect 8116 4082 8168 4088
+rect 7840 4072 7892 4078
+rect 8036 4051 8064 4082
+rect 9048 4078 9076 4762
+rect 9232 4690 9260 6938
+rect 9484 6556 10048 6576
+rect 9484 6554 9498 6556
+rect 9554 6554 9578 6556
+rect 9634 6554 9658 6556
+rect 9714 6554 9738 6556
+rect 9794 6554 9818 6556
+rect 9874 6554 9898 6556
+rect 9954 6554 9978 6556
+rect 10034 6554 10048 6556
+rect 9728 6502 9738 6554
+rect 9794 6502 9804 6554
+rect 9484 6500 9498 6502
+rect 9554 6500 9578 6502
+rect 9634 6500 9658 6502
+rect 9714 6500 9738 6502
+rect 9794 6500 9818 6502
+rect 9874 6500 9898 6502
+rect 9954 6500 9978 6502
+rect 10034 6500 10048 6502
+rect 9484 6480 10048 6500
+rect 10704 5914 10732 7375
+rect 10817 7100 11381 7120
+rect 10817 7098 10831 7100
+rect 10887 7098 10911 7100
+rect 10967 7098 10991 7100
+rect 11047 7098 11071 7100
+rect 11127 7098 11151 7100
+rect 11207 7098 11231 7100
+rect 11287 7098 11311 7100
+rect 11367 7098 11381 7100
+rect 11061 7046 11071 7098
+rect 11127 7046 11137 7098
+rect 10817 7044 10831 7046
+rect 10887 7044 10911 7046
+rect 10967 7044 10991 7046
+rect 11047 7044 11071 7046
+rect 11127 7044 11151 7046
+rect 11207 7044 11231 7046
+rect 11287 7044 11311 7046
+rect 11367 7044 11381 7046
+rect 10817 7024 11381 7044
+rect 13482 7100 14046 7120
+rect 13482 7098 13496 7100
+rect 13552 7098 13576 7100
+rect 13632 7098 13656 7100
+rect 13712 7098 13736 7100
+rect 13792 7098 13816 7100
+rect 13872 7098 13896 7100
+rect 13952 7098 13976 7100
+rect 14032 7098 14046 7100
+rect 13726 7046 13736 7098
+rect 13792 7046 13802 7098
+rect 13482 7044 13496 7046
+rect 13552 7044 13576 7046
+rect 13632 7044 13656 7046
+rect 13712 7044 13736 7046
+rect 13792 7044 13816 7046
+rect 13872 7044 13896 7046
+rect 13952 7044 13976 7046
+rect 14032 7044 14046 7046
+rect 13482 7024 14046 7044
+rect 12149 6556 12713 6576
+rect 12149 6554 12163 6556
+rect 12219 6554 12243 6556
+rect 12299 6554 12323 6556
+rect 12379 6554 12403 6556
+rect 12459 6554 12483 6556
+rect 12539 6554 12563 6556
+rect 12619 6554 12643 6556
+rect 12699 6554 12713 6556
+rect 12393 6502 12403 6554
+rect 12459 6502 12469 6554
+rect 12149 6500 12163 6502
+rect 12219 6500 12243 6502
+rect 12299 6500 12323 6502
+rect 12379 6500 12403 6502
+rect 12459 6500 12483 6502
+rect 12539 6500 12563 6502
+rect 12619 6500 12643 6502
+rect 12699 6500 12713 6502
+rect 12149 6480 12713 6500
+rect 14814 6556 15378 6576
+rect 14814 6554 14828 6556
+rect 14884 6554 14908 6556
+rect 14964 6554 14988 6556
+rect 15044 6554 15068 6556
+rect 15124 6554 15148 6556
+rect 15204 6554 15228 6556
+rect 15284 6554 15308 6556
+rect 15364 6554 15378 6556
+rect 15058 6502 15068 6554
+rect 15124 6502 15134 6554
+rect 14814 6500 14828 6502
+rect 14884 6500 14908 6502
+rect 14964 6500 14988 6502
+rect 15044 6500 15068 6502
+rect 15124 6500 15148 6502
+rect 15204 6500 15228 6502
+rect 15284 6500 15308 6502
+rect 15364 6500 15378 6502
+rect 14814 6480 15378 6500
+rect 14372 6316 14424 6322
+rect 14372 6258 14424 6264
+rect 10817 6012 11381 6032
+rect 10817 6010 10831 6012
+rect 10887 6010 10911 6012
+rect 10967 6010 10991 6012
+rect 11047 6010 11071 6012
+rect 11127 6010 11151 6012
+rect 11207 6010 11231 6012
+rect 11287 6010 11311 6012
+rect 11367 6010 11381 6012
+rect 11061 5958 11071 6010
+rect 11127 5958 11137 6010
+rect 10817 5956 10831 5958
+rect 10887 5956 10911 5958
+rect 10967 5956 10991 5958
+rect 11047 5956 11071 5958
+rect 11127 5956 11151 5958
+rect 11207 5956 11231 5958
+rect 11287 5956 11311 5958
+rect 11367 5956 11381 5958
+rect 10817 5936 11381 5956
+rect 13482 6012 14046 6032
+rect 13482 6010 13496 6012
+rect 13552 6010 13576 6012
+rect 13632 6010 13656 6012
+rect 13712 6010 13736 6012
+rect 13792 6010 13816 6012
+rect 13872 6010 13896 6012
+rect 13952 6010 13976 6012
+rect 14032 6010 14046 6012
+rect 13726 5958 13736 6010
+rect 13792 5958 13802 6010
+rect 13482 5956 13496 5958
+rect 13552 5956 13576 5958
+rect 13632 5956 13656 5958
+rect 13712 5956 13736 5958
+rect 13792 5956 13816 5958
+rect 13872 5956 13896 5958
+rect 13952 5956 13976 5958
+rect 14032 5956 14046 5958
+rect 13482 5936 14046 5956
+rect 10692 5908 10744 5914
+rect 10692 5850 10744 5856
+rect 14188 5908 14240 5914
+rect 14188 5850 14240 5856
+rect 11428 5772 11480 5778
+rect 11428 5714 11480 5720
+rect 10140 5704 10192 5710
+rect 10508 5704 10560 5710
+rect 10140 5646 10192 5652
+rect 10428 5664 10508 5692
+rect 9484 5468 10048 5488
+rect 9484 5466 9498 5468
+rect 9554 5466 9578 5468
+rect 9634 5466 9658 5468
+rect 9714 5466 9738 5468
+rect 9794 5466 9818 5468
+rect 9874 5466 9898 5468
+rect 9954 5466 9978 5468
+rect 10034 5466 10048 5468
+rect 9728 5414 9738 5466
+rect 9794 5414 9804 5466
+rect 9484 5412 9498 5414
+rect 9554 5412 9578 5414
+rect 9634 5412 9658 5414
+rect 9714 5412 9738 5414
+rect 9794 5412 9818 5414
+rect 9874 5412 9898 5414
+rect 9954 5412 9978 5414
+rect 10034 5412 10048 5414
+rect 9484 5392 10048 5412
+rect 10046 5264 10102 5273
+rect 9956 5228 10008 5234
+rect 10046 5199 10102 5208
+rect 9956 5170 10008 5176
+rect 9680 5160 9732 5166
+rect 9680 5102 9732 5108
+rect 9220 4684 9272 4690
+rect 9220 4626 9272 4632
+rect 9692 4536 9720 5102
+rect 9968 4758 9996 5170
+rect 10060 5030 10088 5199
+rect 10048 5024 10100 5030
+rect 10048 4966 10100 4972
+rect 10152 4826 10180 5646
+rect 10232 5636 10284 5642
+rect 10232 5578 10284 5584
+rect 10244 5166 10272 5578
+rect 10324 5228 10376 5234
+rect 10324 5170 10376 5176
+rect 10232 5160 10284 5166
+rect 10232 5102 10284 5108
+rect 10140 4820 10192 4826
+rect 10140 4762 10192 4768
+rect 9956 4752 10008 4758
+rect 9956 4694 10008 4700
+rect 9416 4508 9720 4536
+rect 9128 4480 9180 4486
+rect 9128 4422 9180 4428
+rect 9140 4146 9168 4422
+rect 9416 4146 9444 4508
+rect 9484 4380 10048 4400
+rect 9484 4378 9498 4380
+rect 9554 4378 9578 4380
+rect 9634 4378 9658 4380
+rect 9714 4378 9738 4380
+rect 9794 4378 9818 4380
+rect 9874 4378 9898 4380
+rect 9954 4378 9978 4380
+rect 10034 4378 10048 4380
+rect 9728 4326 9738 4378
+rect 9794 4326 9804 4378
+rect 9484 4324 9498 4326
+rect 9554 4324 9578 4326
+rect 9634 4324 9658 4326
+rect 9714 4324 9738 4326
+rect 9794 4324 9818 4326
+rect 9874 4324 9898 4326
+rect 9954 4324 9978 4326
+rect 10034 4324 10048 4326
+rect 9484 4304 10048 4324
+rect 10152 4214 10180 4762
+rect 10336 4622 10364 5170
+rect 10428 5098 10456 5664
+rect 10508 5646 10560 5652
+rect 10784 5636 10836 5642
+rect 10784 5578 10836 5584
+rect 10692 5364 10744 5370
+rect 10692 5306 10744 5312
+rect 10600 5228 10652 5234
+rect 10600 5170 10652 5176
+rect 10416 5092 10468 5098
+rect 10416 5034 10468 5040
+rect 10324 4616 10376 4622
+rect 10324 4558 10376 4564
+rect 10612 4486 10640 5170
+rect 10704 4826 10732 5306
+rect 10796 5166 10824 5578
+rect 11336 5364 11388 5370
+rect 11336 5306 11388 5312
+rect 11348 5273 11376 5306
+rect 11334 5264 11390 5273
+rect 11334 5199 11390 5208
+rect 10784 5160 10836 5166
+rect 10784 5102 10836 5108
+rect 10817 4924 11381 4944
+rect 10817 4922 10831 4924
+rect 10887 4922 10911 4924
+rect 10967 4922 10991 4924
+rect 11047 4922 11071 4924
+rect 11127 4922 11151 4924
+rect 11207 4922 11231 4924
+rect 11287 4922 11311 4924
+rect 11367 4922 11381 4924
+rect 11061 4870 11071 4922
+rect 11127 4870 11137 4922
+rect 10817 4868 10831 4870
+rect 10887 4868 10911 4870
+rect 10967 4868 10991 4870
+rect 11047 4868 11071 4870
+rect 11127 4868 11151 4870
+rect 11207 4868 11231 4870
+rect 11287 4868 11311 4870
+rect 11367 4868 11381 4870
+rect 10817 4848 11381 4868
+rect 10692 4820 10744 4826
+rect 10692 4762 10744 4768
+rect 10704 4622 10732 4762
+rect 10692 4616 10744 4622
+rect 10692 4558 10744 4564
+rect 10968 4616 11020 4622
+rect 10968 4558 11020 4564
+rect 10600 4480 10652 4486
+rect 10600 4422 10652 4428
+rect 10876 4480 10928 4486
+rect 10876 4422 10928 4428
+rect 10888 4214 10916 4422
+rect 9680 4208 9732 4214
+rect 9678 4176 9680 4185
+rect 10140 4208 10192 4214
+rect 9732 4176 9734 4185
+rect 9128 4140 9180 4146
+rect 9128 4082 9180 4088
+rect 9404 4140 9456 4146
+rect 10140 4150 10192 4156
+rect 10876 4208 10928 4214
+rect 10980 4196 11008 4558
+rect 11060 4208 11112 4214
+rect 10980 4168 11060 4196
+rect 10876 4150 10928 4156
+rect 11060 4150 11112 4156
+rect 9678 4111 9734 4120
+rect 10784 4140 10836 4146
+rect 9404 4082 9456 4088
+rect 10784 4082 10836 4088
+rect 9036 4072 9088 4078
+rect 7840 4014 7892 4020
+rect 10324 4072 10376 4078
+rect 9036 4014 9088 4020
+rect 9678 4040 9734 4049
+rect 7564 4004 7616 4010
+rect 7564 3946 7616 3952
+rect 7748 4004 7800 4010
+rect 10324 4014 10376 4020
+rect 10796 4026 10824 4082
+rect 11152 4072 11204 4078
+rect 10796 4020 11152 4026
+rect 10796 4014 11204 4020
+rect 9678 3975 9680 3984
+rect 7748 3946 7800 3952
+rect 9732 3975 9734 3984
+rect 9680 3946 9732 3952
+rect 7288 3528 7340 3534
+rect 6590 3208 6618 3512
+rect 6578 3183 6630 3208
+rect 6576 3140 6578 3163
+rect 6630 3140 6632 3163
+rect 6460 3070 6512 3076
+rect 6576 3061 6632 3084
+rect 6460 3012 6512 3018
+rect 6368 2848 6420 2854
+rect 6276 2790 6332 2813
+rect 6368 2790 6420 2796
+rect 6276 2711 6332 2734
+rect 6290 2660 6318 2711
+rect 6278 2634 6330 2660
+rect 6278 2556 6330 2582
+rect 6184 2508 6236 2514
+rect 5976 2440 6032 2463
+rect 6184 2450 6236 2456
+rect 5976 2361 6032 2384
+rect 5990 2312 6018 2361
+rect 4154 2204 4718 2224
+rect 4154 2202 4168 2204
+rect 4224 2202 4248 2204
+rect 4304 2202 4328 2204
+rect 4384 2202 4408 2204
+rect 4464 2202 4488 2204
+rect 4544 2202 4568 2204
+rect 4624 2202 4648 2204
+rect 4704 2202 4718 2204
+rect 4398 2150 4408 2202
+rect 4464 2150 4474 2202
+rect 4154 2148 4168 2150
+rect 4224 2148 4248 2150
+rect 4304 2148 4328 2150
+rect 4384 2148 4408 2150
+rect 4464 2148 4488 2150
+rect 4544 2148 4568 2150
+rect 4624 2148 4648 2150
+rect 4704 2148 4718 2150
+rect 4154 2128 4718 2148
+rect 1216 1624 1268 1630
+rect 1216 1566 1268 1572
+rect 1228 800 1256 1566
+rect 3712 870 3832 898
+rect 3712 800 3740 870
+rect 1214 0 1270 800
+rect 3698 0 3754 800
+rect 3804 66 3832 870
+rect 6196 800 6224 2450
+rect 6290 2312 6318 2556
+rect 3792 60 3844 66
+rect 3792 2 3844 8
+rect 6182 0 6238 800
+rect 6380 678 6408 2790
+rect 6590 2463 6618 3061
+rect 6890 2813 6918 3512
+rect 7190 3163 7218 3512
+rect 7288 3470 7340 3476
+rect 7176 3140 7232 3163
+rect 7176 3061 7232 3084
+rect 6876 2790 6932 2813
+rect 6876 2711 6932 2734
+rect 7012 2780 7064 2786
+rect 7012 2722 7064 2728
+rect 6890 2660 6918 2711
+rect 6878 2634 6930 2660
+rect 6878 2556 6930 2582
+rect 6576 2440 6632 2463
+rect 6576 2361 6632 2384
+rect 6590 2312 6618 2361
+rect 6890 2312 6918 2556
+rect 7024 1290 7052 2722
+rect 7190 2463 7218 3061
+rect 7490 2813 7518 3512
+rect 7476 2790 7532 2813
+rect 7476 2711 7532 2734
+rect 7176 2440 7232 2463
+rect 7176 2361 7232 2384
+rect 7190 2312 7218 2361
+rect 7490 2312 7518 2711
+rect 7576 1426 7604 3946
+rect 7760 3670 7788 3946
+rect 8152 3836 8716 3856
+rect 8152 3834 8166 3836
+rect 8222 3834 8246 3836
+rect 8302 3834 8326 3836
+rect 8382 3834 8406 3836
+rect 8462 3834 8486 3836
+rect 8542 3834 8566 3836
+rect 8622 3834 8646 3836
+rect 8702 3834 8716 3836
+rect 8396 3782 8406 3834
+rect 8462 3782 8472 3834
+rect 8152 3780 8166 3782
+rect 8222 3780 8246 3782
+rect 8302 3780 8326 3782
+rect 8382 3780 8406 3782
+rect 8462 3780 8486 3782
+rect 8542 3780 8566 3782
+rect 8622 3780 8646 3782
+rect 8702 3780 8716 3782
+rect 8152 3760 8716 3780
+rect 9692 3670 9720 3946
+rect 7748 3664 7800 3670
+rect 7748 3606 7800 3612
+rect 9680 3664 9732 3670
+rect 9680 3606 9732 3612
+rect 7790 3163 7818 3512
+rect 7776 3140 7832 3163
+rect 7776 3061 7832 3084
+rect 7790 2463 7818 3061
+rect 8090 2813 8118 3512
+rect 8390 3163 8418 3512
+rect 8376 3140 8432 3163
+rect 8376 3061 8432 3084
+rect 8076 2790 8132 2813
+rect 8076 2711 8132 2734
+rect 7776 2440 7832 2463
+rect 7776 2361 7832 2384
+rect 7790 2312 7818 2361
+rect 8090 2312 8118 2711
+rect 8390 2463 8418 3061
+rect 8690 2813 8718 3512
+rect 8760 3324 8812 3330
+rect 8760 3266 8812 3272
+rect 8676 2790 8732 2813
+rect 8676 2711 8732 2734
+rect 8376 2440 8432 2463
+rect 8376 2361 8432 2384
+rect 8390 2312 8418 2361
+rect 8690 2312 8718 2711
+rect 8772 1442 8800 3266
+rect 8990 3163 9018 3512
+rect 9606 3163 9634 3512
+rect 8976 3140 9032 3163
+rect 8976 3061 9032 3084
+rect 9592 3140 9648 3163
+rect 9592 3061 9648 3084
+rect 8990 2463 9018 3061
+rect 9606 2463 9634 3061
+rect 9906 2813 9934 3512
+rect 10206 3163 10234 3512
+rect 10192 3140 10248 3163
+rect 10192 3061 10248 3084
+rect 9892 2790 9948 2813
+rect 9892 2711 9948 2734
+rect 8976 2440 9032 2463
+rect 8976 2361 9032 2384
+rect 9592 2440 9648 2463
+rect 9592 2361 9648 2384
+rect 8990 2312 9018 2361
+rect 9606 2312 9634 2361
+rect 9906 2312 9934 2711
+rect 10206 2463 10234 3061
+rect 10192 2440 10248 2463
+rect 10192 2361 10248 2384
+rect 10336 2378 10364 4014
+rect 10796 3998 11192 4014
+rect 11440 3942 11468 5714
+rect 12072 5704 12124 5710
+rect 12072 5646 12124 5652
+rect 11980 5636 12032 5642
+rect 11980 5578 12032 5584
+rect 11796 5568 11848 5574
+rect 11796 5510 11848 5516
+rect 11518 5400 11574 5409
+rect 11518 5335 11520 5344
+rect 11572 5335 11574 5344
+rect 11520 5306 11572 5312
+rect 11520 5092 11572 5098
+rect 11520 5034 11572 5040
+rect 11704 5092 11756 5098
+rect 11704 5034 11756 5040
+rect 11532 4049 11560 5034
+rect 11716 4486 11744 5034
+rect 11808 4593 11836 5510
+rect 11992 5234 12020 5578
+rect 11980 5228 12032 5234
+rect 11980 5170 12032 5176
+rect 11888 5160 11940 5166
+rect 11888 5102 11940 5108
+rect 11794 4584 11850 4593
+rect 11794 4519 11850 4528
+rect 11704 4480 11756 4486
+rect 11704 4422 11756 4428
+rect 11612 4276 11664 4282
+rect 11612 4218 11664 4224
+rect 11518 4040 11574 4049
+rect 11518 3975 11574 3984
+rect 10416 3936 10468 3942
+rect 10416 3878 10468 3884
+rect 10600 3936 10652 3942
+rect 10600 3878 10652 3884
+rect 11428 3936 11480 3942
+rect 11428 3878 11480 3884
+rect 10428 2446 10456 3878
+rect 10506 2813 10534 3512
+rect 10612 3076 10640 3878
+rect 10817 3836 11381 3856
+rect 10817 3834 10831 3836
+rect 10887 3834 10911 3836
+rect 10967 3834 10991 3836
+rect 11047 3834 11071 3836
+rect 11127 3834 11151 3836
+rect 11207 3834 11231 3836
+rect 11287 3834 11311 3836
+rect 11367 3834 11381 3836
+rect 11061 3782 11071 3834
+rect 11127 3782 11137 3834
+rect 10817 3780 10831 3782
+rect 10887 3780 10911 3782
+rect 10967 3780 10991 3782
+rect 11047 3780 11071 3782
+rect 11127 3780 11151 3782
+rect 11207 3780 11231 3782
+rect 11287 3780 11311 3782
+rect 11367 3780 11381 3782
+rect 10817 3760 11381 3780
+rect 11624 3738 11652 4218
+rect 11808 4078 11836 4519
+rect 11900 4282 11928 5102
+rect 11888 4276 11940 4282
+rect 11888 4218 11940 4224
+rect 11980 4140 12032 4146
+rect 11980 4082 12032 4088
+rect 11704 4072 11756 4078
+rect 11704 4014 11756 4020
+rect 11796 4072 11848 4078
+rect 11796 4014 11848 4020
+rect 11716 3738 11744 4014
+rect 11992 3942 12020 4082
+rect 12084 4060 12112 5646
+rect 12900 5568 12952 5574
+rect 12900 5510 12952 5516
+rect 12149 5468 12713 5488
+rect 12149 5466 12163 5468
+rect 12219 5466 12243 5468
+rect 12299 5466 12323 5468
+rect 12379 5466 12403 5468
+rect 12459 5466 12483 5468
+rect 12539 5466 12563 5468
+rect 12619 5466 12643 5468
+rect 12699 5466 12713 5468
+rect 12393 5414 12403 5466
+rect 12459 5414 12469 5466
+rect 12149 5412 12163 5414
+rect 12219 5412 12243 5414
+rect 12299 5412 12323 5414
+rect 12379 5412 12403 5414
+rect 12459 5412 12483 5414
+rect 12539 5412 12563 5414
+rect 12619 5412 12643 5414
+rect 12699 5412 12713 5414
+rect 12149 5392 12713 5412
+rect 12912 5302 12940 5510
+rect 14200 5370 14228 5850
+rect 14188 5364 14240 5370
+rect 14188 5306 14240 5312
+rect 14280 5364 14332 5370
+rect 14280 5306 14332 5312
+rect 12900 5296 12952 5302
+rect 12900 5238 12952 5244
+rect 14096 5228 14148 5234
+rect 14096 5170 14148 5176
+rect 12256 5160 12308 5166
+rect 12256 5102 12308 5108
+rect 12716 5160 12768 5166
+rect 12716 5102 12768 5108
+rect 12268 4978 12296 5102
+rect 12176 4950 12296 4978
+rect 12176 4622 12204 4950
+rect 12256 4820 12308 4826
+rect 12256 4762 12308 4768
+rect 12268 4729 12296 4762
+rect 12254 4720 12310 4729
+rect 12254 4655 12310 4664
+rect 12268 4622 12296 4655
+rect 12164 4616 12216 4622
+rect 12164 4558 12216 4564
+rect 12256 4616 12308 4622
+rect 12256 4558 12308 4564
+rect 12728 4554 12756 5102
+rect 13268 5092 13320 5098
+rect 13268 5034 13320 5040
+rect 12820 4826 13032 4842
+rect 12808 4820 13032 4826
+rect 12860 4814 13032 4820
+rect 12808 4762 12860 4768
+rect 12900 4752 12952 4758
+rect 12900 4694 12952 4700
+rect 12912 4622 12940 4694
+rect 12900 4616 12952 4622
+rect 12900 4558 12952 4564
+rect 12716 4548 12768 4554
+rect 12716 4490 12768 4496
+rect 12808 4548 12860 4554
+rect 12808 4490 12860 4496
+rect 12149 4380 12713 4400
+rect 12149 4378 12163 4380
+rect 12219 4378 12243 4380
+rect 12299 4378 12323 4380
+rect 12379 4378 12403 4380
+rect 12459 4378 12483 4380
+rect 12539 4378 12563 4380
+rect 12619 4378 12643 4380
+rect 12699 4378 12713 4380
+rect 12393 4326 12403 4378
+rect 12459 4326 12469 4378
+rect 12149 4324 12163 4326
+rect 12219 4324 12243 4326
+rect 12299 4324 12323 4326
+rect 12379 4324 12403 4326
+rect 12459 4324 12483 4326
+rect 12539 4324 12563 4326
+rect 12619 4324 12643 4326
+rect 12699 4324 12713 4326
+rect 12149 4304 12713 4324
+rect 12164 4072 12216 4078
+rect 12084 4032 12164 4060
+rect 12164 4014 12216 4020
+rect 12348 4072 12400 4078
+rect 12348 4014 12400 4020
+rect 11980 3936 12032 3942
+rect 11980 3878 12032 3884
+rect 11612 3732 11664 3738
+rect 11612 3674 11664 3680
+rect 11704 3732 11756 3738
+rect 11704 3674 11756 3680
+rect 11624 3602 11652 3674
+rect 11612 3596 11664 3602
+rect 11612 3538 11664 3544
+rect 12176 3534 12204 4014
+rect 12360 3602 12388 4014
+rect 12820 3738 12848 4490
+rect 12912 4457 12940 4558
+rect 12898 4448 12954 4457
+rect 12898 4383 12954 4392
+rect 13004 4321 13032 4814
+rect 12990 4312 13046 4321
+rect 12990 4247 13046 4256
+rect 13176 4004 13228 4010
+rect 13176 3946 13228 3952
+rect 12992 3936 13044 3942
+rect 12992 3878 13044 3884
+rect 12808 3732 12860 3738
+rect 12808 3674 12860 3680
+rect 12348 3596 12400 3602
+rect 12348 3538 12400 3544
+rect 13004 3534 13032 3878
+rect 13188 3738 13216 3946
+rect 13084 3732 13136 3738
+rect 13084 3674 13136 3680
+rect 13176 3732 13228 3738
+rect 13176 3674 13228 3680
+rect 12164 3528 12216 3534
+rect 10806 3208 10834 3512
+rect 10794 3183 10846 3208
+rect 10792 3140 10794 3163
+rect 10846 3140 10848 3163
+rect 10600 3070 10652 3076
+rect 10792 3061 10848 3084
+rect 10600 3012 10652 3018
+rect 10600 2916 10652 2922
+rect 10600 2858 10652 2864
+rect 10492 2790 10548 2813
+rect 10492 2711 10548 2734
+rect 10506 2660 10534 2711
+rect 10494 2634 10546 2660
+rect 10494 2556 10546 2582
+rect 10416 2440 10468 2446
+rect 10416 2382 10468 2388
+rect 10324 2372 10376 2378
+rect 10206 2312 10234 2361
+rect 10324 2314 10376 2320
+rect 10506 2312 10534 2556
+rect 7564 1420 7616 1426
+rect 7564 1362 7616 1368
+rect 8680 1414 8800 1442
+rect 7012 1284 7064 1290
+rect 7012 1226 7064 1232
+rect 8680 800 8708 1414
+rect 6368 672 6420 678
+rect 6368 614 6420 620
+rect 8666 0 8722 800
+rect 10612 678 10640 2858
+rect 10692 2746 10744 2752
+rect 10692 2688 10744 2694
+rect 10704 1494 10732 2688
+rect 10806 2463 10834 3061
+rect 10968 2848 11020 2854
+rect 11106 2813 11134 3512
+rect 11406 3163 11434 3512
+rect 11392 3140 11448 3163
+rect 11392 3061 11448 3084
+rect 10968 2790 11020 2796
+rect 11092 2790 11148 2813
+rect 10792 2440 10848 2463
+rect 10792 2361 10848 2384
+rect 10806 2312 10834 2361
+rect 10692 1488 10744 1494
+rect 10692 1430 10744 1436
+rect 10980 1442 11008 2790
+rect 11092 2711 11148 2734
+rect 11106 2660 11134 2711
+rect 11094 2634 11146 2660
+rect 11094 2556 11146 2582
+rect 11106 2312 11134 2556
+rect 11406 2463 11434 3061
+rect 11706 2813 11734 3512
+rect 12006 3163 12034 3512
+rect 12992 3528 13044 3534
+rect 12164 3470 12216 3476
+rect 11992 3140 12048 3163
+rect 11992 3061 12048 3084
+rect 11692 2790 11748 2813
+rect 11692 2711 11748 2734
+rect 11392 2440 11448 2463
+rect 11392 2361 11448 2384
+rect 11406 2312 11434 2361
+rect 11706 2312 11734 2711
+rect 12006 2463 12034 3061
+rect 12306 2813 12334 3512
+rect 12606 3163 12634 3512
+rect 12592 3140 12648 3163
+rect 12592 3061 12648 3084
+rect 12292 2790 12348 2813
+rect 12292 2711 12348 2734
+rect 11992 2440 12048 2463
+rect 11992 2361 12048 2384
+rect 12006 2312 12034 2361
+rect 12306 2312 12334 2711
+rect 12606 2463 12634 3061
+rect 12906 2813 12934 3512
+rect 12992 3470 13044 3476
+rect 13096 3262 13124 3674
+rect 13084 3256 13136 3262
+rect 13084 3198 13136 3204
+rect 13206 3163 13234 3512
+rect 13280 3466 13308 5034
+rect 13482 4924 14046 4944
+rect 13482 4922 13496 4924
+rect 13552 4922 13576 4924
+rect 13632 4922 13656 4924
+rect 13712 4922 13736 4924
+rect 13792 4922 13816 4924
+rect 13872 4922 13896 4924
+rect 13952 4922 13976 4924
+rect 14032 4922 14046 4924
+rect 13726 4870 13736 4922
+rect 13792 4870 13802 4922
+rect 13482 4868 13496 4870
+rect 13552 4868 13576 4870
+rect 13632 4868 13656 4870
+rect 13712 4868 13736 4870
+rect 13792 4868 13816 4870
+rect 13872 4868 13896 4870
+rect 13952 4868 13976 4870
+rect 14032 4868 14046 4870
+rect 13482 4848 14046 4868
+rect 13636 4616 13688 4622
+rect 13634 4584 13636 4593
+rect 13688 4584 13690 4593
+rect 13360 4548 13412 4554
+rect 13634 4519 13690 4528
+rect 13360 4490 13412 4496
+rect 13372 4049 13400 4490
+rect 13636 4480 13688 4486
+rect 13636 4422 13688 4428
+rect 14004 4480 14056 4486
+rect 14108 4468 14136 5170
+rect 14188 5092 14240 5098
+rect 14188 5034 14240 5040
+rect 14056 4440 14136 4468
+rect 14004 4422 14056 4428
+rect 13648 4214 13676 4422
+rect 14200 4400 14228 5034
+rect 14292 4865 14320 5306
+rect 14278 4856 14334 4865
+rect 14278 4791 14334 4800
+rect 14384 4758 14412 6258
+rect 14556 5704 14608 5710
+rect 14556 5646 14608 5652
+rect 15476 5704 15528 5710
+rect 15476 5646 15528 5652
+rect 14464 5568 14516 5574
+rect 14464 5510 14516 5516
+rect 14476 5370 14504 5510
+rect 14464 5364 14516 5370
+rect 14464 5306 14516 5312
+rect 14568 5302 14596 5646
+rect 14814 5468 15378 5488
+rect 14814 5466 14828 5468
+rect 14884 5466 14908 5468
+rect 14964 5466 14988 5468
+rect 15044 5466 15068 5468
+rect 15124 5466 15148 5468
+rect 15204 5466 15228 5468
+rect 15284 5466 15308 5468
+rect 15364 5466 15378 5468
+rect 15058 5414 15068 5466
+rect 15124 5414 15134 5466
+rect 14814 5412 14828 5414
+rect 14884 5412 14908 5414
+rect 14964 5412 14988 5414
+rect 15044 5412 15068 5414
+rect 15124 5412 15148 5414
+rect 15204 5412 15228 5414
+rect 15284 5412 15308 5414
+rect 15364 5412 15378 5414
+rect 14814 5392 15378 5412
+rect 14556 5296 14608 5302
+rect 14556 5238 14608 5244
+rect 15200 5296 15252 5302
+rect 15200 5238 15252 5244
+rect 15384 5296 15436 5302
+rect 15384 5238 15436 5244
+rect 14464 5228 14516 5234
+rect 14464 5170 14516 5176
+rect 14372 4752 14424 4758
+rect 14372 4694 14424 4700
+rect 14476 4486 14504 5170
+rect 14556 5092 14608 5098
+rect 14556 5034 14608 5040
+rect 14648 5092 14700 5098
+rect 14648 5034 14700 5040
+rect 14568 4758 14596 5034
+rect 14556 4752 14608 4758
+rect 14556 4694 14608 4700
+rect 14660 4622 14688 5034
+rect 14648 4616 14700 4622
+rect 14648 4558 14700 4564
+rect 14556 4548 14608 4554
+rect 14556 4490 14608 4496
+rect 14464 4480 14516 4486
+rect 14108 4372 14228 4400
+rect 14278 4448 14334 4457
+rect 14464 4422 14516 4428
+rect 14278 4383 14334 4392
+rect 13636 4208 13688 4214
+rect 13636 4150 13688 4156
+rect 14004 4208 14056 4214
+rect 14108 4196 14136 4372
+rect 14186 4312 14242 4321
+rect 14186 4247 14188 4256
+rect 14240 4247 14242 4256
+rect 14188 4218 14240 4224
+rect 14056 4168 14136 4196
+rect 14004 4150 14056 4156
+rect 13452 4140 13504 4146
+rect 13504 4100 13584 4128
+rect 13452 4082 13504 4088
+rect 13556 4049 13584 4100
+rect 14200 4078 14228 4218
+rect 14292 4214 14320 4383
+rect 14280 4208 14332 4214
+rect 14280 4150 14332 4156
+rect 14004 4072 14056 4078
+rect 13358 4040 13414 4049
+rect 13358 3975 13414 3984
+rect 13542 4040 13598 4049
+rect 14188 4072 14240 4078
+rect 14056 4032 14136 4060
+rect 14004 4014 14056 4020
+rect 13542 3975 13598 3984
+rect 13372 3942 13400 3975
+rect 13360 3936 13412 3942
+rect 13360 3878 13412 3884
+rect 13482 3836 14046 3856
+rect 13482 3834 13496 3836
+rect 13552 3834 13576 3836
+rect 13632 3834 13656 3836
+rect 13712 3834 13736 3836
+rect 13792 3834 13816 3836
+rect 13872 3834 13896 3836
+rect 13952 3834 13976 3836
+rect 14032 3834 14046 3836
+rect 13726 3782 13736 3834
+rect 13792 3782 13802 3834
+rect 13482 3780 13496 3782
+rect 13552 3780 13576 3782
+rect 13632 3780 13656 3782
+rect 13712 3780 13736 3782
+rect 13792 3780 13816 3782
+rect 13872 3780 13896 3782
+rect 13952 3780 13976 3782
+rect 14032 3780 14046 3782
+rect 13482 3760 14046 3780
+rect 14108 3670 14136 4032
+rect 14188 4014 14240 4020
+rect 14188 3936 14240 3942
+rect 14186 3904 14188 3913
+rect 14240 3904 14242 3913
+rect 14186 3839 14242 3848
+rect 13728 3664 13780 3670
+rect 13728 3606 13780 3612
+rect 14096 3664 14148 3670
+rect 14096 3606 14148 3612
+rect 13268 3460 13320 3466
+rect 13268 3402 13320 3408
+rect 13740 3262 13768 3606
+rect 14476 3602 14504 4422
+rect 14568 4185 14596 4490
+rect 14740 4480 14792 4486
+rect 15212 4468 15240 5238
+rect 15292 5024 15344 5030
+rect 15292 4966 15344 4972
+rect 15304 4593 15332 4966
+rect 15396 4729 15424 5238
+rect 15382 4720 15438 4729
+rect 15382 4655 15438 4664
+rect 15384 4616 15436 4622
+rect 15290 4584 15346 4593
+rect 15384 4558 15436 4564
+rect 15290 4519 15346 4528
+rect 15396 4468 15424 4558
+rect 15212 4440 15424 4468
+rect 14740 4422 14792 4428
+rect 14752 4264 14780 4422
+rect 14814 4380 15378 4400
+rect 14814 4378 14828 4380
+rect 14884 4378 14908 4380
+rect 14964 4378 14988 4380
+rect 15044 4378 15068 4380
+rect 15124 4378 15148 4380
+rect 15204 4378 15228 4380
+rect 15284 4378 15308 4380
+rect 15364 4378 15378 4380
+rect 15058 4326 15068 4378
+rect 15124 4326 15134 4378
+rect 14814 4324 14828 4326
+rect 14884 4324 14908 4326
+rect 14964 4324 14988 4326
+rect 15044 4324 15068 4326
+rect 15124 4324 15148 4326
+rect 15204 4324 15228 4326
+rect 15284 4324 15308 4326
+rect 15364 4324 15378 4326
+rect 14814 4304 15378 4324
+rect 14752 4236 14872 4264
+rect 14554 4176 14610 4185
+rect 14554 4111 14610 4120
+rect 14464 3596 14516 3602
+rect 14464 3538 14516 3544
+rect 13728 3256 13780 3262
+rect 13728 3198 13780 3204
+rect 13822 3163 13850 3512
+rect 13192 3140 13248 3163
+rect 13192 3061 13248 3084
+rect 13808 3140 13864 3163
+rect 13808 3061 13864 3084
+rect 12892 2790 12948 2813
+rect 12892 2711 12948 2734
+rect 12592 2440 12648 2463
+rect 12592 2361 12648 2384
+rect 12606 2312 12634 2361
+rect 12906 2312 12934 2711
+rect 13206 2463 13234 3061
+rect 13636 2984 13688 2990
+rect 13636 2926 13688 2932
+rect 13192 2440 13248 2463
+rect 13192 2361 13248 2384
+rect 13206 2312 13234 2361
+rect 10980 1414 11192 1442
+rect 11164 800 11192 1414
+rect 13648 800 13676 2926
+rect 13822 2463 13850 3061
+rect 14122 2813 14150 3512
+rect 14422 3163 14450 3512
+rect 14556 3392 14608 3398
+rect 14556 3334 14608 3340
+rect 14408 3140 14464 3163
+rect 14408 3061 14464 3084
+rect 14108 2790 14164 2813
+rect 14108 2711 14164 2734
+rect 13808 2440 13864 2463
+rect 13808 2361 13864 2384
+rect 13822 2312 13850 2361
+rect 14122 2312 14150 2711
+rect 14422 2463 14450 3061
+rect 14568 2755 14596 3334
+rect 14722 2813 14750 3512
+rect 14844 3076 14872 4236
+rect 15488 4078 15516 5646
+rect 15580 5302 15608 7686
+rect 17479 7644 18043 7664
+rect 17479 7642 17493 7644
+rect 17549 7642 17573 7644
+rect 17629 7642 17653 7644
+rect 17709 7642 17733 7644
+rect 17789 7642 17813 7644
+rect 17869 7642 17893 7644
+rect 17949 7642 17973 7644
+rect 18029 7642 18043 7644
+rect 17723 7590 17733 7642
+rect 17789 7590 17799 7642
+rect 17479 7588 17493 7590
+rect 17549 7588 17573 7590
+rect 17629 7588 17653 7590
+rect 17709 7588 17733 7590
+rect 17789 7588 17813 7590
+rect 17869 7588 17893 7590
+rect 17949 7588 17973 7590
+rect 18029 7588 18043 7590
+rect 17479 7568 18043 7588
+rect 17224 7200 17276 7206
+rect 17224 7142 17276 7148
+rect 16147 7100 16711 7120
+rect 16147 7098 16161 7100
+rect 16217 7098 16241 7100
+rect 16297 7098 16321 7100
+rect 16377 7098 16401 7100
+rect 16457 7098 16481 7100
+rect 16537 7098 16561 7100
+rect 16617 7098 16641 7100
+rect 16697 7098 16711 7100
+rect 16391 7046 16401 7098
+rect 16457 7046 16467 7098
+rect 16147 7044 16161 7046
+rect 16217 7044 16241 7046
+rect 16297 7044 16321 7046
+rect 16377 7044 16401 7046
+rect 16457 7044 16481 7046
+rect 16537 7044 16561 7046
+rect 16617 7044 16641 7046
+rect 16697 7044 16711 7046
+rect 16147 7024 16711 7044
+rect 17236 6934 17264 7142
+rect 18812 7100 19376 7120
+rect 18812 7098 18826 7100
+rect 18882 7098 18906 7100
+rect 18962 7098 18986 7100
+rect 19042 7098 19066 7100
+rect 19122 7098 19146 7100
+rect 19202 7098 19226 7100
+rect 19282 7098 19306 7100
+rect 19362 7098 19376 7100
+rect 19056 7046 19066 7098
+rect 19122 7046 19132 7098
+rect 18812 7044 18826 7046
+rect 18882 7044 18906 7046
+rect 18962 7044 18986 7046
+rect 19042 7044 19066 7046
+rect 19122 7044 19146 7046
+rect 19202 7044 19226 7046
+rect 19282 7044 19306 7046
+rect 19362 7044 19376 7046
+rect 18812 7024 19376 7044
+rect 17224 6928 17276 6934
+rect 17224 6870 17276 6876
+rect 18144 6792 18196 6798
+rect 18144 6734 18196 6740
+rect 17479 6556 18043 6576
+rect 17479 6554 17493 6556
+rect 17549 6554 17573 6556
+rect 17629 6554 17653 6556
+rect 17709 6554 17733 6556
+rect 17789 6554 17813 6556
+rect 17869 6554 17893 6556
+rect 17949 6554 17973 6556
+rect 18029 6554 18043 6556
+rect 17723 6502 17733 6554
+rect 17789 6502 17799 6554
+rect 17479 6500 17493 6502
+rect 17549 6500 17573 6502
+rect 17629 6500 17653 6502
+rect 17709 6500 17733 6502
+rect 17789 6500 17813 6502
+rect 17869 6500 17893 6502
+rect 17949 6500 17973 6502
+rect 18029 6500 18043 6502
+rect 17479 6480 18043 6500
+rect 15844 6452 15896 6458
+rect 15844 6394 15896 6400
+rect 15856 5914 15884 6394
+rect 17224 6384 17276 6390
+rect 17224 6326 17276 6332
+rect 16856 6180 16908 6186
+rect 16856 6122 16908 6128
+rect 16147 6012 16711 6032
+rect 16147 6010 16161 6012
+rect 16217 6010 16241 6012
+rect 16297 6010 16321 6012
+rect 16377 6010 16401 6012
+rect 16457 6010 16481 6012
+rect 16537 6010 16561 6012
+rect 16617 6010 16641 6012
+rect 16697 6010 16711 6012
+rect 16391 5958 16401 6010
+rect 16457 5958 16467 6010
+rect 16147 5956 16161 5958
+rect 16217 5956 16241 5958
+rect 16297 5956 16321 5958
+rect 16377 5956 16401 5958
+rect 16457 5956 16481 5958
+rect 16537 5956 16561 5958
+rect 16617 5956 16641 5958
+rect 16697 5956 16711 5958
+rect 16147 5936 16711 5956
+rect 15844 5908 15896 5914
+rect 15844 5850 15896 5856
+rect 15752 5704 15804 5710
+rect 15752 5646 15804 5652
+rect 15660 5568 15712 5574
+rect 15660 5510 15712 5516
+rect 15568 5296 15620 5302
+rect 15568 5238 15620 5244
+rect 15566 5128 15622 5137
+rect 15566 5063 15622 5072
+rect 15580 5030 15608 5063
+rect 15568 5024 15620 5030
+rect 15568 4966 15620 4972
+rect 15568 4752 15620 4758
+rect 15568 4694 15620 4700
+rect 15476 4072 15528 4078
+rect 15476 4014 15528 4020
+rect 15580 3942 15608 4694
+rect 15568 3936 15620 3942
+rect 15568 3878 15620 3884
+rect 15672 3738 15700 5510
+rect 15764 4826 15792 5646
+rect 15856 5574 15884 5850
+rect 15936 5840 15988 5846
+rect 15936 5782 15988 5788
+rect 16026 5808 16082 5817
+rect 15844 5568 15896 5574
+rect 15844 5510 15896 5516
+rect 15948 5234 15976 5782
+rect 16026 5743 16082 5752
+rect 16488 5772 16540 5778
+rect 16040 5642 16068 5743
+rect 16488 5714 16540 5720
+rect 16028 5636 16080 5642
+rect 16028 5578 16080 5584
+rect 15936 5228 15988 5234
+rect 15936 5170 15988 5176
+rect 15752 4820 15804 4826
+rect 15752 4762 15804 4768
+rect 15844 4684 15896 4690
+rect 15844 4626 15896 4632
+rect 15752 4072 15804 4078
+rect 15752 4014 15804 4020
+rect 15764 3913 15792 4014
+rect 15750 3904 15806 3913
+rect 15750 3839 15806 3848
+rect 15660 3732 15712 3738
+rect 15660 3674 15712 3680
+rect 15856 3602 15884 4626
+rect 16040 4282 16068 5578
+rect 16304 5568 16356 5574
+rect 16304 5510 16356 5516
+rect 16316 5234 16344 5510
+rect 16500 5370 16528 5714
+rect 16868 5710 16896 6122
+rect 16948 5908 17000 5914
+rect 16948 5850 17000 5856
+rect 16856 5704 16908 5710
+rect 16776 5664 16856 5692
+rect 16488 5364 16540 5370
+rect 16488 5306 16540 5312
+rect 16304 5228 16356 5234
+rect 16304 5170 16356 5176
+rect 16776 5166 16804 5664
+rect 16856 5646 16908 5652
+rect 16856 5568 16908 5574
+rect 16856 5510 16908 5516
+rect 16764 5160 16816 5166
+rect 16764 5102 16816 5108
+rect 16147 4924 16711 4944
+rect 16147 4922 16161 4924
+rect 16217 4922 16241 4924
+rect 16297 4922 16321 4924
+rect 16377 4922 16401 4924
+rect 16457 4922 16481 4924
+rect 16537 4922 16561 4924
+rect 16617 4922 16641 4924
+rect 16697 4922 16711 4924
+rect 16391 4870 16401 4922
+rect 16457 4870 16467 4922
+rect 16147 4868 16161 4870
+rect 16217 4868 16241 4870
+rect 16297 4868 16321 4870
+rect 16377 4868 16401 4870
+rect 16457 4868 16481 4870
+rect 16537 4868 16561 4870
+rect 16617 4868 16641 4870
+rect 16697 4868 16711 4870
+rect 16147 4848 16711 4868
+rect 16776 4826 16804 5102
+rect 16764 4820 16816 4826
+rect 16764 4762 16816 4768
+rect 16868 4690 16896 5510
+rect 16856 4684 16908 4690
+rect 16856 4626 16908 4632
+rect 16028 4276 16080 4282
+rect 16028 4218 16080 4224
+rect 16868 4214 16896 4626
+rect 16960 4622 16988 5850
+rect 17236 5710 17264 6326
+rect 17408 6316 17460 6322
+rect 17408 6258 17460 6264
+rect 17224 5704 17276 5710
+rect 17130 5672 17186 5681
+rect 17276 5664 17356 5692
+rect 17224 5646 17276 5652
+rect 17130 5607 17186 5616
+rect 17144 4758 17172 5607
+rect 17224 5568 17276 5574
+rect 17224 5510 17276 5516
+rect 17132 4752 17184 4758
+rect 17038 4720 17094 4729
+rect 17132 4694 17184 4700
+rect 17038 4655 17094 4664
+rect 16948 4616 17000 4622
+rect 16948 4558 17000 4564
+rect 16856 4208 16908 4214
+rect 16856 4150 16908 4156
+rect 16147 3836 16711 3856
+rect 16147 3834 16161 3836
+rect 16217 3834 16241 3836
+rect 16297 3834 16321 3836
+rect 16377 3834 16401 3836
+rect 16457 3834 16481 3836
+rect 16537 3834 16561 3836
+rect 16617 3834 16641 3836
+rect 16697 3834 16711 3836
+rect 16391 3782 16401 3834
+rect 16457 3782 16467 3834
+rect 16147 3780 16161 3782
+rect 16217 3780 16241 3782
+rect 16297 3780 16321 3782
+rect 16377 3780 16401 3782
+rect 16457 3780 16481 3782
+rect 16537 3780 16561 3782
+rect 16617 3780 16641 3782
+rect 16697 3780 16711 3782
+rect 16147 3760 16711 3780
+rect 15844 3596 15896 3602
+rect 15844 3538 15896 3544
+rect 14924 3528 14976 3534
+rect 14924 3470 14976 3476
+rect 14936 3330 14964 3470
+rect 14924 3324 14976 3330
+rect 14924 3266 14976 3272
+rect 15022 3208 15050 3512
+rect 15010 3183 15062 3208
+rect 15008 3140 15010 3163
+rect 15062 3140 15064 3163
+rect 14832 3070 14884 3076
+rect 15008 3061 15064 3084
+rect 14832 3012 14884 3018
+rect 14708 2790 14764 2813
+rect 14556 2749 14608 2755
+rect 14708 2711 14764 2734
+rect 14556 2691 14608 2697
+rect 14722 2660 14750 2711
+rect 14710 2634 14762 2660
+rect 14710 2556 14762 2582
+rect 14408 2440 14464 2463
+rect 14408 2361 14464 2384
+rect 14422 2312 14450 2361
+rect 14722 2312 14750 2556
+rect 15022 2463 15050 3061
+rect 15322 2813 15350 3512
+rect 15622 3163 15650 3512
+rect 15608 3140 15664 3163
+rect 15608 3061 15664 3084
+rect 15308 2790 15364 2813
+rect 15308 2711 15364 2734
+rect 15322 2660 15350 2711
+rect 15310 2634 15362 2660
+rect 15310 2556 15362 2582
+rect 15008 2440 15064 2463
+rect 15008 2361 15064 2384
+rect 15022 2312 15050 2361
+rect 15322 2312 15350 2556
+rect 15622 2463 15650 3061
+rect 15922 2813 15950 3512
+rect 16222 3163 16250 3512
+rect 16304 3256 16356 3262
+rect 16304 3198 16356 3204
+rect 16208 3140 16264 3163
+rect 16208 3061 16264 3084
+rect 15908 2790 15964 2813
+rect 15752 2780 15804 2786
+rect 15752 2722 15804 2728
+rect 15608 2440 15664 2463
+rect 15608 2361 15664 2384
+rect 15622 2312 15650 2361
+rect 15764 1358 15792 2722
+rect 15908 2711 15964 2734
+rect 15922 2312 15950 2711
+rect 16222 2463 16250 3061
+rect 16208 2440 16264 2463
+rect 16208 2361 16264 2384
+rect 16222 2312 16250 2361
+rect 16316 1714 16344 3198
+rect 16522 2813 16550 3512
+rect 16822 3163 16850 3512
+rect 16808 3140 16864 3163
+rect 16808 3061 16864 3084
+rect 16508 2790 16564 2813
+rect 16508 2711 16564 2734
+rect 16522 2312 16550 2711
+rect 16822 2463 16850 3061
+rect 16808 2440 16864 2463
+rect 16808 2361 16864 2384
+rect 16822 2312 16850 2361
+rect 16224 1686 16344 1714
+rect 15752 1352 15804 1358
+rect 15752 1294 15804 1300
+rect 16224 800 16252 1686
+rect 17052 1562 17080 4655
+rect 17236 4622 17264 5510
+rect 17224 4616 17276 4622
+rect 17224 4558 17276 4564
+rect 17328 4078 17356 5664
+rect 17420 4554 17448 6258
+rect 18156 5817 18184 6734
+rect 18420 6452 18472 6458
+rect 18420 6394 18472 6400
+rect 18142 5808 18198 5817
+rect 18142 5743 18198 5752
+rect 18156 5642 18184 5743
+rect 18432 5642 18460 6394
+rect 18512 6248 18564 6254
+rect 18512 6190 18564 6196
+rect 18524 5914 18552 6190
+rect 18604 6180 18656 6186
+rect 18604 6122 18656 6128
+rect 18512 5908 18564 5914
+rect 18512 5850 18564 5856
+rect 18616 5710 18644 6122
+rect 18696 6112 18748 6118
+rect 18696 6054 18748 6060
+rect 18604 5704 18656 5710
+rect 18604 5646 18656 5652
+rect 18144 5636 18196 5642
+rect 18144 5578 18196 5584
+rect 18420 5636 18472 5642
+rect 18420 5578 18472 5584
+rect 18616 5574 18644 5646
+rect 18604 5568 18656 5574
+rect 18604 5510 18656 5516
+rect 17479 5468 18043 5488
+rect 17479 5466 17493 5468
+rect 17549 5466 17573 5468
+rect 17629 5466 17653 5468
+rect 17709 5466 17733 5468
+rect 17789 5466 17813 5468
+rect 17869 5466 17893 5468
+rect 17949 5466 17973 5468
+rect 18029 5466 18043 5468
+rect 17723 5414 17733 5466
+rect 17789 5414 17799 5466
+rect 17479 5412 17493 5414
+rect 17549 5412 17573 5414
+rect 17629 5412 17653 5414
+rect 17709 5412 17733 5414
+rect 17789 5412 17813 5414
+rect 17869 5412 17893 5414
+rect 17949 5412 17973 5414
+rect 18029 5412 18043 5414
+rect 17479 5392 18043 5412
+rect 18038 4795 18066 5144
+rect 18024 4772 18080 4795
+rect 18024 4693 18080 4716
+rect 17408 4548 17460 4554
+rect 17408 4490 17460 4496
+rect 18038 4095 18066 4693
+rect 18338 4445 18366 5144
+rect 18638 4795 18666 5144
+rect 18624 4772 18680 4795
+rect 18624 4693 18680 4716
+rect 18324 4422 18380 4445
+rect 18324 4343 18380 4366
+rect 17316 4072 17368 4078
+rect 17592 4072 17644 4078
+rect 17316 4014 17368 4020
+rect 17590 4040 17592 4049
+rect 18024 4072 18080 4095
+rect 17644 4040 17646 4049
+rect 18024 3993 18080 4016
+rect 17590 3975 17646 3984
+rect 18038 3944 18066 3993
+rect 18338 3944 18366 4343
+rect 18638 4095 18666 4693
+rect 18624 4072 18680 4095
+rect 18624 3993 18680 4016
+rect 18638 3944 18666 3993
+rect 17122 2813 17150 3512
+rect 17422 3163 17450 3512
+rect 18038 3163 18066 3512
+rect 17408 3140 17464 3163
+rect 17408 3061 17464 3084
+rect 18024 3140 18080 3163
+rect 18024 3061 18080 3084
+rect 17108 2790 17164 2813
+rect 17108 2711 17164 2734
+rect 17122 2312 17150 2711
+rect 17422 2463 17450 3061
+rect 18038 2463 18066 3061
+rect 18338 2813 18366 3512
+rect 18638 3163 18666 3512
+rect 18624 3140 18680 3163
+rect 18624 3061 18680 3084
+rect 18324 2790 18380 2813
+rect 18324 2711 18380 2734
+rect 17408 2440 17464 2463
+rect 17408 2361 17464 2384
+rect 18024 2440 18080 2463
+rect 18024 2361 18080 2384
+rect 17422 2312 17450 2361
+rect 18038 2312 18066 2361
+rect 18338 2312 18366 2711
+rect 18638 2463 18666 3061
+rect 18708 2752 18736 6054
+rect 18812 6012 19376 6032
+rect 18812 6010 18826 6012
+rect 18882 6010 18906 6012
+rect 18962 6010 18986 6012
+rect 19042 6010 19066 6012
+rect 19122 6010 19146 6012
+rect 19202 6010 19226 6012
+rect 19282 6010 19306 6012
+rect 19362 6010 19376 6012
+rect 19056 5958 19066 6010
+rect 19122 5958 19132 6010
+rect 18812 5956 18826 5958
+rect 18882 5956 18906 5958
+rect 18962 5956 18986 5958
+rect 19042 5956 19066 5958
+rect 19122 5956 19146 5958
+rect 19202 5956 19226 5958
+rect 19282 5956 19306 5958
+rect 19362 5956 19376 5958
+rect 18812 5936 19376 5956
+rect 18788 5840 18840 5846
+rect 18788 5782 18840 5788
+rect 18800 3806 18828 5782
+rect 18972 5704 19024 5710
+rect 19616 5704 19668 5710
+rect 19024 5664 19616 5692
+rect 18972 5646 19024 5652
+rect 19616 5646 19668 5652
+rect 19294 5568 19346 5574
+rect 19616 5568 19668 5574
+rect 19346 5528 19616 5556
+rect 19294 5510 19346 5516
+rect 19616 5510 19668 5516
+rect 18938 4445 18966 5144
+rect 19238 4840 19266 5144
+rect 19226 4815 19278 4840
+rect 19224 4772 19226 4795
+rect 19278 4772 19280 4795
+rect 19224 4693 19280 4716
+rect 18924 4422 18980 4445
+rect 18924 4343 18980 4366
+rect 18938 4292 18966 4343
+rect 18926 4266 18978 4292
+rect 18926 4188 18978 4214
+rect 18938 3944 18966 4188
+rect 19238 4095 19266 4693
+rect 19340 4616 19392 4622
+rect 19340 4558 19392 4564
+rect 19224 4072 19280 4095
+rect 19224 3993 19280 4016
+rect 19238 3944 19266 3993
+rect 19064 3868 19116 3874
+rect 19064 3810 19116 3816
+rect 18788 3800 18840 3806
+rect 18788 3742 18840 3748
+rect 18938 2813 18966 3512
+rect 19076 3076 19104 3810
+rect 19238 3208 19266 3512
+rect 19226 3183 19278 3208
+rect 19224 3140 19226 3163
+rect 19278 3140 19280 3163
+rect 19064 3070 19116 3076
+rect 19224 3061 19280 3084
+rect 19064 3012 19116 3018
+rect 18924 2790 18980 2813
+rect 18696 2746 18748 2752
+rect 18924 2711 18980 2734
+rect 18696 2688 18748 2694
+rect 18938 2660 18966 2711
+rect 18926 2634 18978 2660
+rect 18926 2556 18978 2582
+rect 18624 2440 18680 2463
+rect 18624 2361 18680 2384
+rect 18638 2312 18666 2361
+rect 18938 2312 18966 2556
+rect 19238 2463 19266 3061
+rect 19352 2922 19380 4558
+rect 19538 4445 19566 5144
+rect 19838 4795 19866 5144
+rect 19824 4772 19880 4795
+rect 19824 4693 19880 4716
+rect 19524 4422 19580 4445
+rect 19432 4412 19484 4418
+rect 19432 4354 19484 4360
+rect 19340 2916 19392 2922
+rect 19340 2858 19392 2864
+rect 19444 2514 19472 4354
+rect 19524 4343 19580 4366
+rect 19538 4292 19566 4343
+rect 19526 4266 19578 4292
+rect 19526 4188 19578 4214
+rect 19538 3944 19566 4188
+rect 19838 4095 19866 4693
+rect 19996 4622 20024 9200
+rect 37094 8800 37150 8809
+rect 37094 8735 37150 8744
+rect 27160 8220 27212 8226
+rect 27160 8162 27212 8168
+rect 22652 7812 22704 7818
+rect 22652 7754 22704 7760
+rect 20144 7644 20708 7664
+rect 20144 7642 20158 7644
+rect 20214 7642 20238 7644
+rect 20294 7642 20318 7644
+rect 20374 7642 20398 7644
+rect 20454 7642 20478 7644
+rect 20534 7642 20558 7644
+rect 20614 7642 20638 7644
+rect 20694 7642 20708 7644
+rect 20388 7590 20398 7642
+rect 20454 7590 20464 7642
+rect 20144 7588 20158 7590
+rect 20214 7588 20238 7590
+rect 20294 7588 20318 7590
+rect 20374 7588 20398 7590
+rect 20454 7588 20478 7590
+rect 20534 7588 20558 7590
+rect 20614 7588 20638 7590
+rect 20694 7588 20708 7590
+rect 20144 7568 20708 7588
+rect 20076 7472 20128 7478
+rect 20076 7414 20128 7420
+rect 20088 5642 20116 7414
+rect 21088 7404 21140 7410
+rect 21088 7346 21140 7352
+rect 20144 6556 20708 6576
+rect 20144 6554 20158 6556
+rect 20214 6554 20238 6556
+rect 20294 6554 20318 6556
+rect 20374 6554 20398 6556
+rect 20454 6554 20478 6556
+rect 20534 6554 20558 6556
+rect 20614 6554 20638 6556
+rect 20694 6554 20708 6556
+rect 20388 6502 20398 6554
+rect 20454 6502 20464 6554
+rect 20144 6500 20158 6502
+rect 20214 6500 20238 6502
+rect 20294 6500 20318 6502
+rect 20374 6500 20398 6502
+rect 20454 6500 20478 6502
+rect 20534 6500 20558 6502
+rect 20614 6500 20638 6502
+rect 20694 6500 20708 6502
+rect 20144 6480 20708 6500
+rect 20996 6384 21048 6390
+rect 20996 6326 21048 6332
+rect 20442 6216 20498 6225
+rect 20442 6151 20498 6160
+rect 20456 5710 20484 6151
+rect 21008 5914 21036 6326
+rect 21100 6118 21128 7346
+rect 21477 7100 22041 7120
+rect 21477 7098 21491 7100
+rect 21547 7098 21571 7100
+rect 21627 7098 21651 7100
+rect 21707 7098 21731 7100
+rect 21787 7098 21811 7100
+rect 21867 7098 21891 7100
+rect 21947 7098 21971 7100
+rect 22027 7098 22041 7100
+rect 21721 7046 21731 7098
+rect 21787 7046 21797 7098
+rect 21477 7044 21491 7046
+rect 21547 7044 21571 7046
+rect 21627 7044 21651 7046
+rect 21707 7044 21731 7046
+rect 21787 7044 21811 7046
+rect 21867 7044 21891 7046
+rect 21947 7044 21971 7046
+rect 22027 7044 22041 7046
+rect 21477 7024 22041 7044
+rect 22468 6996 22520 7002
+rect 22468 6938 22520 6944
+rect 21362 6760 21418 6769
+rect 21362 6695 21418 6704
+rect 21088 6112 21140 6118
+rect 21088 6054 21140 6060
+rect 20812 5908 20864 5914
+rect 20812 5850 20864 5856
+rect 20996 5908 21048 5914
+rect 20996 5850 21048 5856
+rect 20444 5704 20496 5710
+rect 20442 5672 20444 5681
+rect 20496 5672 20498 5681
+rect 20076 5636 20128 5642
+rect 20442 5607 20498 5616
+rect 20076 5578 20128 5584
+rect 20144 5468 20708 5488
+rect 20144 5466 20158 5468
+rect 20214 5466 20238 5468
+rect 20294 5466 20318 5468
+rect 20374 5466 20398 5468
+rect 20454 5466 20478 5468
+rect 20534 5466 20558 5468
+rect 20614 5466 20638 5468
+rect 20694 5466 20708 5468
+rect 20388 5414 20398 5466
+rect 20454 5414 20464 5466
+rect 20144 5412 20158 5414
+rect 20214 5412 20238 5414
+rect 20294 5412 20318 5414
+rect 20374 5412 20398 5414
+rect 20454 5412 20478 5414
+rect 20534 5412 20558 5414
+rect 20614 5412 20638 5414
+rect 20694 5412 20708 5414
+rect 20144 5392 20708 5412
+rect 19984 4616 20036 4622
+rect 19984 4558 20036 4564
+rect 20138 4445 20166 5144
+rect 20438 4795 20466 5144
+rect 20424 4772 20480 4795
+rect 20424 4693 20480 4716
+rect 20124 4422 20180 4445
+rect 20124 4343 20180 4366
+rect 19824 4072 19880 4095
+rect 19824 3993 19880 4016
+rect 19838 3944 19866 3993
+rect 20138 3944 20166 4343
+rect 20438 4095 20466 4693
+rect 20738 4445 20766 5144
+rect 20724 4422 20780 4445
+rect 20724 4343 20780 4366
+rect 20424 4072 20480 4095
+rect 20424 3993 20480 4016
+rect 20438 3944 20466 3993
+rect 20738 3944 20766 4343
+rect 19538 2813 19566 3512
+rect 19838 3163 19866 3512
+rect 19824 3140 19880 3163
+rect 19824 3061 19880 3084
+rect 19524 2790 19580 2813
+rect 19524 2711 19580 2734
+rect 19616 2780 19668 2786
+rect 19616 2722 19668 2728
+rect 19538 2660 19566 2711
+rect 19526 2634 19578 2660
+rect 19526 2556 19578 2582
+rect 19432 2508 19484 2514
+rect 19224 2440 19280 2463
+rect 19432 2450 19484 2456
+rect 19224 2361 19280 2384
+rect 19238 2312 19266 2361
+rect 19538 2312 19566 2556
+rect 17040 1556 17092 1562
+rect 17040 1498 17092 1504
+rect 18696 1284 18748 1290
+rect 18696 1226 18748 1232
+rect 18708 800 18736 1226
+rect 10600 672 10652 678
+rect 10600 614 10652 620
+rect 11150 0 11206 800
+rect 13634 0 13690 800
+rect 16210 0 16266 800
+rect 18694 0 18750 800
+rect 19628 134 19656 2722
+rect 19838 2463 19866 3061
+rect 20138 2813 20166 3512
+rect 20438 3163 20466 3512
+rect 20424 3140 20480 3163
+rect 20424 3061 20480 3084
+rect 20124 2790 20180 2813
+rect 20124 2711 20180 2734
+rect 19824 2440 19880 2463
+rect 19824 2361 19880 2384
+rect 19838 2312 19866 2361
+rect 20138 2312 20166 2711
+rect 20438 2463 20466 3061
+rect 20738 2813 20766 3512
+rect 20824 3058 20852 5850
+rect 21100 5710 21128 6054
+rect 21088 5704 21140 5710
+rect 21088 5646 21140 5652
+rect 21376 5574 21404 6695
+rect 21477 6012 22041 6032
+rect 21477 6010 21491 6012
+rect 21547 6010 21571 6012
+rect 21627 6010 21651 6012
+rect 21707 6010 21731 6012
+rect 21787 6010 21811 6012
+rect 21867 6010 21891 6012
+rect 21947 6010 21971 6012
+rect 22027 6010 22041 6012
+rect 21721 5958 21731 6010
+rect 21787 5958 21797 6010
+rect 21477 5956 21491 5958
+rect 21547 5956 21571 5958
+rect 21627 5956 21651 5958
+rect 21707 5956 21731 5958
+rect 21787 5956 21811 5958
+rect 21867 5956 21891 5958
+rect 21947 5956 21971 5958
+rect 22027 5956 22041 5958
+rect 21477 5936 22041 5956
+rect 21456 5704 21508 5710
+rect 21456 5646 21508 5652
+rect 22100 5704 22152 5710
+rect 22100 5646 22152 5652
+rect 21364 5568 21416 5574
+rect 21364 5510 21416 5516
+rect 21468 5302 21496 5646
+rect 21456 5296 21508 5302
+rect 21456 5238 21508 5244
+rect 21038 4795 21066 5144
+rect 21024 4772 21080 4795
+rect 21024 4693 21080 4716
+rect 21038 4095 21066 4693
+rect 21338 4445 21366 5144
+rect 21638 4795 21666 5144
+rect 21624 4772 21680 4795
+rect 21624 4693 21680 4716
+rect 21324 4422 21380 4445
+rect 21324 4343 21380 4366
+rect 21024 4072 21080 4095
+rect 21024 3993 21080 4016
+rect 21038 3944 21066 3993
+rect 21338 3944 21366 4343
+rect 21638 4095 21666 4693
+rect 21624 4072 21680 4095
+rect 21624 3993 21680 4016
+rect 21638 3944 21666 3993
+rect 22112 3670 22140 5646
+rect 22254 4795 22282 5144
+rect 22240 4772 22296 4795
+rect 22240 4693 22296 4716
+rect 22254 4095 22282 4693
+rect 22480 4690 22508 6938
+rect 22664 5914 22692 7754
+rect 26608 7744 26660 7750
+rect 26608 7686 26660 7692
+rect 22809 7644 23373 7664
+rect 22809 7642 22823 7644
+rect 22879 7642 22903 7644
+rect 22959 7642 22983 7644
+rect 23039 7642 23063 7644
+rect 23119 7642 23143 7644
+rect 23199 7642 23223 7644
+rect 23279 7642 23303 7644
+rect 23359 7642 23373 7644
+rect 23053 7590 23063 7642
+rect 23119 7590 23129 7642
+rect 22809 7588 22823 7590
+rect 22879 7588 22903 7590
+rect 22959 7588 22983 7590
+rect 23039 7588 23063 7590
+rect 23119 7588 23143 7590
+rect 23199 7588 23223 7590
+rect 23279 7588 23303 7590
+rect 23359 7588 23373 7590
+rect 22809 7568 23373 7588
+rect 25474 7644 26038 7664
+rect 25474 7642 25488 7644
+rect 25544 7642 25568 7644
+rect 25624 7642 25648 7644
+rect 25704 7642 25728 7644
+rect 25784 7642 25808 7644
+rect 25864 7642 25888 7644
+rect 25944 7642 25968 7644
+rect 26024 7642 26038 7644
+rect 25718 7590 25728 7642
+rect 25784 7590 25794 7642
+rect 25474 7588 25488 7590
+rect 25544 7588 25568 7590
+rect 25624 7588 25648 7590
+rect 25704 7588 25728 7590
+rect 25784 7588 25808 7590
+rect 25864 7588 25888 7590
+rect 25944 7588 25968 7590
+rect 26024 7588 26038 7590
+rect 25474 7568 26038 7588
+rect 26148 7336 26200 7342
+rect 26148 7278 26200 7284
+rect 23480 7268 23532 7274
+rect 23480 7210 23532 7216
+rect 22809 6556 23373 6576
+rect 22809 6554 22823 6556
+rect 22879 6554 22903 6556
+rect 22959 6554 22983 6556
+rect 23039 6554 23063 6556
+rect 23119 6554 23143 6556
+rect 23199 6554 23223 6556
+rect 23279 6554 23303 6556
+rect 23359 6554 23373 6556
+rect 23053 6502 23063 6554
+rect 23119 6502 23129 6554
+rect 22809 6500 22823 6502
+rect 22879 6500 22903 6502
+rect 22959 6500 22983 6502
+rect 23039 6500 23063 6502
+rect 23119 6500 23143 6502
+rect 23199 6500 23223 6502
+rect 23279 6500 23303 6502
+rect 23359 6500 23373 6502
+rect 22809 6480 23373 6500
+rect 23492 6440 23520 7210
+rect 24142 7100 24706 7120
+rect 24142 7098 24156 7100
+rect 24212 7098 24236 7100
+rect 24292 7098 24316 7100
+rect 24372 7098 24396 7100
+rect 24452 7098 24476 7100
+rect 24532 7098 24556 7100
+rect 24612 7098 24636 7100
+rect 24692 7098 24706 7100
+rect 24386 7046 24396 7098
+rect 24452 7046 24462 7098
+rect 24142 7044 24156 7046
+rect 24212 7044 24236 7046
+rect 24292 7044 24316 7046
+rect 24372 7044 24396 7046
+rect 24452 7044 24476 7046
+rect 24532 7044 24556 7046
+rect 24612 7044 24636 7046
+rect 24692 7044 24706 7046
+rect 24142 7024 24706 7044
+rect 25320 6996 25372 7002
+rect 25320 6938 25372 6944
+rect 23848 6792 23900 6798
+rect 23848 6734 23900 6740
+rect 23940 6792 23992 6798
+rect 23940 6734 23992 6740
+rect 25228 6792 25280 6798
+rect 25228 6734 25280 6740
+rect 23400 6412 23520 6440
+rect 22836 6180 22888 6186
+rect 22836 6122 22888 6128
+rect 22848 5914 22876 6122
+rect 23204 6112 23256 6118
+rect 23204 6054 23256 6060
+rect 22652 5908 22704 5914
+rect 22652 5850 22704 5856
+rect 22836 5908 22888 5914
+rect 22836 5850 22888 5856
+rect 22848 5778 22876 5850
+rect 23112 5840 23164 5846
+rect 23112 5782 23164 5788
+rect 22836 5772 22888 5778
+rect 22836 5714 22888 5720
+rect 23124 5710 23152 5782
+rect 23216 5778 23244 6054
+rect 23400 5846 23428 6412
+rect 23664 6316 23716 6322
+rect 23664 6258 23716 6264
+rect 23480 6180 23532 6186
+rect 23480 6122 23532 6128
+rect 23388 5840 23440 5846
+rect 23388 5782 23440 5788
+rect 23204 5772 23256 5778
+rect 23204 5714 23256 5720
+rect 22652 5704 22704 5710
+rect 23112 5704 23164 5710
+rect 22652 5646 22704 5652
+rect 22468 4684 22520 4690
+rect 22468 4626 22520 4632
+rect 22554 4445 22582 5144
+rect 22664 4486 22692 5646
+rect 22848 5642 23060 5658
+rect 23216 5681 23244 5714
+rect 23388 5704 23440 5710
+rect 23112 5646 23164 5652
+rect 23202 5672 23258 5681
+rect 22836 5636 23072 5642
+rect 22888 5630 23020 5636
+rect 22836 5578 22888 5584
+rect 23492 5692 23520 6122
+rect 23676 5914 23704 6258
+rect 23664 5908 23716 5914
+rect 23664 5850 23716 5856
+rect 23440 5664 23520 5692
+rect 23388 5646 23440 5652
+rect 23202 5607 23258 5616
+rect 23020 5578 23072 5584
+rect 22809 5468 23373 5488
+rect 22809 5466 22823 5468
+rect 22879 5466 22903 5468
+rect 22959 5466 22983 5468
+rect 23039 5466 23063 5468
+rect 23119 5466 23143 5468
+rect 23199 5466 23223 5468
+rect 23279 5466 23303 5468
+rect 23359 5466 23373 5468
+rect 23053 5414 23063 5466
+rect 23119 5414 23129 5466
+rect 22809 5412 22823 5414
+rect 22879 5412 22903 5414
+rect 22959 5412 22983 5414
+rect 23039 5412 23063 5414
+rect 23119 5412 23143 5414
+rect 23199 5412 23223 5414
+rect 23279 5412 23303 5414
+rect 23359 5412 23373 5414
+rect 22809 5392 23373 5412
+rect 23492 5302 23520 5664
+rect 23676 5545 23704 5850
+rect 23860 5817 23888 6734
+rect 23846 5808 23902 5817
+rect 23846 5743 23902 5752
+rect 23860 5710 23888 5743
+rect 23848 5704 23900 5710
+rect 23848 5646 23900 5652
+rect 23662 5536 23718 5545
+rect 23662 5471 23718 5480
+rect 23480 5296 23532 5302
+rect 23480 5238 23532 5244
+rect 22854 4795 22882 5144
+rect 22840 4772 22896 4795
+rect 22840 4693 22896 4716
+rect 22652 4480 22704 4486
+rect 22540 4422 22596 4445
+rect 22652 4422 22704 4428
+rect 22540 4343 22596 4366
+rect 22240 4072 22296 4095
+rect 22240 3993 22296 4016
+rect 22254 3944 22282 3993
+rect 22554 3944 22582 4343
+rect 22854 4095 22882 4693
+rect 22928 4480 22980 4486
+rect 23154 4445 23182 5144
+rect 23454 4840 23482 5144
+rect 23676 5030 23704 5471
+rect 23664 5024 23716 5030
+rect 23664 4966 23716 4972
+rect 23442 4815 23494 4840
+rect 23440 4772 23442 4795
+rect 23494 4772 23496 4795
+rect 23440 4693 23496 4716
+rect 22928 4422 22980 4428
+rect 23140 4422 23196 4445
+rect 22840 4072 22896 4095
+rect 22840 3993 22896 4016
+rect 22854 3944 22882 3993
+rect 22100 3664 22152 3670
+rect 22100 3606 22152 3612
+rect 21038 3163 21066 3512
+rect 21180 3392 21232 3398
+rect 21180 3334 21232 3340
+rect 21024 3140 21080 3163
+rect 21024 3061 21080 3084
+rect 20812 3052 20864 3058
+rect 20812 2994 20864 3000
+rect 20724 2790 20780 2813
+rect 20724 2711 20780 2734
+rect 20424 2440 20480 2463
+rect 20424 2361 20480 2384
+rect 20438 2312 20466 2361
+rect 20738 2312 20766 2711
+rect 21038 2463 21066 3061
+rect 21024 2440 21080 2463
+rect 21024 2361 21080 2384
+rect 21038 2312 21066 2361
+rect 21192 800 21220 3334
+rect 21338 2813 21366 3512
+rect 21638 3163 21666 3512
+rect 22254 3163 22282 3512
+rect 21624 3140 21680 3163
+rect 21624 3061 21680 3084
+rect 22240 3140 22296 3163
+rect 22240 3061 22296 3084
+rect 21324 2790 21380 2813
+rect 21324 2711 21380 2734
+rect 21338 2312 21366 2711
+rect 21638 2463 21666 3061
+rect 22254 2463 22282 3061
+rect 22554 2813 22582 3512
+rect 22652 3324 22704 3330
+rect 22652 3266 22704 3272
+rect 22744 3324 22796 3330
+rect 22744 3266 22796 3272
+rect 22664 2922 22692 3266
+rect 22756 2990 22784 3266
+rect 22854 3163 22882 3512
+rect 22840 3140 22896 3163
+rect 22840 3061 22896 3084
+rect 22744 2984 22796 2990
+rect 22744 2926 22796 2932
+rect 22652 2916 22704 2922
+rect 22652 2858 22704 2864
+rect 22540 2790 22596 2813
+rect 22540 2711 22596 2734
+rect 21624 2440 21680 2463
+rect 21624 2361 21680 2384
+rect 22240 2440 22296 2463
+rect 22240 2361 22296 2384
+rect 21638 2312 21666 2361
+rect 22254 2312 22282 2361
+rect 22554 2312 22582 2711
+rect 22854 2463 22882 3061
+rect 22940 2854 22968 4422
+rect 23020 4378 23072 4384
+rect 23140 4343 23196 4366
+rect 23020 4320 23072 4326
+rect 22928 2848 22980 2854
+rect 22928 2790 22980 2796
+rect 22928 2746 22980 2752
+rect 22928 2688 22980 2694
+rect 22840 2440 22896 2463
+rect 22840 2361 22896 2384
+rect 22854 2312 22882 2361
+rect 22940 1562 22968 2688
+rect 23032 2446 23060 4320
+rect 23154 4292 23182 4343
+rect 23142 4266 23194 4292
+rect 23142 4188 23194 4214
+rect 23154 3944 23182 4188
+rect 23454 4095 23482 4693
+rect 23754 4445 23782 5144
+rect 23740 4422 23796 4445
+rect 23740 4343 23796 4366
+rect 23848 4412 23900 4418
+rect 23848 4354 23900 4360
+rect 23754 4292 23782 4343
+rect 23742 4266 23794 4292
+rect 23742 4188 23794 4214
+rect 23440 4072 23496 4095
+rect 23440 3993 23496 4016
+rect 23454 3944 23482 3993
+rect 23754 3944 23782 4188
+rect 23204 3800 23256 3806
+rect 23204 3742 23256 3748
+rect 23154 2813 23182 3512
+rect 23216 3076 23244 3742
+rect 23454 3208 23482 3512
+rect 23442 3183 23494 3208
+rect 23440 3140 23442 3163
+rect 23494 3140 23496 3163
+rect 23216 3070 23276 3076
+rect 23216 3030 23224 3070
+rect 23440 3061 23496 3084
+rect 23224 3012 23276 3018
+rect 23140 2790 23196 2813
+rect 23140 2711 23196 2734
+rect 23154 2660 23182 2711
+rect 23142 2634 23194 2660
+rect 23142 2556 23194 2582
+rect 23020 2440 23072 2446
+rect 23020 2382 23072 2388
+rect 23154 2312 23182 2556
+rect 23454 2463 23482 3061
+rect 23754 2813 23782 3512
+rect 23860 3330 23888 4354
+rect 23952 4146 23980 6734
+rect 24400 6724 24452 6730
+rect 24400 6666 24452 6672
+rect 24412 6390 24440 6666
+rect 24676 6452 24728 6458
+rect 25044 6452 25096 6458
+rect 24728 6412 24808 6440
+rect 24676 6394 24728 6400
+rect 24400 6384 24452 6390
+rect 24400 6326 24452 6332
+rect 24032 6248 24084 6254
+rect 24032 6190 24084 6196
+rect 24044 5914 24072 6190
+rect 24142 6012 24706 6032
+rect 24142 6010 24156 6012
+rect 24212 6010 24236 6012
+rect 24292 6010 24316 6012
+rect 24372 6010 24396 6012
+rect 24452 6010 24476 6012
+rect 24532 6010 24556 6012
+rect 24612 6010 24636 6012
+rect 24692 6010 24706 6012
+rect 24386 5958 24396 6010
+rect 24452 5958 24462 6010
+rect 24142 5956 24156 5958
+rect 24212 5956 24236 5958
+rect 24292 5956 24316 5958
+rect 24372 5956 24396 5958
+rect 24452 5956 24476 5958
+rect 24532 5956 24556 5958
+rect 24612 5956 24636 5958
+rect 24692 5956 24706 5958
+rect 24142 5936 24706 5956
+rect 24032 5908 24084 5914
+rect 24032 5850 24084 5856
+rect 24780 5846 24808 6412
+rect 25044 6394 25096 6400
+rect 25056 6322 25084 6394
+rect 25044 6316 25096 6322
+rect 25044 6258 25096 6264
+rect 24952 6112 25004 6118
+rect 24952 6054 25004 6060
+rect 24858 5944 24914 5953
+rect 24858 5879 24914 5888
+rect 24768 5840 24820 5846
+rect 24768 5782 24820 5788
+rect 24872 5692 24900 5879
+rect 24964 5710 24992 6054
+rect 25056 5710 25084 6258
+rect 25134 5944 25190 5953
+rect 25134 5879 25190 5888
+rect 25148 5846 25176 5879
+rect 25136 5840 25188 5846
+rect 25136 5782 25188 5788
+rect 24780 5664 24900 5692
+rect 24952 5704 25004 5710
+rect 24492 5636 24544 5642
+rect 24492 5578 24544 5584
+rect 24504 5370 24532 5578
+rect 24492 5364 24544 5370
+rect 24492 5306 24544 5312
+rect 24054 4795 24082 5144
+rect 24040 4772 24096 4795
+rect 24040 4693 24096 4716
+rect 23940 4140 23992 4146
+rect 24054 4095 24082 4693
+rect 24354 4445 24382 5144
+rect 24340 4422 24396 4445
+rect 24340 4343 24396 4366
+rect 23940 4082 23992 4088
+rect 24040 4072 24096 4095
+rect 24040 3993 24096 4016
+rect 24054 3944 24082 3993
+rect 24354 3944 24382 4343
+rect 24504 4078 24532 5306
+rect 24654 4795 24682 5144
+rect 24640 4772 24696 4795
+rect 24640 4693 24696 4716
+rect 24654 4095 24682 4693
+rect 24492 4072 24544 4078
+rect 24492 4014 24544 4020
+rect 24640 4072 24696 4095
+rect 24640 3993 24696 4016
+rect 24654 3944 24682 3993
+rect 24780 3874 24808 5664
+rect 24952 5646 25004 5652
+rect 25044 5704 25096 5710
+rect 25044 5646 25096 5652
+rect 25134 5672 25190 5681
+rect 25134 5607 25190 5616
+rect 25148 5574 25176 5607
+rect 24952 5568 25004 5574
+rect 25136 5568 25188 5574
+rect 25004 5528 25136 5556
+rect 24952 5510 25004 5516
+rect 25136 5510 25188 5516
+rect 25240 5273 25268 6734
+rect 25332 6254 25360 6938
+rect 25474 6556 26038 6576
+rect 25474 6554 25488 6556
+rect 25544 6554 25568 6556
+rect 25624 6554 25648 6556
+rect 25704 6554 25728 6556
+rect 25784 6554 25808 6556
+rect 25864 6554 25888 6556
+rect 25944 6554 25968 6556
+rect 26024 6554 26038 6556
+rect 25718 6502 25728 6554
+rect 25784 6502 25794 6554
+rect 25474 6500 25488 6502
+rect 25544 6500 25568 6502
+rect 25624 6500 25648 6502
+rect 25704 6500 25728 6502
+rect 25784 6500 25808 6502
+rect 25864 6500 25888 6502
+rect 25944 6500 25968 6502
+rect 26024 6500 26038 6502
+rect 25474 6480 26038 6500
+rect 25320 6248 25372 6254
+rect 25688 6248 25740 6254
+rect 25320 6190 25372 6196
+rect 25608 6208 25688 6236
+rect 25332 5574 25360 6190
+rect 25504 6180 25556 6186
+rect 25424 6140 25504 6168
+rect 25424 5710 25452 6140
+rect 25504 6122 25556 6128
+rect 25504 5772 25556 5778
+rect 25608 5760 25636 6208
+rect 25688 6190 25740 6196
+rect 26056 6112 26108 6118
+rect 26056 6054 26108 6060
+rect 25780 5908 25832 5914
+rect 25780 5850 25832 5856
+rect 25792 5817 25820 5850
+rect 25556 5732 25636 5760
+rect 25778 5808 25834 5817
+rect 25778 5743 25834 5752
+rect 25504 5714 25556 5720
+rect 25412 5704 25464 5710
+rect 25412 5646 25464 5652
+rect 25502 5672 25558 5681
+rect 25502 5607 25504 5616
+rect 25556 5607 25558 5616
+rect 25504 5578 25556 5584
+rect 25320 5568 25372 5574
+rect 25320 5510 25372 5516
+rect 25474 5468 26038 5488
+rect 25474 5466 25488 5468
+rect 25544 5466 25568 5468
+rect 25624 5466 25648 5468
+rect 25704 5466 25728 5468
+rect 25784 5466 25808 5468
+rect 25864 5466 25888 5468
+rect 25944 5466 25968 5468
+rect 26024 5466 26038 5468
+rect 25718 5414 25728 5466
+rect 25784 5414 25794 5466
+rect 25474 5412 25488 5414
+rect 25544 5412 25568 5414
+rect 25624 5412 25648 5414
+rect 25704 5412 25728 5414
+rect 25784 5412 25808 5414
+rect 25864 5412 25888 5414
+rect 25944 5412 25968 5414
+rect 26024 5412 26038 5414
+rect 25474 5392 26038 5412
+rect 25226 5264 25282 5273
+rect 25226 5199 25282 5208
+rect 24954 4445 24982 5144
+rect 25254 4795 25282 5144
+rect 25240 4772 25296 4795
+rect 25240 4693 25296 4716
+rect 24940 4422 24996 4445
+rect 24940 4343 24996 4366
+rect 24954 3944 24982 4343
+rect 25254 4095 25282 4693
+rect 25554 4445 25582 5144
+rect 25854 4795 25882 5144
+rect 25840 4772 25896 4795
+rect 25840 4693 25896 4716
+rect 25540 4422 25596 4445
+rect 25540 4343 25596 4366
+rect 25240 4072 25296 4095
+rect 25240 3993 25296 4016
+rect 25254 3944 25282 3993
+rect 25554 3944 25582 4343
+rect 25854 4095 25882 4693
+rect 25840 4072 25896 4095
+rect 25840 3993 25896 4016
+rect 25854 3944 25882 3993
+rect 24768 3868 24820 3874
+rect 24768 3810 24820 3816
+rect 23848 3324 23900 3330
+rect 23848 3266 23900 3272
+rect 24054 3163 24082 3512
+rect 24040 3140 24096 3163
+rect 24040 3061 24096 3084
+rect 23740 2790 23796 2813
+rect 23740 2711 23796 2734
+rect 23754 2660 23782 2711
+rect 23742 2634 23794 2660
+rect 23742 2556 23794 2582
+rect 23440 2440 23496 2463
+rect 23440 2361 23496 2384
+rect 23454 2312 23482 2361
+rect 23754 2312 23782 2556
+rect 24054 2463 24082 3061
+rect 24354 2813 24382 3512
+rect 24654 3163 24682 3512
+rect 24640 3140 24696 3163
+rect 24640 3061 24696 3084
+rect 24340 2790 24396 2813
+rect 24340 2711 24396 2734
+rect 24040 2440 24096 2463
+rect 24040 2361 24096 2384
+rect 24054 2312 24082 2361
+rect 24354 2312 24382 2711
+rect 24654 2463 24682 3061
+rect 24954 2813 24982 3512
+rect 25254 3163 25282 3512
+rect 25240 3140 25296 3163
+rect 25240 3061 25296 3084
+rect 24940 2790 24996 2813
+rect 24940 2711 24996 2734
+rect 24640 2440 24696 2463
+rect 24640 2361 24696 2384
+rect 24654 2312 24682 2361
+rect 24954 2312 24982 2711
+rect 25254 2463 25282 3061
+rect 25554 2813 25582 3512
+rect 25854 3163 25882 3512
+rect 25840 3140 25896 3163
+rect 25840 3061 25896 3084
+rect 25540 2790 25596 2813
+rect 25540 2711 25596 2734
+rect 25240 2440 25296 2463
+rect 25240 2361 25296 2384
+rect 25254 2312 25282 2361
+rect 25554 2312 25582 2711
+rect 25854 2463 25882 3061
+rect 26068 2786 26096 6054
+rect 26160 5914 26188 7278
+rect 26240 6860 26292 6866
+rect 26240 6802 26292 6808
+rect 26252 6186 26280 6802
+rect 26330 6624 26386 6633
+rect 26330 6559 26386 6568
+rect 26240 6180 26292 6186
+rect 26240 6122 26292 6128
+rect 26148 5908 26200 5914
+rect 26148 5850 26200 5856
+rect 26344 5370 26372 6559
+rect 26470 6427 26498 6776
+rect 26456 6404 26512 6427
+rect 26456 6325 26512 6348
+rect 26470 5727 26498 6325
+rect 26620 6322 26648 7686
+rect 27172 7478 27200 8162
+rect 27896 8084 27948 8090
+rect 27896 8026 27948 8032
+rect 31392 8084 31444 8090
+rect 31392 8026 31444 8032
+rect 27908 7546 27936 8026
+rect 29092 7880 29144 7886
+rect 29092 7822 29144 7828
+rect 29644 7880 29696 7886
+rect 29644 7822 29696 7828
+rect 28816 7812 28868 7818
+rect 28816 7754 28868 7760
+rect 28139 7644 28703 7664
+rect 28139 7642 28153 7644
+rect 28209 7642 28233 7644
+rect 28289 7642 28313 7644
+rect 28369 7642 28393 7644
+rect 28449 7642 28473 7644
+rect 28529 7642 28553 7644
+rect 28609 7642 28633 7644
+rect 28689 7642 28703 7644
+rect 28383 7590 28393 7642
+rect 28449 7590 28459 7642
+rect 28139 7588 28153 7590
+rect 28209 7588 28233 7590
+rect 28289 7588 28313 7590
+rect 28369 7588 28393 7590
+rect 28449 7588 28473 7590
+rect 28529 7588 28553 7590
+rect 28609 7588 28633 7590
+rect 28689 7588 28703 7590
+rect 28139 7568 28703 7588
+rect 27896 7540 27948 7546
+rect 27896 7482 27948 7488
+rect 27160 7472 27212 7478
+rect 28828 7434 28856 7754
+rect 29104 7478 29132 7822
+rect 29276 7744 29328 7750
+rect 29276 7686 29328 7692
+rect 29288 7546 29316 7686
+rect 29276 7540 29328 7546
+rect 29276 7482 29328 7488
+rect 29092 7472 29144 7478
+rect 27160 7414 27212 7420
+rect 28816 7428 28868 7434
+rect 28448 7404 28500 7410
+rect 29092 7414 29144 7420
+rect 28816 7370 28868 7376
+rect 28448 7346 28500 7352
+rect 28172 7336 28224 7342
+rect 28172 7278 28224 7284
+rect 27528 7200 27580 7206
+rect 27528 7142 27580 7148
+rect 26807 7100 27371 7120
+rect 26807 7098 26821 7100
+rect 26877 7098 26901 7100
+rect 26957 7098 26981 7100
+rect 27037 7098 27061 7100
+rect 27117 7098 27141 7100
+rect 27197 7098 27221 7100
+rect 27277 7098 27301 7100
+rect 27357 7098 27371 7100
+rect 27051 7046 27061 7098
+rect 27117 7046 27127 7098
+rect 26807 7044 26821 7046
+rect 26877 7044 26901 7046
+rect 26957 7044 26981 7046
+rect 27037 7044 27061 7046
+rect 27117 7044 27141 7046
+rect 27197 7044 27221 7046
+rect 27277 7044 27301 7046
+rect 27357 7044 27371 7046
+rect 26807 7024 27371 7044
+rect 26608 6316 26660 6322
+rect 26608 6258 26660 6264
+rect 26770 6077 26798 6776
+rect 27070 6427 27098 6776
+rect 27056 6404 27112 6427
+rect 27056 6325 27112 6348
+rect 26756 6054 26812 6077
+rect 26756 5975 26812 5998
+rect 26456 5704 26512 5727
+rect 26456 5625 26512 5648
+rect 26470 5576 26498 5625
+rect 26770 5576 26798 5975
+rect 27070 5727 27098 6325
+rect 27370 6077 27398 6776
+rect 27356 6054 27412 6077
+rect 27252 6010 27304 6016
+rect 27356 5975 27412 5998
+rect 27252 5952 27304 5958
+rect 27056 5704 27112 5727
+rect 27056 5625 27112 5648
+rect 27070 5576 27098 5625
+rect 26332 5364 26384 5370
+rect 26332 5306 26384 5312
+rect 26470 4795 26498 5144
+rect 26456 4772 26512 4795
+rect 26456 4693 26512 4716
+rect 26470 4095 26498 4693
+rect 26770 4445 26798 5144
+rect 27070 4795 27098 5144
+rect 27056 4772 27112 4795
+rect 27056 4693 27112 4716
+rect 26756 4422 26812 4445
+rect 26756 4343 26812 4366
+rect 26456 4072 26512 4095
+rect 26456 3993 26512 4016
+rect 26470 3944 26498 3993
+rect 26770 3944 26798 4343
+rect 27070 4095 27098 4693
+rect 27160 4378 27212 4384
+rect 27160 4320 27212 4326
+rect 27056 4072 27112 4095
+rect 27056 3993 27112 4016
+rect 27070 3944 27098 3993
+rect 26470 3163 26498 3512
+rect 26456 3140 26512 3163
+rect 26456 3061 26512 3084
+rect 26148 2984 26200 2990
+rect 26148 2926 26200 2932
+rect 26056 2780 26108 2786
+rect 26056 2722 26108 2728
+rect 25840 2440 25896 2463
+rect 25840 2361 25896 2384
+rect 25854 2312 25882 2361
+rect 22928 1556 22980 1562
+rect 22928 1498 22980 1504
+rect 23664 1352 23716 1358
+rect 23664 1294 23716 1300
+rect 23676 800 23704 1294
+rect 26160 800 26188 2926
+rect 26470 2463 26498 3061
+rect 26770 2813 26798 3512
+rect 27070 3163 27098 3512
+rect 27056 3140 27112 3163
+rect 27056 3061 27112 3084
+rect 26756 2790 26812 2813
+rect 26756 2711 26812 2734
+rect 26456 2440 26512 2463
+rect 26456 2361 26512 2384
+rect 26470 2312 26498 2361
+rect 26770 2312 26798 2711
+rect 27070 2463 27098 3061
+rect 27056 2440 27112 2463
+rect 27056 2361 27112 2384
+rect 27172 2378 27200 4320
+rect 27264 3466 27292 5952
+rect 27370 5924 27398 5975
+rect 27358 5898 27410 5924
+rect 27358 5820 27410 5846
+rect 27370 5576 27398 5820
+rect 27370 4445 27398 5144
+rect 27540 4697 27568 7142
+rect 28184 6798 28212 7278
+rect 28460 7206 28488 7346
+rect 29104 7290 29132 7414
+rect 29656 7410 29684 7822
+rect 30656 7812 30708 7818
+rect 30656 7754 30708 7760
+rect 30564 7744 30616 7750
+rect 30564 7686 30616 7692
+rect 29644 7404 29696 7410
+rect 29644 7346 29696 7352
+rect 30576 7342 30604 7686
+rect 30668 7410 30696 7754
+rect 30804 7644 31368 7664
+rect 30804 7642 30818 7644
+rect 30874 7642 30898 7644
+rect 30954 7642 30978 7644
+rect 31034 7642 31058 7644
+rect 31114 7642 31138 7644
+rect 31194 7642 31218 7644
+rect 31274 7642 31298 7644
+rect 31354 7642 31368 7644
+rect 31048 7590 31058 7642
+rect 31114 7590 31124 7642
+rect 30804 7588 30818 7590
+rect 30874 7588 30898 7590
+rect 30954 7588 30978 7590
+rect 31034 7588 31058 7590
+rect 31114 7588 31138 7590
+rect 31194 7588 31218 7590
+rect 31274 7588 31298 7590
+rect 31354 7588 31368 7590
+rect 30804 7568 31368 7588
+rect 30656 7404 30708 7410
+rect 30656 7346 30708 7352
+rect 31208 7404 31260 7410
+rect 31208 7346 31260 7352
+rect 28920 7262 29132 7290
+rect 29276 7336 29328 7342
+rect 29276 7278 29328 7284
+rect 30380 7336 30432 7342
+rect 30380 7278 30432 7284
+rect 30564 7336 30616 7342
+rect 31220 7313 31248 7346
+rect 30564 7278 30616 7284
+rect 31206 7304 31262 7313
+rect 28920 7206 28948 7262
+rect 28264 7200 28316 7206
+rect 28264 7142 28316 7148
+rect 28448 7200 28500 7206
+rect 28448 7142 28500 7148
+rect 28908 7200 28960 7206
+rect 28908 7142 28960 7148
+rect 28276 7002 28304 7142
+rect 28264 6996 28316 7002
+rect 28264 6938 28316 6944
+rect 28172 6792 28224 6798
+rect 27670 6472 27698 6776
+rect 27658 6447 27710 6472
+rect 27656 6404 27658 6427
+rect 27710 6404 27712 6427
+rect 27656 6325 27712 6348
+rect 27670 5727 27698 6325
+rect 27804 6112 27856 6118
+rect 27970 6077 27998 6776
+rect 28172 6734 28224 6740
+rect 28270 6427 28298 6776
+rect 28460 6730 28488 7142
+rect 28448 6724 28500 6730
+rect 28448 6666 28500 6672
+rect 28256 6404 28312 6427
+rect 28256 6325 28312 6348
+rect 27804 6054 27856 6060
+rect 27956 6054 28012 6077
+rect 27656 5704 27712 5727
+rect 27656 5625 27712 5648
+rect 27670 5576 27698 5625
+rect 27670 4840 27698 5144
+rect 27658 4815 27710 4840
+rect 27656 4772 27658 4795
+rect 27710 4772 27712 4795
+rect 27528 4691 27580 4697
+rect 27656 4693 27712 4716
+rect 27528 4633 27580 4639
+rect 27356 4422 27412 4445
+rect 27356 4343 27412 4366
+rect 27370 4292 27398 4343
+rect 27358 4266 27410 4292
+rect 27358 4188 27410 4214
+rect 27370 3944 27398 4188
+rect 27670 4095 27698 4693
+rect 27816 4486 27844 6054
+rect 27956 5975 28012 5998
+rect 28080 6044 28132 6050
+rect 28080 5986 28132 5992
+rect 27970 5924 27998 5975
+rect 27958 5898 28010 5924
+rect 27958 5820 28010 5846
+rect 27970 5576 27998 5820
+rect 27804 4480 27856 4486
+rect 27970 4445 27998 5144
+rect 27804 4422 27856 4428
+rect 27956 4422 28012 4445
+rect 27656 4072 27712 4095
+rect 27656 3993 27712 4016
+rect 27670 3944 27698 3993
+rect 27252 3460 27304 3466
+rect 27252 3402 27304 3408
+rect 27370 2813 27398 3512
+rect 27670 3208 27698 3512
+rect 27658 3183 27710 3208
+rect 27656 3140 27658 3163
+rect 27710 3140 27712 3163
+rect 27656 3061 27712 3084
+rect 27356 2790 27412 2813
+rect 27356 2711 27412 2734
+rect 27370 2660 27398 2711
+rect 27358 2634 27410 2660
+rect 27358 2556 27410 2582
+rect 27160 2372 27212 2378
+rect 27070 2312 27098 2361
+rect 27160 2314 27212 2320
+rect 27370 2312 27398 2556
+rect 27670 2463 27698 3061
+rect 27816 2854 27844 4422
+rect 27956 4343 28012 4366
+rect 27970 4292 27998 4343
+rect 27958 4266 28010 4292
+rect 27958 4188 28010 4214
+rect 27970 3944 27998 4188
+rect 28092 3534 28120 5986
+rect 28270 5727 28298 6325
+rect 28570 6077 28598 6776
+rect 28870 6427 28898 6776
+rect 28856 6404 28912 6427
+rect 28856 6325 28912 6348
+rect 28556 6054 28612 6077
+rect 28556 5975 28612 5998
+rect 28256 5704 28312 5727
+rect 28256 5625 28312 5648
+rect 28270 5576 28298 5625
+rect 28570 5576 28598 5975
+rect 28870 5727 28898 6325
+rect 29170 6077 29198 6776
+rect 29156 6054 29212 6077
+rect 29156 5975 29212 5998
+rect 28856 5704 28912 5727
+rect 28856 5625 28912 5648
+rect 28870 5576 28898 5625
+rect 29170 5576 29198 5975
+rect 28270 4795 28298 5144
+rect 28256 4772 28312 4795
+rect 28256 4693 28312 4716
+rect 28270 4095 28298 4693
+rect 28570 4445 28598 5144
+rect 28870 4795 28898 5144
+rect 28856 4772 28912 4795
+rect 28856 4693 28912 4716
+rect 28556 4422 28612 4445
+rect 28556 4343 28612 4366
+rect 28256 4072 28312 4095
+rect 28256 3993 28312 4016
+rect 28270 3944 28298 3993
+rect 28570 3944 28598 4343
+rect 28870 4095 28898 4693
+rect 29170 4445 29198 5144
+rect 29156 4422 29212 4445
+rect 29156 4343 29212 4366
+rect 28856 4072 28912 4095
+rect 28856 3993 28912 4016
+rect 28870 3944 28898 3993
+rect 29170 3944 29198 4343
+rect 28080 3528 28132 3534
+rect 27804 2848 27856 2854
+rect 27970 2813 27998 3512
+rect 28080 3470 28132 3476
+rect 28270 3163 28298 3512
+rect 28256 3140 28312 3163
+rect 28256 3061 28312 3084
+rect 27804 2790 27856 2796
+rect 27956 2790 28012 2813
+rect 27956 2711 28012 2734
+rect 28080 2780 28132 2786
+rect 28080 2722 28132 2728
+rect 27970 2660 27998 2711
+rect 27958 2634 28010 2660
+rect 27958 2556 28010 2582
+rect 27656 2440 27712 2463
+rect 27656 2361 27712 2384
+rect 27670 2312 27698 2361
+rect 27970 2312 27998 2556
+rect 19616 128 19668 134
+rect 19616 70 19668 76
+rect 21178 0 21234 800
+rect 23662 0 23718 800
+rect 26146 0 26202 800
+rect 28092 66 28120 2722
+rect 28270 2463 28298 3061
+rect 28570 2813 28598 3512
+rect 28724 3256 28776 3262
+rect 28724 3198 28776 3204
+rect 28556 2790 28612 2813
+rect 28556 2711 28612 2734
+rect 28256 2440 28312 2463
+rect 28256 2361 28312 2384
+rect 28270 2312 28298 2361
+rect 28570 2312 28598 2711
+rect 28736 800 28764 3198
+rect 28870 3163 28898 3512
+rect 28856 3140 28912 3163
+rect 28856 3061 28912 3084
+rect 28870 2463 28898 3061
+rect 29170 2813 29198 3512
+rect 29288 3058 29316 7278
+rect 30288 7268 30340 7274
+rect 30288 7210 30340 7216
+rect 29472 7100 30036 7120
+rect 29472 7098 29486 7100
+rect 29542 7098 29566 7100
+rect 29622 7098 29646 7100
+rect 29702 7098 29726 7100
+rect 29782 7098 29806 7100
+rect 29862 7098 29886 7100
+rect 29942 7098 29966 7100
+rect 30022 7098 30036 7100
+rect 29716 7046 29726 7098
+rect 29782 7046 29792 7098
+rect 29472 7044 29486 7046
+rect 29542 7044 29566 7046
+rect 29622 7044 29646 7046
+rect 29702 7044 29726 7046
+rect 29782 7044 29806 7046
+rect 29862 7044 29886 7046
+rect 29942 7044 29966 7046
+rect 30022 7044 30036 7046
+rect 29472 7024 30036 7044
+rect 29470 6427 29498 6776
+rect 29456 6404 29512 6427
+rect 29456 6325 29512 6348
+rect 29470 5727 29498 6325
+rect 29770 6077 29798 6776
+rect 30070 6427 30098 6776
+rect 30056 6404 30112 6427
+rect 30056 6325 30112 6348
+rect 29756 6054 29812 6077
+rect 29756 5975 29812 5998
+rect 29456 5704 29512 5727
+rect 29456 5625 29512 5648
+rect 29470 5576 29498 5625
+rect 29770 5576 29798 5975
+rect 30070 5727 30098 6325
+rect 30300 6322 30328 7210
+rect 30392 6905 30420 7278
+rect 30748 7268 30800 7274
+rect 31206 7239 31262 7248
+rect 30748 7210 30800 7216
+rect 30564 7200 30616 7206
+rect 30564 7142 30616 7148
+rect 30576 7002 30604 7142
+rect 30564 6996 30616 7002
+rect 30564 6938 30616 6944
+rect 30378 6896 30434 6905
+rect 30378 6831 30434 6840
+rect 30550 6427 30578 6776
+rect 30536 6404 30592 6427
+rect 30536 6325 30592 6348
+rect 30288 6316 30340 6322
+rect 30288 6258 30340 6264
+rect 30550 5727 30578 6325
+rect 30056 5704 30112 5727
+rect 30056 5625 30112 5648
+rect 30536 5704 30592 5727
+rect 30536 5625 30592 5648
+rect 30070 5576 30098 5625
+rect 30550 5576 30578 5625
+rect 29470 4795 29498 5144
+rect 29456 4772 29512 4795
+rect 29456 4693 29512 4716
+rect 29470 4095 29498 4693
+rect 29770 4445 29798 5144
+rect 30070 4795 30098 5144
+rect 30550 4795 30578 5144
+rect 30056 4772 30112 4795
+rect 30056 4693 30112 4716
+rect 30536 4772 30592 4795
+rect 30536 4693 30592 4716
+rect 29756 4422 29812 4445
+rect 29756 4343 29812 4366
+rect 29456 4072 29512 4095
+rect 29456 3993 29512 4016
+rect 29470 3944 29498 3993
+rect 29770 3944 29798 4343
+rect 30070 4095 30098 4693
+rect 30550 4095 30578 4693
+rect 30760 4593 30788 7210
+rect 31116 7200 31168 7206
+rect 31116 7142 31168 7148
+rect 31128 6934 31156 7142
+rect 31024 6928 31076 6934
+rect 31024 6870 31076 6876
+rect 31116 6928 31168 6934
+rect 31404 6882 31432 8026
+rect 36912 8016 36964 8022
+rect 36912 7958 36964 7964
+rect 34980 7880 35032 7886
+rect 34980 7822 35032 7828
+rect 33469 7644 34033 7664
+rect 33469 7642 33483 7644
+rect 33539 7642 33563 7644
+rect 33619 7642 33643 7644
+rect 33699 7642 33723 7644
+rect 33779 7642 33803 7644
+rect 33859 7642 33883 7644
+rect 33939 7642 33963 7644
+rect 34019 7642 34033 7644
+rect 33713 7590 33723 7642
+rect 33779 7590 33789 7642
+rect 33469 7588 33483 7590
+rect 33539 7588 33563 7590
+rect 33619 7588 33643 7590
+rect 33699 7588 33723 7590
+rect 33779 7588 33803 7590
+rect 33859 7588 33883 7590
+rect 33939 7588 33963 7590
+rect 34019 7588 34033 7590
+rect 33469 7568 34033 7588
+rect 31760 7540 31812 7546
+rect 31760 7482 31812 7488
+rect 34428 7540 34480 7546
+rect 34428 7482 34480 7488
+rect 31666 7440 31722 7449
+rect 31666 7375 31668 7384
+rect 31720 7375 31722 7384
+rect 31668 7346 31720 7352
+rect 31772 7274 31800 7482
+rect 31852 7404 31904 7410
+rect 31852 7346 31904 7352
+rect 33140 7404 33192 7410
+rect 33140 7346 33192 7352
+rect 34244 7404 34296 7410
+rect 34244 7346 34296 7352
+rect 31760 7268 31812 7274
+rect 31760 7210 31812 7216
+rect 31576 7200 31628 7206
+rect 31576 7142 31628 7148
+rect 31116 6870 31168 6876
+rect 30850 6077 30878 6776
+rect 30836 6054 30892 6077
+rect 30836 5975 30892 5998
+rect 30850 5576 30878 5975
+rect 30746 4584 30802 4593
+rect 30746 4519 30802 4528
+rect 30850 4445 30878 5144
+rect 31036 4690 31064 6870
+rect 31312 6854 31432 6882
+rect 31150 6427 31178 6776
+rect 31136 6404 31192 6427
+rect 31136 6325 31192 6348
+rect 31150 5727 31178 6325
+rect 31136 5704 31192 5727
+rect 31136 5625 31192 5648
+rect 31150 5576 31178 5625
+rect 31150 4795 31178 5144
+rect 31136 4772 31192 4795
+rect 31136 4693 31192 4716
+rect 31024 4684 31076 4690
+rect 31024 4626 31076 4632
+rect 30836 4422 30892 4445
+rect 30836 4343 30892 4366
+rect 30056 4072 30112 4095
+rect 30056 3993 30112 4016
+rect 30536 4072 30592 4095
+rect 30536 3993 30592 4016
+rect 30070 3944 30098 3993
+rect 30550 3944 30578 3993
+rect 30850 3944 30878 4343
+rect 31150 4095 31178 4693
+rect 31312 4384 31340 6854
+rect 31450 6077 31478 6776
+rect 31436 6054 31492 6077
+rect 31588 6016 31616 7142
+rect 31668 6656 31720 6662
+rect 31668 6598 31720 6604
+rect 31436 5975 31492 5998
+rect 31576 6010 31628 6016
+rect 31450 5924 31478 5975
+rect 31576 5952 31628 5958
+rect 31438 5898 31490 5924
+rect 31438 5820 31490 5846
+rect 31450 5576 31478 5820
+rect 31450 4445 31478 5144
+rect 31436 4422 31492 4445
+rect 31300 4378 31352 4384
+rect 31436 4343 31492 4366
+rect 31300 4320 31352 4326
+rect 31450 4292 31478 4343
+rect 31438 4266 31490 4292
+rect 31438 4188 31490 4214
+rect 31300 4140 31352 4146
+rect 31136 4072 31192 4095
+rect 31300 4082 31352 4088
+rect 31136 3993 31192 4016
+rect 31150 3944 31178 3993
+rect 29470 3163 29498 3512
+rect 29456 3140 29512 3163
+rect 29456 3061 29512 3084
+rect 29276 3052 29328 3058
+rect 29276 2994 29328 3000
+rect 29156 2790 29212 2813
+rect 29156 2711 29212 2734
+rect 28856 2440 28912 2463
+rect 28856 2361 28912 2384
+rect 28870 2312 28898 2361
+rect 29170 2312 29198 2711
+rect 29470 2463 29498 3061
+rect 29770 2813 29798 3512
+rect 30070 3163 30098 3512
+rect 30550 3163 30578 3512
+rect 30056 3140 30112 3163
+rect 30056 3061 30112 3084
+rect 30536 3140 30592 3163
+rect 30536 3061 30592 3084
+rect 29756 2790 29812 2813
+rect 29756 2711 29812 2734
+rect 29456 2440 29512 2463
+rect 29456 2361 29512 2384
+rect 29470 2312 29498 2361
+rect 29770 2312 29798 2711
+rect 30070 2463 30098 3061
+rect 30550 2463 30578 3061
+rect 30850 2813 30878 3512
+rect 31150 3163 31178 3512
+rect 31208 3256 31260 3262
+rect 31208 3198 31260 3204
+rect 31136 3140 31192 3163
+rect 31136 3061 31192 3084
+rect 30836 2790 30892 2813
+rect 30836 2711 30892 2734
+rect 30056 2440 30112 2463
+rect 30056 2361 30112 2384
+rect 30536 2440 30592 2463
+rect 30536 2361 30592 2384
+rect 30070 2312 30098 2361
+rect 30550 2312 30578 2361
+rect 30850 2312 30878 2711
+rect 31150 2463 31178 3061
+rect 31220 2854 31248 3198
+rect 31208 2848 31260 2854
+rect 31208 2790 31260 2796
+rect 31208 2746 31260 2752
+rect 31208 2688 31260 2694
+rect 31136 2440 31192 2463
+rect 31136 2361 31192 2384
+rect 31150 2312 31178 2361
+rect 31220 1426 31248 2688
+rect 31208 1420 31260 1426
+rect 31208 1362 31260 1368
+rect 31312 1034 31340 4082
+rect 31450 3944 31478 4188
+rect 31450 2813 31478 3512
+rect 31436 2790 31492 2813
+rect 31680 2786 31708 6598
+rect 31750 6472 31778 6776
+rect 31864 6769 31892 7346
+rect 32137 7100 32701 7120
+rect 32137 7098 32151 7100
+rect 32207 7098 32231 7100
+rect 32287 7098 32311 7100
+rect 32367 7098 32391 7100
+rect 32447 7098 32471 7100
+rect 32527 7098 32551 7100
+rect 32607 7098 32631 7100
+rect 32687 7098 32701 7100
+rect 32381 7046 32391 7098
+rect 32447 7046 32457 7098
+rect 32137 7044 32151 7046
+rect 32207 7044 32231 7046
+rect 32287 7044 32311 7046
+rect 32367 7044 32391 7046
+rect 32447 7044 32471 7046
+rect 32527 7044 32551 7046
+rect 32607 7044 32631 7046
+rect 32687 7044 32701 7046
+rect 32137 7024 32701 7044
+rect 33152 7002 33180 7346
+rect 34256 7274 34284 7346
+rect 33324 7268 33376 7274
+rect 33324 7210 33376 7216
+rect 34244 7268 34296 7274
+rect 34244 7210 34296 7216
+rect 33140 6996 33192 7002
+rect 33140 6938 33192 6944
+rect 31850 6760 31906 6769
+rect 31850 6695 31906 6704
+rect 31738 6447 31790 6472
+rect 31736 6404 31738 6427
+rect 31790 6404 31792 6427
+rect 31736 6325 31792 6348
+rect 31750 5727 31778 6325
+rect 31852 6112 31904 6118
+rect 32050 6077 32078 6776
+rect 32350 6427 32378 6776
+rect 32336 6404 32392 6427
+rect 32336 6325 32392 6348
+rect 31852 6054 31904 6060
+rect 32036 6054 32092 6077
+rect 31736 5704 31792 5727
+rect 31736 5625 31792 5648
+rect 31750 5576 31778 5625
+rect 31750 4840 31778 5144
+rect 31738 4815 31790 4840
+rect 31736 4772 31738 4795
+rect 31790 4772 31792 4795
+rect 31736 4693 31792 4716
+rect 31750 4095 31778 4693
+rect 31864 4486 31892 6054
+rect 31944 6044 31996 6050
+rect 31944 5986 31996 5992
+rect 31852 4480 31904 4486
+rect 31852 4422 31904 4428
+rect 31736 4072 31792 4095
+rect 31736 3993 31792 4016
+rect 31750 3944 31778 3993
+rect 31750 3208 31778 3512
+rect 31738 3183 31790 3208
+rect 31736 3140 31738 3163
+rect 31790 3140 31792 3163
+rect 31736 3061 31792 3084
+rect 31436 2711 31492 2734
+rect 31668 2780 31720 2786
+rect 31668 2722 31720 2728
+rect 31450 2660 31478 2711
+rect 31438 2634 31490 2660
+rect 31438 2556 31490 2582
+rect 31450 2312 31478 2556
+rect 31750 2463 31778 3061
+rect 31864 2922 31892 4422
+rect 31956 2990 31984 5986
+rect 32036 5975 32092 5998
+rect 32050 5924 32078 5975
+rect 32038 5898 32090 5924
+rect 32038 5820 32090 5846
+rect 32050 5576 32078 5820
+rect 32350 5727 32378 6325
+rect 32650 6077 32678 6776
+rect 32950 6427 32978 6776
+rect 32936 6404 32992 6427
+rect 32936 6325 32992 6348
+rect 32636 6054 32692 6077
+rect 32636 5975 32692 5998
+rect 32336 5704 32392 5727
+rect 32336 5625 32392 5648
+rect 32350 5576 32378 5625
+rect 32650 5576 32678 5975
+rect 32950 5727 32978 6325
+rect 33152 6254 33180 6938
+rect 33140 6248 33192 6254
+rect 33140 6190 33192 6196
+rect 33250 6077 33278 6776
+rect 33236 6054 33292 6077
+rect 33236 5975 33292 5998
+rect 32936 5704 32992 5727
+rect 32936 5625 32992 5648
+rect 32950 5576 32978 5625
+rect 33250 5576 33278 5975
+rect 32050 4445 32078 5144
+rect 32350 4795 32378 5144
+rect 32336 4772 32392 4795
+rect 32336 4693 32392 4716
+rect 32036 4422 32092 4445
+rect 32036 4343 32092 4366
+rect 32128 4412 32180 4418
+rect 32128 4354 32180 4360
+rect 32050 4292 32078 4343
+rect 32038 4266 32090 4292
+rect 32038 4188 32090 4214
+rect 32050 3944 32078 4188
+rect 31944 2984 31996 2990
+rect 31944 2926 31996 2932
+rect 31852 2916 31904 2922
+rect 31852 2858 31904 2864
+rect 32050 2813 32078 3512
+rect 32036 2790 32092 2813
+rect 32036 2711 32092 2734
+rect 32050 2660 32078 2711
+rect 32038 2634 32090 2660
+rect 32038 2556 32090 2582
+rect 31736 2440 31792 2463
+rect 31736 2361 31792 2384
+rect 31750 2312 31778 2361
+rect 32050 2312 32078 2556
+rect 32140 1630 32168 4354
+rect 32350 4095 32378 4693
+rect 32650 4445 32678 5144
+rect 32950 4795 32978 5144
+rect 32936 4772 32992 4795
+rect 32936 4693 32992 4716
+rect 32636 4422 32692 4445
+rect 32636 4343 32692 4366
+rect 32336 4072 32392 4095
+rect 32336 3993 32392 4016
+rect 32350 3944 32378 3993
+rect 32650 3944 32678 4343
+rect 32950 4095 32978 4693
+rect 33250 4445 33278 5144
+rect 33236 4422 33292 4445
+rect 33236 4343 33292 4366
+rect 32936 4072 32992 4095
+rect 32936 3993 32992 4016
+rect 32950 3944 32978 3993
+rect 33250 3944 33278 4343
+rect 32350 3163 32378 3512
+rect 32336 3140 32392 3163
+rect 32336 3061 32392 3084
+rect 32350 2463 32378 3061
+rect 32650 2813 32678 3512
+rect 32950 3163 32978 3512
+rect 32936 3140 32992 3163
+rect 32936 3061 32992 3084
+rect 32636 2790 32692 2813
+rect 32636 2711 32692 2734
+rect 32336 2440 32392 2463
+rect 32336 2361 32392 2384
+rect 32350 2312 32378 2361
+rect 32650 2312 32678 2711
+rect 32950 2463 32978 3061
+rect 33250 2813 33278 3512
+rect 33236 2790 33292 2813
+rect 33236 2711 33292 2734
+rect 32936 2440 32992 2463
+rect 32936 2361 32992 2384
+rect 32950 2312 32978 2361
+rect 33250 2312 33278 2711
+rect 32128 1624 32180 1630
+rect 32128 1566 32180 1572
+rect 33336 1494 33364 7210
+rect 34256 6798 34284 7210
+rect 34244 6792 34296 6798
+rect 33550 6427 33578 6776
+rect 33536 6404 33592 6427
+rect 33536 6325 33592 6348
+rect 33550 5727 33578 6325
+rect 33850 6077 33878 6776
+rect 34150 6427 34178 6776
+rect 34244 6734 34296 6740
+rect 34136 6404 34192 6427
+rect 34136 6325 34192 6348
+rect 33836 6054 33892 6077
+rect 33836 5975 33892 5998
+rect 33536 5704 33592 5727
+rect 33536 5625 33592 5648
+rect 33550 5576 33578 5625
+rect 33850 5576 33878 5975
+rect 34150 5727 34178 6325
+rect 34440 6050 34468 7482
+rect 34992 7478 35020 7822
+rect 36134 7644 36698 7664
+rect 36134 7642 36148 7644
+rect 36204 7642 36228 7644
+rect 36284 7642 36308 7644
+rect 36364 7642 36388 7644
+rect 36444 7642 36468 7644
+rect 36524 7642 36548 7644
+rect 36604 7642 36628 7644
+rect 36684 7642 36698 7644
+rect 36378 7590 36388 7642
+rect 36444 7590 36454 7642
+rect 36134 7588 36148 7590
+rect 36204 7588 36228 7590
+rect 36284 7588 36308 7590
+rect 36364 7588 36388 7590
+rect 36444 7588 36468 7590
+rect 36524 7588 36548 7590
+rect 36604 7588 36628 7590
+rect 36684 7588 36698 7590
+rect 36134 7568 36698 7588
+rect 36924 7546 36952 7958
+rect 35624 7540 35676 7546
+rect 35624 7482 35676 7488
+rect 35716 7540 35768 7546
+rect 35716 7482 35768 7488
+rect 36912 7540 36964 7546
+rect 36912 7482 36964 7488
+rect 34980 7472 35032 7478
+rect 34980 7414 35032 7420
+rect 35532 7472 35584 7478
+rect 35636 7449 35664 7482
+rect 35532 7414 35584 7420
+rect 35622 7440 35678 7449
+rect 34704 7404 34756 7410
+rect 34704 7346 34756 7352
+rect 34888 7404 34940 7410
+rect 34888 7346 34940 7352
+rect 34716 6934 34744 7346
+rect 34900 7313 34928 7346
+rect 35544 7342 35572 7414
+rect 35622 7375 35624 7384
+rect 35676 7375 35678 7384
+rect 35624 7346 35676 7352
+rect 35728 7342 35756 7482
+rect 35900 7472 35952 7478
+rect 37004 7472 37056 7478
+rect 35900 7414 35952 7420
+rect 36450 7440 36506 7449
+rect 35532 7336 35584 7342
+rect 34886 7304 34942 7313
+rect 35716 7336 35768 7342
+rect 35532 7278 35584 7284
+rect 35636 7284 35716 7290
+rect 35636 7278 35768 7284
+rect 34886 7239 34942 7248
+rect 35636 7262 35756 7278
+rect 35808 7268 35860 7274
+rect 35532 7200 35584 7206
+rect 35532 7142 35584 7148
+rect 34802 7100 35366 7120
+rect 34802 7098 34816 7100
+rect 34872 7098 34896 7100
+rect 34952 7098 34976 7100
+rect 35032 7098 35056 7100
+rect 35112 7098 35136 7100
+rect 35192 7098 35216 7100
+rect 35272 7098 35296 7100
+rect 35352 7098 35366 7100
+rect 35046 7046 35056 7098
+rect 35112 7046 35122 7098
+rect 34802 7044 34816 7046
+rect 34872 7044 34896 7046
+rect 34952 7044 34976 7046
+rect 35032 7044 35056 7046
+rect 35112 7044 35136 7046
+rect 35192 7044 35216 7046
+rect 35272 7044 35296 7046
+rect 35352 7044 35366 7046
+rect 34802 7024 35366 7044
+rect 34704 6928 34756 6934
+rect 34704 6870 34756 6876
+rect 34766 6427 34794 6776
+rect 34752 6404 34808 6427
+rect 34752 6325 34808 6348
+rect 34428 6044 34480 6050
+rect 34428 5986 34480 5992
+rect 34766 5727 34794 6325
+rect 35066 6077 35094 6776
+rect 35366 6427 35394 6776
+rect 35352 6404 35408 6427
+rect 35352 6325 35408 6348
+rect 35052 6054 35108 6077
+rect 35052 5975 35108 5998
+rect 34136 5704 34192 5727
+rect 34136 5625 34192 5648
+rect 34752 5704 34808 5727
+rect 34752 5625 34808 5648
+rect 34150 5576 34178 5625
+rect 34766 5576 34794 5625
+rect 35066 5576 35094 5975
+rect 35366 5727 35394 6325
+rect 35440 6112 35492 6118
+rect 35440 6054 35492 6060
+rect 35352 5704 35408 5727
+rect 35352 5625 35408 5648
+rect 35366 5576 35394 5625
+rect 33550 4795 33578 5144
+rect 33536 4772 33592 4795
+rect 33536 4693 33592 4716
+rect 33550 4095 33578 4693
+rect 33850 4445 33878 5144
+rect 34150 4795 34178 5144
+rect 34766 4795 34794 5144
+rect 34136 4772 34192 4795
+rect 34136 4693 34192 4716
+rect 34752 4772 34808 4795
+rect 34752 4693 34808 4716
+rect 33836 4422 33892 4445
+rect 33836 4343 33892 4366
+rect 33536 4072 33592 4095
+rect 33536 3993 33592 4016
+rect 33550 3944 33578 3993
+rect 33850 3944 33878 4343
+rect 34150 4095 34178 4693
+rect 34766 4095 34794 4693
+rect 35066 4445 35094 5144
+rect 35366 4795 35394 5144
+rect 35352 4772 35408 4795
+rect 35352 4693 35408 4716
+rect 35052 4422 35108 4445
+rect 35052 4343 35108 4366
+rect 34136 4072 34192 4095
+rect 34136 3993 34192 4016
+rect 34752 4072 34808 4095
+rect 34752 3993 34808 4016
+rect 34150 3944 34178 3993
+rect 34766 3944 34794 3993
+rect 35066 3944 35094 4343
+rect 35366 4095 35394 4693
+rect 35452 4622 35480 6054
+rect 35544 4708 35572 7142
+rect 35636 6934 35664 7262
+rect 35808 7210 35860 7216
+rect 35716 7200 35768 7206
+rect 35716 7142 35768 7148
+rect 35624 6928 35676 6934
+rect 35624 6870 35676 6876
+rect 35666 6077 35694 6776
+rect 35728 6202 35756 7142
+rect 35820 6340 35848 7210
+rect 35912 7002 35940 7414
+rect 37004 7414 37056 7420
+rect 36450 7375 36452 7384
+rect 36504 7375 36506 7384
+rect 36452 7346 36504 7352
+rect 36084 7268 36136 7274
+rect 36084 7210 36136 7216
+rect 35900 6996 35952 7002
+rect 35900 6938 35952 6944
+rect 35966 6472 35994 6776
+rect 35954 6447 36006 6472
+rect 35952 6404 35954 6427
+rect 36006 6404 36008 6427
+rect 35808 6334 35860 6340
+rect 35952 6325 36008 6348
+rect 35808 6276 35860 6282
+rect 35728 6174 35848 6202
+rect 35652 6054 35708 6077
+rect 35652 5975 35708 5998
+rect 35666 5924 35694 5975
+rect 35654 5898 35706 5924
+rect 35654 5820 35706 5846
+rect 35666 5576 35694 5820
+rect 35532 4702 35584 4708
+rect 35532 4644 35584 4650
+rect 35440 4616 35492 4622
+rect 35440 4558 35492 4564
+rect 35352 4072 35408 4095
+rect 35352 3993 35408 4016
+rect 35366 3944 35394 3993
+rect 33550 3163 33578 3512
+rect 33536 3140 33592 3163
+rect 33536 3061 33592 3084
+rect 33550 2463 33578 3061
+rect 33692 2848 33744 2854
+rect 33850 2813 33878 3512
+rect 34150 3163 34178 3512
+rect 34766 3163 34794 3512
+rect 34136 3140 34192 3163
+rect 34136 3061 34192 3084
+rect 34752 3140 34808 3163
+rect 34752 3061 34808 3084
+rect 33692 2790 33744 2796
+rect 33836 2790 33892 2813
+rect 33536 2440 33592 2463
+rect 33536 2361 33592 2384
+rect 33550 2312 33578 2361
+rect 33324 1488 33376 1494
+rect 33324 1430 33376 1436
+rect 31220 1006 31340 1034
+rect 31220 800 31248 1006
+rect 33704 800 33732 2790
+rect 33836 2711 33892 2734
+rect 33850 2312 33878 2711
+rect 34150 2463 34178 3061
+rect 34766 2463 34794 3061
+rect 35066 2813 35094 3512
+rect 35366 3163 35394 3512
+rect 35352 3140 35408 3163
+rect 35352 3061 35408 3084
+rect 35052 2790 35108 2813
+rect 35052 2711 35108 2734
+rect 34136 2440 34192 2463
+rect 34136 2361 34192 2384
+rect 34752 2440 34808 2463
+rect 34752 2361 34808 2384
+rect 34150 2312 34178 2361
+rect 34766 2312 34794 2361
+rect 35066 2312 35094 2711
+rect 35366 2463 35394 3061
+rect 35452 2990 35480 4558
+rect 35666 4445 35694 5144
+rect 35820 4697 35848 6174
+rect 35966 5727 35994 6325
+rect 35952 5704 36008 5727
+rect 35952 5625 36008 5648
+rect 35966 5576 35994 5625
+rect 35966 4840 35994 5144
+rect 35954 4815 36006 4840
+rect 35952 4772 35954 4795
+rect 36006 4772 36008 4795
+rect 35808 4691 35860 4697
+rect 35952 4693 36008 4716
+rect 35808 4633 35860 4639
+rect 35652 4422 35708 4445
+rect 35652 4343 35708 4366
+rect 35808 4412 35860 4418
+rect 35808 4354 35860 4360
+rect 35666 4292 35694 4343
+rect 35654 4266 35706 4292
+rect 35654 4188 35706 4214
+rect 35666 3944 35694 4188
+rect 35440 2984 35492 2990
+rect 35440 2926 35492 2932
+rect 35666 2813 35694 3512
+rect 35820 3398 35848 4354
+rect 35966 4095 35994 4693
+rect 35952 4072 36008 4095
+rect 35952 3993 36008 4016
+rect 35966 3944 35994 3993
+rect 35808 3392 35860 3398
+rect 35808 3334 35860 3340
+rect 35966 3208 35994 3512
+rect 35954 3183 36006 3208
+rect 35952 3140 35954 3163
+rect 36006 3140 36008 3163
+rect 35952 3061 36008 3084
+rect 35652 2790 35708 2813
+rect 35652 2711 35708 2734
+rect 35666 2660 35694 2711
+rect 35654 2634 35706 2660
+rect 35654 2556 35706 2582
+rect 35352 2440 35408 2463
+rect 35352 2361 35408 2384
+rect 35366 2312 35394 2361
+rect 35666 2312 35694 2556
+rect 35966 2463 35994 3061
+rect 36096 3058 36124 7210
+rect 36266 6077 36294 6776
+rect 36566 6427 36594 6776
+rect 36552 6404 36608 6427
+rect 36552 6325 36608 6348
+rect 36252 6054 36308 6077
+rect 36252 5975 36308 5998
+rect 36360 6044 36412 6050
+rect 36360 5986 36412 5992
+rect 36266 5924 36294 5975
+rect 36254 5898 36306 5924
+rect 36254 5820 36306 5846
+rect 36266 5576 36294 5820
+rect 36266 4445 36294 5144
+rect 36252 4422 36308 4445
+rect 36252 4343 36308 4366
+rect 36266 4292 36294 4343
+rect 36254 4266 36306 4292
+rect 36254 4188 36306 4214
+rect 36266 3944 36294 4188
+rect 36372 3890 36400 5986
+rect 36566 5727 36594 6325
+rect 36866 6077 36894 6776
+rect 36852 6054 36908 6077
+rect 36852 5975 36908 5998
+rect 36552 5704 36608 5727
+rect 36552 5625 36608 5648
+rect 36566 5576 36594 5625
+rect 36866 5576 36894 5975
+rect 36566 4795 36594 5144
+rect 36552 4772 36608 4795
+rect 36552 4693 36608 4716
+rect 36566 4095 36594 4693
+rect 36866 4445 36894 5144
+rect 36852 4422 36908 4445
+rect 36852 4343 36908 4366
+rect 36552 4072 36608 4095
+rect 36552 3993 36608 4016
+rect 36566 3944 36594 3993
+rect 36866 3944 36894 4343
+rect 36188 3862 36400 3890
+rect 36084 3052 36136 3058
+rect 36084 2994 36136 3000
+rect 35952 2440 36008 2463
+rect 35952 2361 36008 2384
+rect 35966 2312 35994 2361
+rect 36188 800 36216 3862
+rect 36266 2813 36294 3512
+rect 36566 3163 36594 3512
+rect 36552 3140 36608 3163
+rect 36552 3061 36608 3084
+rect 36252 2790 36308 2813
+rect 36252 2711 36308 2734
+rect 36266 2660 36294 2711
+rect 36254 2634 36306 2660
+rect 36254 2556 36306 2582
+rect 36266 2312 36294 2556
+rect 36566 2463 36594 3061
+rect 36866 2813 36894 3512
+rect 36852 2790 36908 2813
+rect 36852 2711 36908 2734
+rect 36552 2440 36608 2463
+rect 36552 2361 36608 2384
+rect 36566 2312 36594 2361
+rect 36866 2312 36894 2711
+rect 37016 1329 37044 7414
+rect 37108 7410 37136 8735
+rect 37188 8220 37240 8226
+rect 37188 8162 37240 8168
+rect 37096 7404 37148 7410
+rect 37096 7346 37148 7352
+rect 37200 7206 37228 8162
+rect 37372 7540 37424 7546
+rect 37372 7482 37424 7488
+rect 37280 7268 37332 7274
+rect 37280 7210 37332 7216
+rect 37188 7200 37240 7206
+rect 37188 7142 37240 7148
+rect 37166 6427 37194 6776
+rect 37292 6633 37320 7210
+rect 37278 6624 37334 6633
+rect 37278 6559 37334 6568
+rect 37152 6404 37208 6427
+rect 37152 6325 37208 6348
+rect 37166 5727 37194 6325
+rect 37384 6225 37412 7482
+rect 38200 7404 38252 7410
+rect 38200 7346 38252 7352
+rect 37467 7100 38031 7120
+rect 37467 7098 37481 7100
+rect 37537 7098 37561 7100
+rect 37617 7098 37641 7100
+rect 37697 7098 37721 7100
+rect 37777 7098 37801 7100
+rect 37857 7098 37881 7100
+rect 37937 7098 37961 7100
+rect 38017 7098 38031 7100
+rect 37711 7046 37721 7098
+rect 37777 7046 37787 7098
+rect 37467 7044 37481 7046
+rect 37537 7044 37561 7046
+rect 37617 7044 37641 7046
+rect 37697 7044 37721 7046
+rect 37777 7044 37801 7046
+rect 37857 7044 37881 7046
+rect 37937 7044 37961 7046
+rect 38017 7044 38031 7046
+rect 37467 7024 38031 7044
+rect 37370 6216 37426 6225
+rect 37370 6151 37426 6160
+rect 37466 6077 37494 6776
+rect 37766 6427 37794 6776
+rect 37752 6404 37808 6427
+rect 37752 6325 37808 6348
+rect 37452 6054 37508 6077
+rect 37452 5975 37508 5998
+rect 37152 5704 37208 5727
+rect 37152 5625 37208 5648
+rect 37166 5576 37194 5625
+rect 37466 5576 37494 5975
+rect 37766 5727 37794 6325
+rect 38066 6077 38094 6776
+rect 38052 6054 38108 6077
+rect 38052 5975 38108 5998
+rect 37752 5704 37808 5727
+rect 37752 5625 37808 5648
+rect 37766 5576 37794 5625
+rect 38066 5576 38094 5975
+rect 37166 4795 37194 5144
+rect 37152 4772 37208 4795
+rect 37152 4693 37208 4716
+rect 37166 4095 37194 4693
+rect 37466 4445 37494 5144
+rect 37766 4795 37794 5144
+rect 37752 4772 37808 4795
+rect 37752 4693 37808 4716
+rect 37452 4422 37508 4445
+rect 37452 4343 37508 4366
+rect 37152 4072 37208 4095
+rect 37152 3993 37208 4016
+rect 37166 3944 37194 3993
+rect 37466 3944 37494 4343
+rect 37766 4095 37794 4693
+rect 38066 4445 38094 5144
+rect 38052 4422 38108 4445
+rect 38052 4343 38108 4366
+rect 37752 4072 37808 4095
+rect 37752 3993 37808 4016
+rect 37766 3944 37794 3993
+rect 38066 3944 38094 4343
+rect 38212 3777 38240 7346
+rect 38752 7336 38804 7342
+rect 38752 7278 38804 7284
+rect 38366 6427 38394 6776
+rect 38352 6404 38408 6427
+rect 38764 6361 38792 7278
+rect 38352 6325 38408 6348
+rect 38750 6352 38806 6361
+rect 38366 5727 38394 6325
+rect 38750 6287 38806 6296
+rect 38352 5704 38408 5727
+rect 38352 5625 38408 5648
+rect 38366 5576 38394 5625
+rect 38366 4795 38394 5144
+rect 38352 4772 38408 4795
+rect 38352 4693 38408 4716
+rect 38366 4095 38394 4693
+rect 38352 4072 38408 4095
+rect 38352 3993 38408 4016
+rect 38366 3944 38394 3993
+rect 38198 3768 38254 3777
+rect 38198 3703 38254 3712
+rect 37166 3163 37194 3512
+rect 37152 3140 37208 3163
+rect 37152 3061 37208 3084
+rect 37166 2463 37194 3061
+rect 37466 2813 37494 3512
+rect 37766 3163 37794 3512
+rect 37752 3140 37808 3163
+rect 37752 3061 37808 3084
+rect 37452 2790 37508 2813
+rect 37452 2711 37508 2734
+rect 37152 2440 37208 2463
+rect 37152 2361 37208 2384
+rect 37166 2312 37194 2361
+rect 37466 2312 37494 2711
+rect 37766 2463 37794 3061
+rect 38066 2813 38094 3512
+rect 38366 3163 38394 3512
+rect 38352 3140 38408 3163
+rect 38352 3061 38408 3084
+rect 38052 2790 38108 2813
+rect 38052 2711 38108 2734
+rect 37752 2440 37808 2463
+rect 37752 2361 37808 2384
+rect 37766 2312 37794 2361
+rect 38066 2312 38094 2711
+rect 38366 2463 38394 3061
+rect 38352 2440 38408 2463
+rect 38352 2361 38408 2384
+rect 38366 2312 38394 2361
+rect 37002 1320 37058 1329
+rect 37002 1255 37058 1264
+rect 38580 870 38700 898
+rect 28080 60 28132 66
+rect 28080 2 28132 8
+rect 28722 0 28778 800
+rect 31206 0 31262 800
+rect 33690 0 33746 800
+rect 36174 0 36230 800
+rect 38580 134 38608 870
+rect 38672 800 38700 870
+rect 38568 128 38620 134
+rect 38568 70 38620 76
+rect 38658 0 38714 800
+<< via2 >>
+rect 4168 7642 4224 7644
+rect 4248 7642 4304 7644
+rect 4328 7642 4384 7644
+rect 4408 7642 4464 7644
+rect 4488 7642 4544 7644
+rect 4568 7642 4624 7644
+rect 4648 7642 4704 7644
+rect 4168 7590 4206 7642
+rect 4206 7590 4218 7642
+rect 4218 7590 4224 7642
+rect 4248 7590 4270 7642
+rect 4270 7590 4282 7642
+rect 4282 7590 4304 7642
+rect 4328 7590 4334 7642
+rect 4334 7590 4346 7642
+rect 4346 7590 4384 7642
+rect 4408 7590 4410 7642
+rect 4410 7590 4462 7642
+rect 4462 7590 4464 7642
+rect 4488 7590 4526 7642
+rect 4526 7590 4538 7642
+rect 4538 7590 4544 7642
+rect 4568 7590 4590 7642
+rect 4590 7590 4602 7642
+rect 4602 7590 4624 7642
+rect 4648 7590 4654 7642
+rect 4654 7590 4666 7642
+rect 4666 7590 4704 7642
+rect 4168 7588 4224 7590
+rect 4248 7588 4304 7590
+rect 4328 7588 4384 7590
+rect 4408 7588 4464 7590
+rect 4488 7588 4544 7590
+rect 4568 7588 4624 7590
+rect 4648 7588 4704 7590
+rect 6833 7642 6889 7644
+rect 6913 7642 6969 7644
+rect 6993 7642 7049 7644
+rect 7073 7642 7129 7644
+rect 7153 7642 7209 7644
+rect 7233 7642 7289 7644
+rect 7313 7642 7369 7644
+rect 6833 7590 6871 7642
+rect 6871 7590 6883 7642
+rect 6883 7590 6889 7642
+rect 6913 7590 6935 7642
+rect 6935 7590 6947 7642
+rect 6947 7590 6969 7642
+rect 6993 7590 6999 7642
+rect 6999 7590 7011 7642
+rect 7011 7590 7049 7642
+rect 7073 7590 7075 7642
+rect 7075 7590 7127 7642
+rect 7127 7590 7129 7642
+rect 7153 7590 7191 7642
+rect 7191 7590 7203 7642
+rect 7203 7590 7209 7642
+rect 7233 7590 7255 7642
+rect 7255 7590 7267 7642
+rect 7267 7590 7289 7642
+rect 7313 7590 7319 7642
+rect 7319 7590 7331 7642
+rect 7331 7590 7369 7642
+rect 6833 7588 6889 7590
+rect 6913 7588 6969 7590
+rect 6993 7588 7049 7590
+rect 7073 7588 7129 7590
+rect 7153 7588 7209 7590
+rect 7233 7588 7289 7590
+rect 7313 7588 7369 7590
+rect 9498 7642 9554 7644
+rect 9578 7642 9634 7644
+rect 9658 7642 9714 7644
+rect 9738 7642 9794 7644
+rect 9818 7642 9874 7644
+rect 9898 7642 9954 7644
+rect 9978 7642 10034 7644
+rect 9498 7590 9536 7642
+rect 9536 7590 9548 7642
+rect 9548 7590 9554 7642
+rect 9578 7590 9600 7642
+rect 9600 7590 9612 7642
+rect 9612 7590 9634 7642
+rect 9658 7590 9664 7642
+rect 9664 7590 9676 7642
+rect 9676 7590 9714 7642
+rect 9738 7590 9740 7642
+rect 9740 7590 9792 7642
+rect 9792 7590 9794 7642
+rect 9818 7590 9856 7642
+rect 9856 7590 9868 7642
+rect 9868 7590 9874 7642
+rect 9898 7590 9920 7642
+rect 9920 7590 9932 7642
+rect 9932 7590 9954 7642
+rect 9978 7590 9984 7642
+rect 9984 7590 9996 7642
+rect 9996 7590 10034 7642
+rect 9498 7588 9554 7590
+rect 9578 7588 9634 7590
+rect 9658 7588 9714 7590
+rect 9738 7588 9794 7590
+rect 9818 7588 9874 7590
+rect 9898 7588 9954 7590
+rect 9978 7588 10034 7590
+rect 12163 7642 12219 7644
+rect 12243 7642 12299 7644
+rect 12323 7642 12379 7644
+rect 12403 7642 12459 7644
+rect 12483 7642 12539 7644
+rect 12563 7642 12619 7644
+rect 12643 7642 12699 7644
+rect 12163 7590 12201 7642
+rect 12201 7590 12213 7642
+rect 12213 7590 12219 7642
+rect 12243 7590 12265 7642
+rect 12265 7590 12277 7642
+rect 12277 7590 12299 7642
+rect 12323 7590 12329 7642
+rect 12329 7590 12341 7642
+rect 12341 7590 12379 7642
+rect 12403 7590 12405 7642
+rect 12405 7590 12457 7642
+rect 12457 7590 12459 7642
+rect 12483 7590 12521 7642
+rect 12521 7590 12533 7642
+rect 12533 7590 12539 7642
+rect 12563 7590 12585 7642
+rect 12585 7590 12597 7642
+rect 12597 7590 12619 7642
+rect 12643 7590 12649 7642
+rect 12649 7590 12661 7642
+rect 12661 7590 12699 7642
+rect 12163 7588 12219 7590
+rect 12243 7588 12299 7590
+rect 12323 7588 12379 7590
+rect 12403 7588 12459 7590
+rect 12483 7588 12539 7590
+rect 12563 7588 12619 7590
+rect 12643 7588 12699 7590
+rect 14828 7642 14884 7644
+rect 14908 7642 14964 7644
+rect 14988 7642 15044 7644
+rect 15068 7642 15124 7644
+rect 15148 7642 15204 7644
+rect 15228 7642 15284 7644
+rect 15308 7642 15364 7644
+rect 14828 7590 14866 7642
+rect 14866 7590 14878 7642
+rect 14878 7590 14884 7642
+rect 14908 7590 14930 7642
+rect 14930 7590 14942 7642
+rect 14942 7590 14964 7642
+rect 14988 7590 14994 7642
+rect 14994 7590 15006 7642
+rect 15006 7590 15044 7642
+rect 15068 7590 15070 7642
+rect 15070 7590 15122 7642
+rect 15122 7590 15124 7642
+rect 15148 7590 15186 7642
+rect 15186 7590 15198 7642
+rect 15198 7590 15204 7642
+rect 15228 7590 15250 7642
+rect 15250 7590 15262 7642
+rect 15262 7590 15284 7642
+rect 15308 7590 15314 7642
+rect 15314 7590 15326 7642
+rect 15326 7590 15364 7642
+rect 14828 7588 14884 7590
+rect 14908 7588 14964 7590
+rect 14988 7588 15044 7590
+rect 15068 7588 15124 7590
+rect 15148 7588 15204 7590
+rect 15228 7588 15284 7590
+rect 15308 7588 15364 7590
+rect 10690 7384 10746 7440
+rect 2836 7098 2892 7100
+rect 2916 7098 2972 7100
+rect 2996 7098 3052 7100
+rect 3076 7098 3132 7100
+rect 3156 7098 3212 7100
+rect 3236 7098 3292 7100
+rect 3316 7098 3372 7100
+rect 2836 7046 2874 7098
+rect 2874 7046 2886 7098
+rect 2886 7046 2892 7098
+rect 2916 7046 2938 7098
+rect 2938 7046 2950 7098
+rect 2950 7046 2972 7098
+rect 2996 7046 3002 7098
+rect 3002 7046 3014 7098
+rect 3014 7046 3052 7098
+rect 3076 7046 3078 7098
+rect 3078 7046 3130 7098
+rect 3130 7046 3132 7098
+rect 3156 7046 3194 7098
+rect 3194 7046 3206 7098
+rect 3206 7046 3212 7098
+rect 3236 7046 3258 7098
+rect 3258 7046 3270 7098
+rect 3270 7046 3292 7098
+rect 3316 7046 3322 7098
+rect 3322 7046 3334 7098
+rect 3334 7046 3372 7098
+rect 2836 7044 2892 7046
+rect 2916 7044 2972 7046
+rect 2996 7044 3052 7046
+rect 3076 7044 3132 7046
+rect 3156 7044 3212 7046
+rect 3236 7044 3292 7046
+rect 3316 7044 3372 7046
+rect 5501 7098 5557 7100
+rect 5581 7098 5637 7100
+rect 5661 7098 5717 7100
+rect 5741 7098 5797 7100
+rect 5821 7098 5877 7100
+rect 5901 7098 5957 7100
+rect 5981 7098 6037 7100
+rect 5501 7046 5539 7098
+rect 5539 7046 5551 7098
+rect 5551 7046 5557 7098
+rect 5581 7046 5603 7098
+rect 5603 7046 5615 7098
+rect 5615 7046 5637 7098
+rect 5661 7046 5667 7098
+rect 5667 7046 5679 7098
+rect 5679 7046 5717 7098
+rect 5741 7046 5743 7098
+rect 5743 7046 5795 7098
+rect 5795 7046 5797 7098
+rect 5821 7046 5859 7098
+rect 5859 7046 5871 7098
+rect 5871 7046 5877 7098
+rect 5901 7046 5923 7098
+rect 5923 7046 5935 7098
+rect 5935 7046 5957 7098
+rect 5981 7046 5987 7098
+rect 5987 7046 5999 7098
+rect 5999 7046 6037 7098
+rect 5501 7044 5557 7046
+rect 5581 7044 5637 7046
+rect 5661 7044 5717 7046
+rect 5741 7044 5797 7046
+rect 5821 7044 5877 7046
+rect 5901 7044 5957 7046
+rect 5981 7044 6037 7046
+rect 8166 7098 8222 7100
+rect 8246 7098 8302 7100
+rect 8326 7098 8382 7100
+rect 8406 7098 8462 7100
+rect 8486 7098 8542 7100
+rect 8566 7098 8622 7100
+rect 8646 7098 8702 7100
+rect 8166 7046 8204 7098
+rect 8204 7046 8216 7098
+rect 8216 7046 8222 7098
+rect 8246 7046 8268 7098
+rect 8268 7046 8280 7098
+rect 8280 7046 8302 7098
+rect 8326 7046 8332 7098
+rect 8332 7046 8344 7098
+rect 8344 7046 8382 7098
+rect 8406 7046 8408 7098
+rect 8408 7046 8460 7098
+rect 8460 7046 8462 7098
+rect 8486 7046 8524 7098
+rect 8524 7046 8536 7098
+rect 8536 7046 8542 7098
+rect 8566 7046 8588 7098
+rect 8588 7046 8600 7098
+rect 8600 7046 8622 7098
+rect 8646 7046 8652 7098
+rect 8652 7046 8664 7098
+rect 8664 7046 8702 7098
+rect 8166 7044 8222 7046
+rect 8246 7044 8302 7046
+rect 8326 7044 8382 7046
+rect 8406 7044 8462 7046
+rect 8486 7044 8542 7046
+rect 8566 7044 8622 7046
+rect 8646 7044 8702 7046
+rect 6458 6840 6514 6896
+rect 4168 6554 4224 6556
+rect 4248 6554 4304 6556
+rect 4328 6554 4384 6556
+rect 4408 6554 4464 6556
+rect 4488 6554 4544 6556
+rect 4568 6554 4624 6556
+rect 4648 6554 4704 6556
+rect 4168 6502 4206 6554
+rect 4206 6502 4218 6554
+rect 4218 6502 4224 6554
+rect 4248 6502 4270 6554
+rect 4270 6502 4282 6554
+rect 4282 6502 4304 6554
+rect 4328 6502 4334 6554
+rect 4334 6502 4346 6554
+rect 4346 6502 4384 6554
+rect 4408 6502 4410 6554
+rect 4410 6502 4462 6554
+rect 4462 6502 4464 6554
+rect 4488 6502 4526 6554
+rect 4526 6502 4538 6554
+rect 4538 6502 4544 6554
+rect 4568 6502 4590 6554
+rect 4590 6502 4602 6554
+rect 4602 6502 4624 6554
+rect 4648 6502 4654 6554
+rect 4654 6502 4666 6554
+rect 4666 6502 4704 6554
+rect 4168 6500 4224 6502
+rect 4248 6500 4304 6502
+rect 4328 6500 4384 6502
+rect 4408 6500 4464 6502
+rect 4488 6500 4544 6502
+rect 4568 6500 4624 6502
+rect 4648 6500 4704 6502
+rect 2836 6010 2892 6012
+rect 2916 6010 2972 6012
+rect 2996 6010 3052 6012
+rect 3076 6010 3132 6012
+rect 3156 6010 3212 6012
+rect 3236 6010 3292 6012
+rect 3316 6010 3372 6012
+rect 2836 5958 2874 6010
+rect 2874 5958 2886 6010
+rect 2886 5958 2892 6010
+rect 2916 5958 2938 6010
+rect 2938 5958 2950 6010
+rect 2950 5958 2972 6010
+rect 2996 5958 3002 6010
+rect 3002 5958 3014 6010
+rect 3014 5958 3052 6010
+rect 3076 5958 3078 6010
+rect 3078 5958 3130 6010
+rect 3130 5958 3132 6010
+rect 3156 5958 3194 6010
+rect 3194 5958 3206 6010
+rect 3206 5958 3212 6010
+rect 3236 5958 3258 6010
+rect 3258 5958 3270 6010
+rect 3270 5958 3292 6010
+rect 3316 5958 3322 6010
+rect 3322 5958 3334 6010
+rect 3334 5958 3372 6010
+rect 2836 5956 2892 5958
+rect 2916 5956 2972 5958
+rect 2996 5956 3052 5958
+rect 3076 5956 3132 5958
+rect 3156 5956 3212 5958
+rect 3236 5956 3292 5958
+rect 3316 5956 3372 5958
+rect 5501 6010 5557 6012
+rect 5581 6010 5637 6012
+rect 5661 6010 5717 6012
+rect 5741 6010 5797 6012
+rect 5821 6010 5877 6012
+rect 5901 6010 5957 6012
+rect 5981 6010 6037 6012
+rect 5501 5958 5539 6010
+rect 5539 5958 5551 6010
+rect 5551 5958 5557 6010
+rect 5581 5958 5603 6010
+rect 5603 5958 5615 6010
+rect 5615 5958 5637 6010
+rect 5661 5958 5667 6010
+rect 5667 5958 5679 6010
+rect 5679 5958 5717 6010
+rect 5741 5958 5743 6010
+rect 5743 5958 5795 6010
+rect 5795 5958 5797 6010
+rect 5821 5958 5859 6010
+rect 5859 5958 5871 6010
+rect 5871 5958 5877 6010
+rect 5901 5958 5923 6010
+rect 5923 5958 5935 6010
+rect 5935 5958 5957 6010
+rect 5981 5958 5987 6010
+rect 5987 5958 5999 6010
+rect 5999 5958 6037 6010
+rect 5501 5956 5557 5958
+rect 5581 5956 5637 5958
+rect 5661 5956 5717 5958
+rect 5741 5956 5797 5958
+rect 5821 5956 5877 5958
+rect 5901 5956 5957 5958
+rect 5981 5956 6037 5958
+rect 4168 5466 4224 5468
+rect 4248 5466 4304 5468
+rect 4328 5466 4384 5468
+rect 4408 5466 4464 5468
+rect 4488 5466 4544 5468
+rect 4568 5466 4624 5468
+rect 4648 5466 4704 5468
+rect 4168 5414 4206 5466
+rect 4206 5414 4218 5466
+rect 4218 5414 4224 5466
+rect 4248 5414 4270 5466
+rect 4270 5414 4282 5466
+rect 4282 5414 4304 5466
+rect 4328 5414 4334 5466
+rect 4334 5414 4346 5466
+rect 4346 5414 4384 5466
+rect 4408 5414 4410 5466
+rect 4410 5414 4462 5466
+rect 4462 5414 4464 5466
+rect 4488 5414 4526 5466
+rect 4526 5414 4538 5466
+rect 4538 5414 4544 5466
+rect 4568 5414 4590 5466
+rect 4590 5414 4602 5466
+rect 4602 5414 4624 5466
+rect 4648 5414 4654 5466
+rect 4654 5414 4666 5466
+rect 4666 5414 4704 5466
+rect 4168 5412 4224 5414
+rect 4248 5412 4304 5414
+rect 4328 5412 4384 5414
+rect 4408 5412 4464 5414
+rect 4488 5412 4544 5414
+rect 4568 5412 4624 5414
+rect 4648 5412 4704 5414
+rect 2836 4922 2892 4924
+rect 2916 4922 2972 4924
+rect 2996 4922 3052 4924
+rect 3076 4922 3132 4924
+rect 3156 4922 3212 4924
+rect 3236 4922 3292 4924
+rect 3316 4922 3372 4924
+rect 2836 4870 2874 4922
+rect 2874 4870 2886 4922
+rect 2886 4870 2892 4922
+rect 2916 4870 2938 4922
+rect 2938 4870 2950 4922
+rect 2950 4870 2972 4922
+rect 2996 4870 3002 4922
+rect 3002 4870 3014 4922
+rect 3014 4870 3052 4922
+rect 3076 4870 3078 4922
+rect 3078 4870 3130 4922
+rect 3130 4870 3132 4922
+rect 3156 4870 3194 4922
+rect 3194 4870 3206 4922
+rect 3206 4870 3212 4922
+rect 3236 4870 3258 4922
+rect 3258 4870 3270 4922
+rect 3270 4870 3292 4922
+rect 3316 4870 3322 4922
+rect 3322 4870 3334 4922
+rect 3334 4870 3372 4922
+rect 2836 4868 2892 4870
+rect 2916 4868 2972 4870
+rect 2996 4868 3052 4870
+rect 3076 4868 3132 4870
+rect 3156 4868 3212 4870
+rect 3236 4868 3292 4870
+rect 3316 4868 3372 4870
+rect 5501 4922 5557 4924
+rect 5581 4922 5637 4924
+rect 5661 4922 5717 4924
+rect 5741 4922 5797 4924
+rect 5821 4922 5877 4924
+rect 5901 4922 5957 4924
+rect 5981 4922 6037 4924
+rect 5501 4870 5539 4922
+rect 5539 4870 5551 4922
+rect 5551 4870 5557 4922
+rect 5581 4870 5603 4922
+rect 5603 4870 5615 4922
+rect 5615 4870 5637 4922
+rect 5661 4870 5667 4922
+rect 5667 4870 5679 4922
+rect 5679 4870 5717 4922
+rect 5741 4870 5743 4922
+rect 5743 4870 5795 4922
+rect 5795 4870 5797 4922
+rect 5821 4870 5859 4922
+rect 5859 4870 5871 4922
+rect 5871 4870 5877 4922
+rect 5901 4870 5923 4922
+rect 5923 4870 5935 4922
+rect 5935 4870 5957 4922
+rect 5981 4870 5987 4922
+rect 5987 4870 5999 4922
+rect 5999 4870 6037 4922
+rect 5501 4868 5557 4870
+rect 5581 4868 5637 4870
+rect 5661 4868 5717 4870
+rect 5741 4868 5797 4870
+rect 5821 4868 5877 4870
+rect 5901 4868 5957 4870
+rect 5981 4868 6037 4870
+rect 4168 4378 4224 4380
+rect 4248 4378 4304 4380
+rect 4328 4378 4384 4380
+rect 4408 4378 4464 4380
+rect 4488 4378 4544 4380
+rect 4568 4378 4624 4380
+rect 4648 4378 4704 4380
+rect 4168 4326 4206 4378
+rect 4206 4326 4218 4378
+rect 4218 4326 4224 4378
+rect 4248 4326 4270 4378
+rect 4270 4326 4282 4378
+rect 4282 4326 4304 4378
+rect 4328 4326 4334 4378
+rect 4334 4326 4346 4378
+rect 4346 4326 4384 4378
+rect 4408 4326 4410 4378
+rect 4410 4326 4462 4378
+rect 4462 4326 4464 4378
+rect 4488 4326 4526 4378
+rect 4526 4326 4538 4378
+rect 4538 4326 4544 4378
+rect 4568 4326 4590 4378
+rect 4590 4326 4602 4378
+rect 4602 4326 4624 4378
+rect 4648 4326 4654 4378
+rect 4654 4326 4666 4378
+rect 4666 4326 4704 4378
+rect 4168 4324 4224 4326
+rect 4248 4324 4304 4326
+rect 4328 4324 4384 4326
+rect 4408 4324 4464 4326
+rect 4488 4324 4544 4326
+rect 4568 4324 4624 4326
+rect 4648 4324 4704 4326
+rect 2836 3834 2892 3836
+rect 2916 3834 2972 3836
+rect 2996 3834 3052 3836
+rect 3076 3834 3132 3836
+rect 3156 3834 3212 3836
+rect 3236 3834 3292 3836
+rect 3316 3834 3372 3836
+rect 2836 3782 2874 3834
+rect 2874 3782 2886 3834
+rect 2886 3782 2892 3834
+rect 2916 3782 2938 3834
+rect 2938 3782 2950 3834
+rect 2950 3782 2972 3834
+rect 2996 3782 3002 3834
+rect 3002 3782 3014 3834
+rect 3014 3782 3052 3834
+rect 3076 3782 3078 3834
+rect 3078 3782 3130 3834
+rect 3130 3782 3132 3834
+rect 3156 3782 3194 3834
+rect 3194 3782 3206 3834
+rect 3206 3782 3212 3834
+rect 3236 3782 3258 3834
+rect 3258 3782 3270 3834
+rect 3270 3782 3292 3834
+rect 3316 3782 3322 3834
+rect 3322 3782 3334 3834
+rect 3334 3782 3372 3834
+rect 2836 3780 2892 3782
+rect 2916 3780 2972 3782
+rect 2996 3780 3052 3782
+rect 3076 3780 3132 3782
+rect 3156 3780 3212 3782
+rect 3236 3780 3292 3782
+rect 3316 3780 3372 3782
+rect 5501 3834 5557 3836
+rect 5581 3834 5637 3836
+rect 5661 3834 5717 3836
+rect 5741 3834 5797 3836
+rect 5821 3834 5877 3836
+rect 5901 3834 5957 3836
+rect 5981 3834 6037 3836
+rect 5501 3782 5539 3834
+rect 5539 3782 5551 3834
+rect 5551 3782 5557 3834
+rect 5581 3782 5603 3834
+rect 5603 3782 5615 3834
+rect 5615 3782 5637 3834
+rect 5661 3782 5667 3834
+rect 5667 3782 5679 3834
+rect 5679 3782 5717 3834
+rect 5741 3782 5743 3834
+rect 5743 3782 5795 3834
+rect 5795 3782 5797 3834
+rect 5821 3782 5859 3834
+rect 5859 3782 5871 3834
+rect 5871 3782 5877 3834
+rect 5901 3782 5923 3834
+rect 5923 3782 5935 3834
+rect 5935 3782 5957 3834
+rect 5981 3782 5987 3834
+rect 5987 3782 5999 3834
+rect 5999 3782 6037 3834
+rect 5501 3780 5557 3782
+rect 5581 3780 5637 3782
+rect 5661 3780 5717 3782
+rect 5741 3780 5797 3782
+rect 5821 3780 5877 3782
+rect 5901 3780 5957 3782
+rect 5981 3780 6037 3782
+rect 4168 3290 4224 3292
+rect 4248 3290 4304 3292
+rect 4328 3290 4384 3292
+rect 4408 3290 4464 3292
+rect 4488 3290 4544 3292
+rect 4568 3290 4624 3292
+rect 4648 3290 4704 3292
+rect 4168 3238 4206 3290
+rect 4206 3238 4218 3290
+rect 4218 3238 4224 3290
+rect 4248 3238 4270 3290
+rect 4270 3238 4282 3290
+rect 4282 3238 4304 3290
+rect 4328 3238 4334 3290
+rect 4334 3238 4346 3290
+rect 4346 3238 4384 3290
+rect 4408 3238 4410 3290
+rect 4410 3238 4462 3290
+rect 4462 3238 4464 3290
+rect 4488 3238 4526 3290
+rect 4526 3238 4538 3290
+rect 4538 3238 4544 3290
+rect 4568 3238 4590 3290
+rect 4590 3238 4602 3290
+rect 4602 3238 4624 3290
+rect 4648 3238 4654 3290
+rect 4654 3238 4666 3290
+rect 4666 3238 4704 3290
+rect 4168 3236 4224 3238
+rect 4248 3236 4304 3238
+rect 4328 3236 4384 3238
+rect 4408 3236 4464 3238
+rect 4488 3236 4544 3238
+rect 4568 3236 4624 3238
+rect 4648 3236 4704 3238
+rect 5376 3084 5432 3140
+rect 2836 2746 2892 2748
+rect 2916 2746 2972 2748
+rect 2996 2746 3052 2748
+rect 3076 2746 3132 2748
+rect 3156 2746 3212 2748
+rect 3236 2746 3292 2748
+rect 3316 2746 3372 2748
+rect 2836 2694 2874 2746
+rect 2874 2694 2886 2746
+rect 2886 2694 2892 2746
+rect 2916 2694 2938 2746
+rect 2938 2694 2950 2746
+rect 2950 2694 2972 2746
+rect 2996 2694 3002 2746
+rect 3002 2694 3014 2746
+rect 3014 2694 3052 2746
+rect 3076 2694 3078 2746
+rect 3078 2694 3130 2746
+rect 3130 2694 3132 2746
+rect 3156 2694 3194 2746
+rect 3194 2694 3206 2746
+rect 3206 2694 3212 2746
+rect 3236 2694 3258 2746
+rect 3258 2694 3270 2746
+rect 3270 2694 3292 2746
+rect 3316 2694 3322 2746
+rect 3322 2694 3334 2746
+rect 3334 2694 3372 2746
+rect 2836 2692 2892 2694
+rect 2916 2692 2972 2694
+rect 2996 2692 3052 2694
+rect 3076 2692 3132 2694
+rect 3156 2692 3212 2694
+rect 3236 2692 3292 2694
+rect 3316 2692 3372 2694
+rect 5976 3084 6032 3140
+rect 5676 2734 5732 2790
+rect 5376 2384 5432 2440
+rect 6833 6554 6889 6556
+rect 6913 6554 6969 6556
+rect 6993 6554 7049 6556
+rect 7073 6554 7129 6556
+rect 7153 6554 7209 6556
+rect 7233 6554 7289 6556
+rect 7313 6554 7369 6556
+rect 6833 6502 6871 6554
+rect 6871 6502 6883 6554
+rect 6883 6502 6889 6554
+rect 6913 6502 6935 6554
+rect 6935 6502 6947 6554
+rect 6947 6502 6969 6554
+rect 6993 6502 6999 6554
+rect 6999 6502 7011 6554
+rect 7011 6502 7049 6554
+rect 7073 6502 7075 6554
+rect 7075 6502 7127 6554
+rect 7127 6502 7129 6554
+rect 7153 6502 7191 6554
+rect 7191 6502 7203 6554
+rect 7203 6502 7209 6554
+rect 7233 6502 7255 6554
+rect 7255 6502 7267 6554
+rect 7267 6502 7289 6554
+rect 7313 6502 7319 6554
+rect 7319 6502 7331 6554
+rect 7331 6502 7369 6554
+rect 6833 6500 6889 6502
+rect 6913 6500 6969 6502
+rect 6993 6500 7049 6502
+rect 7073 6500 7129 6502
+rect 7153 6500 7209 6502
+rect 7233 6500 7289 6502
+rect 7313 6500 7369 6502
+rect 6833 5466 6889 5468
+rect 6913 5466 6969 5468
+rect 6993 5466 7049 5468
+rect 7073 5466 7129 5468
+rect 7153 5466 7209 5468
+rect 7233 5466 7289 5468
+rect 7313 5466 7369 5468
+rect 6833 5414 6871 5466
+rect 6871 5414 6883 5466
+rect 6883 5414 6889 5466
+rect 6913 5414 6935 5466
+rect 6935 5414 6947 5466
+rect 6947 5414 6969 5466
+rect 6993 5414 6999 5466
+rect 6999 5414 7011 5466
+rect 7011 5414 7049 5466
+rect 7073 5414 7075 5466
+rect 7075 5414 7127 5466
+rect 7127 5414 7129 5466
+rect 7153 5414 7191 5466
+rect 7191 5414 7203 5466
+rect 7203 5414 7209 5466
+rect 7233 5414 7255 5466
+rect 7255 5414 7267 5466
+rect 7267 5414 7289 5466
+rect 7313 5414 7319 5466
+rect 7319 5414 7331 5466
+rect 7331 5414 7369 5466
+rect 6833 5412 6889 5414
+rect 6913 5412 6969 5414
+rect 6993 5412 7049 5414
+rect 7073 5412 7129 5414
+rect 7153 5412 7209 5414
+rect 7233 5412 7289 5414
+rect 7313 5412 7369 5414
+rect 8166 6010 8222 6012
+rect 8246 6010 8302 6012
+rect 8326 6010 8382 6012
+rect 8406 6010 8462 6012
+rect 8486 6010 8542 6012
+rect 8566 6010 8622 6012
+rect 8646 6010 8702 6012
+rect 8166 5958 8204 6010
+rect 8204 5958 8216 6010
+rect 8216 5958 8222 6010
+rect 8246 5958 8268 6010
+rect 8268 5958 8280 6010
+rect 8280 5958 8302 6010
+rect 8326 5958 8332 6010
+rect 8332 5958 8344 6010
+rect 8344 5958 8382 6010
+rect 8406 5958 8408 6010
+rect 8408 5958 8460 6010
+rect 8460 5958 8462 6010
+rect 8486 5958 8524 6010
+rect 8524 5958 8536 6010
+rect 8536 5958 8542 6010
+rect 8566 5958 8588 6010
+rect 8588 5958 8600 6010
+rect 8600 5958 8622 6010
+rect 8646 5958 8652 6010
+rect 8652 5958 8664 6010
+rect 8664 5958 8702 6010
+rect 8166 5956 8222 5958
+rect 8246 5956 8302 5958
+rect 8326 5956 8382 5958
+rect 8406 5956 8462 5958
+rect 8486 5956 8542 5958
+rect 8566 5956 8622 5958
+rect 8646 5956 8702 5958
+rect 6833 4378 6889 4380
+rect 6913 4378 6969 4380
+rect 6993 4378 7049 4380
+rect 7073 4378 7129 4380
+rect 7153 4378 7209 4380
+rect 7233 4378 7289 4380
+rect 7313 4378 7369 4380
+rect 6833 4326 6871 4378
+rect 6871 4326 6883 4378
+rect 6883 4326 6889 4378
+rect 6913 4326 6935 4378
+rect 6935 4326 6947 4378
+rect 6947 4326 6969 4378
+rect 6993 4326 6999 4378
+rect 6999 4326 7011 4378
+rect 7011 4326 7049 4378
+rect 7073 4326 7075 4378
+rect 7075 4326 7127 4378
+rect 7127 4326 7129 4378
+rect 7153 4326 7191 4378
+rect 7191 4326 7203 4378
+rect 7203 4326 7209 4378
+rect 7233 4326 7255 4378
+rect 7255 4326 7267 4378
+rect 7267 4326 7289 4378
+rect 7313 4326 7319 4378
+rect 7319 4326 7331 4378
+rect 7331 4326 7369 4378
+rect 6833 4324 6889 4326
+rect 6913 4324 6969 4326
+rect 6993 4324 7049 4326
+rect 7073 4324 7129 4326
+rect 7153 4324 7209 4326
+rect 7233 4324 7289 4326
+rect 7313 4324 7369 4326
+rect 8942 5072 8998 5128
+rect 8166 4922 8222 4924
+rect 8246 4922 8302 4924
+rect 8326 4922 8382 4924
+rect 8406 4922 8462 4924
+rect 8486 4922 8542 4924
+rect 8566 4922 8622 4924
+rect 8646 4922 8702 4924
+rect 8166 4870 8204 4922
+rect 8204 4870 8216 4922
+rect 8216 4870 8222 4922
+rect 8246 4870 8268 4922
+rect 8268 4870 8280 4922
+rect 8280 4870 8302 4922
+rect 8326 4870 8332 4922
+rect 8332 4870 8344 4922
+rect 8344 4870 8382 4922
+rect 8406 4870 8408 4922
+rect 8408 4870 8460 4922
+rect 8460 4870 8462 4922
+rect 8486 4870 8524 4922
+rect 8524 4870 8536 4922
+rect 8536 4870 8542 4922
+rect 8566 4870 8588 4922
+rect 8588 4870 8600 4922
+rect 8600 4870 8622 4922
+rect 8646 4870 8652 4922
+rect 8652 4870 8664 4922
+rect 8664 4870 8702 4922
+rect 8166 4868 8222 4870
+rect 8246 4868 8302 4870
+rect 8326 4868 8382 4870
+rect 8406 4868 8462 4870
+rect 8486 4868 8542 4870
+rect 8566 4868 8622 4870
+rect 8646 4868 8702 4870
+rect 8022 4140 8078 4176
+rect 8022 4120 8024 4140
+rect 8024 4120 8076 4140
+rect 8076 4120 8078 4140
+rect 9498 6554 9554 6556
+rect 9578 6554 9634 6556
+rect 9658 6554 9714 6556
+rect 9738 6554 9794 6556
+rect 9818 6554 9874 6556
+rect 9898 6554 9954 6556
+rect 9978 6554 10034 6556
+rect 9498 6502 9536 6554
+rect 9536 6502 9548 6554
+rect 9548 6502 9554 6554
+rect 9578 6502 9600 6554
+rect 9600 6502 9612 6554
+rect 9612 6502 9634 6554
+rect 9658 6502 9664 6554
+rect 9664 6502 9676 6554
+rect 9676 6502 9714 6554
+rect 9738 6502 9740 6554
+rect 9740 6502 9792 6554
+rect 9792 6502 9794 6554
+rect 9818 6502 9856 6554
+rect 9856 6502 9868 6554
+rect 9868 6502 9874 6554
+rect 9898 6502 9920 6554
+rect 9920 6502 9932 6554
+rect 9932 6502 9954 6554
+rect 9978 6502 9984 6554
+rect 9984 6502 9996 6554
+rect 9996 6502 10034 6554
+rect 9498 6500 9554 6502
+rect 9578 6500 9634 6502
+rect 9658 6500 9714 6502
+rect 9738 6500 9794 6502
+rect 9818 6500 9874 6502
+rect 9898 6500 9954 6502
+rect 9978 6500 10034 6502
+rect 10831 7098 10887 7100
+rect 10911 7098 10967 7100
+rect 10991 7098 11047 7100
+rect 11071 7098 11127 7100
+rect 11151 7098 11207 7100
+rect 11231 7098 11287 7100
+rect 11311 7098 11367 7100
+rect 10831 7046 10869 7098
+rect 10869 7046 10881 7098
+rect 10881 7046 10887 7098
+rect 10911 7046 10933 7098
+rect 10933 7046 10945 7098
+rect 10945 7046 10967 7098
+rect 10991 7046 10997 7098
+rect 10997 7046 11009 7098
+rect 11009 7046 11047 7098
+rect 11071 7046 11073 7098
+rect 11073 7046 11125 7098
+rect 11125 7046 11127 7098
+rect 11151 7046 11189 7098
+rect 11189 7046 11201 7098
+rect 11201 7046 11207 7098
+rect 11231 7046 11253 7098
+rect 11253 7046 11265 7098
+rect 11265 7046 11287 7098
+rect 11311 7046 11317 7098
+rect 11317 7046 11329 7098
+rect 11329 7046 11367 7098
+rect 10831 7044 10887 7046
+rect 10911 7044 10967 7046
+rect 10991 7044 11047 7046
+rect 11071 7044 11127 7046
+rect 11151 7044 11207 7046
+rect 11231 7044 11287 7046
+rect 11311 7044 11367 7046
+rect 13496 7098 13552 7100
+rect 13576 7098 13632 7100
+rect 13656 7098 13712 7100
+rect 13736 7098 13792 7100
+rect 13816 7098 13872 7100
+rect 13896 7098 13952 7100
+rect 13976 7098 14032 7100
+rect 13496 7046 13534 7098
+rect 13534 7046 13546 7098
+rect 13546 7046 13552 7098
+rect 13576 7046 13598 7098
+rect 13598 7046 13610 7098
+rect 13610 7046 13632 7098
+rect 13656 7046 13662 7098
+rect 13662 7046 13674 7098
+rect 13674 7046 13712 7098
+rect 13736 7046 13738 7098
+rect 13738 7046 13790 7098
+rect 13790 7046 13792 7098
+rect 13816 7046 13854 7098
+rect 13854 7046 13866 7098
+rect 13866 7046 13872 7098
+rect 13896 7046 13918 7098
+rect 13918 7046 13930 7098
+rect 13930 7046 13952 7098
+rect 13976 7046 13982 7098
+rect 13982 7046 13994 7098
+rect 13994 7046 14032 7098
+rect 13496 7044 13552 7046
+rect 13576 7044 13632 7046
+rect 13656 7044 13712 7046
+rect 13736 7044 13792 7046
+rect 13816 7044 13872 7046
+rect 13896 7044 13952 7046
+rect 13976 7044 14032 7046
+rect 12163 6554 12219 6556
+rect 12243 6554 12299 6556
+rect 12323 6554 12379 6556
+rect 12403 6554 12459 6556
+rect 12483 6554 12539 6556
+rect 12563 6554 12619 6556
+rect 12643 6554 12699 6556
+rect 12163 6502 12201 6554
+rect 12201 6502 12213 6554
+rect 12213 6502 12219 6554
+rect 12243 6502 12265 6554
+rect 12265 6502 12277 6554
+rect 12277 6502 12299 6554
+rect 12323 6502 12329 6554
+rect 12329 6502 12341 6554
+rect 12341 6502 12379 6554
+rect 12403 6502 12405 6554
+rect 12405 6502 12457 6554
+rect 12457 6502 12459 6554
+rect 12483 6502 12521 6554
+rect 12521 6502 12533 6554
+rect 12533 6502 12539 6554
+rect 12563 6502 12585 6554
+rect 12585 6502 12597 6554
+rect 12597 6502 12619 6554
+rect 12643 6502 12649 6554
+rect 12649 6502 12661 6554
+rect 12661 6502 12699 6554
+rect 12163 6500 12219 6502
+rect 12243 6500 12299 6502
+rect 12323 6500 12379 6502
+rect 12403 6500 12459 6502
+rect 12483 6500 12539 6502
+rect 12563 6500 12619 6502
+rect 12643 6500 12699 6502
+rect 14828 6554 14884 6556
+rect 14908 6554 14964 6556
+rect 14988 6554 15044 6556
+rect 15068 6554 15124 6556
+rect 15148 6554 15204 6556
+rect 15228 6554 15284 6556
+rect 15308 6554 15364 6556
+rect 14828 6502 14866 6554
+rect 14866 6502 14878 6554
+rect 14878 6502 14884 6554
+rect 14908 6502 14930 6554
+rect 14930 6502 14942 6554
+rect 14942 6502 14964 6554
+rect 14988 6502 14994 6554
+rect 14994 6502 15006 6554
+rect 15006 6502 15044 6554
+rect 15068 6502 15070 6554
+rect 15070 6502 15122 6554
+rect 15122 6502 15124 6554
+rect 15148 6502 15186 6554
+rect 15186 6502 15198 6554
+rect 15198 6502 15204 6554
+rect 15228 6502 15250 6554
+rect 15250 6502 15262 6554
+rect 15262 6502 15284 6554
+rect 15308 6502 15314 6554
+rect 15314 6502 15326 6554
+rect 15326 6502 15364 6554
+rect 14828 6500 14884 6502
+rect 14908 6500 14964 6502
+rect 14988 6500 15044 6502
+rect 15068 6500 15124 6502
+rect 15148 6500 15204 6502
+rect 15228 6500 15284 6502
+rect 15308 6500 15364 6502
+rect 10831 6010 10887 6012
+rect 10911 6010 10967 6012
+rect 10991 6010 11047 6012
+rect 11071 6010 11127 6012
+rect 11151 6010 11207 6012
+rect 11231 6010 11287 6012
+rect 11311 6010 11367 6012
+rect 10831 5958 10869 6010
+rect 10869 5958 10881 6010
+rect 10881 5958 10887 6010
+rect 10911 5958 10933 6010
+rect 10933 5958 10945 6010
+rect 10945 5958 10967 6010
+rect 10991 5958 10997 6010
+rect 10997 5958 11009 6010
+rect 11009 5958 11047 6010
+rect 11071 5958 11073 6010
+rect 11073 5958 11125 6010
+rect 11125 5958 11127 6010
+rect 11151 5958 11189 6010
+rect 11189 5958 11201 6010
+rect 11201 5958 11207 6010
+rect 11231 5958 11253 6010
+rect 11253 5958 11265 6010
+rect 11265 5958 11287 6010
+rect 11311 5958 11317 6010
+rect 11317 5958 11329 6010
+rect 11329 5958 11367 6010
+rect 10831 5956 10887 5958
+rect 10911 5956 10967 5958
+rect 10991 5956 11047 5958
+rect 11071 5956 11127 5958
+rect 11151 5956 11207 5958
+rect 11231 5956 11287 5958
+rect 11311 5956 11367 5958
+rect 13496 6010 13552 6012
+rect 13576 6010 13632 6012
+rect 13656 6010 13712 6012
+rect 13736 6010 13792 6012
+rect 13816 6010 13872 6012
+rect 13896 6010 13952 6012
+rect 13976 6010 14032 6012
+rect 13496 5958 13534 6010
+rect 13534 5958 13546 6010
+rect 13546 5958 13552 6010
+rect 13576 5958 13598 6010
+rect 13598 5958 13610 6010
+rect 13610 5958 13632 6010
+rect 13656 5958 13662 6010
+rect 13662 5958 13674 6010
+rect 13674 5958 13712 6010
+rect 13736 5958 13738 6010
+rect 13738 5958 13790 6010
+rect 13790 5958 13792 6010
+rect 13816 5958 13854 6010
+rect 13854 5958 13866 6010
+rect 13866 5958 13872 6010
+rect 13896 5958 13918 6010
+rect 13918 5958 13930 6010
+rect 13930 5958 13952 6010
+rect 13976 5958 13982 6010
+rect 13982 5958 13994 6010
+rect 13994 5958 14032 6010
+rect 13496 5956 13552 5958
+rect 13576 5956 13632 5958
+rect 13656 5956 13712 5958
+rect 13736 5956 13792 5958
+rect 13816 5956 13872 5958
+rect 13896 5956 13952 5958
+rect 13976 5956 14032 5958
+rect 9498 5466 9554 5468
+rect 9578 5466 9634 5468
+rect 9658 5466 9714 5468
+rect 9738 5466 9794 5468
+rect 9818 5466 9874 5468
+rect 9898 5466 9954 5468
+rect 9978 5466 10034 5468
+rect 9498 5414 9536 5466
+rect 9536 5414 9548 5466
+rect 9548 5414 9554 5466
+rect 9578 5414 9600 5466
+rect 9600 5414 9612 5466
+rect 9612 5414 9634 5466
+rect 9658 5414 9664 5466
+rect 9664 5414 9676 5466
+rect 9676 5414 9714 5466
+rect 9738 5414 9740 5466
+rect 9740 5414 9792 5466
+rect 9792 5414 9794 5466
+rect 9818 5414 9856 5466
+rect 9856 5414 9868 5466
+rect 9868 5414 9874 5466
+rect 9898 5414 9920 5466
+rect 9920 5414 9932 5466
+rect 9932 5414 9954 5466
+rect 9978 5414 9984 5466
+rect 9984 5414 9996 5466
+rect 9996 5414 10034 5466
+rect 9498 5412 9554 5414
+rect 9578 5412 9634 5414
+rect 9658 5412 9714 5414
+rect 9738 5412 9794 5414
+rect 9818 5412 9874 5414
+rect 9898 5412 9954 5414
+rect 9978 5412 10034 5414
+rect 10046 5208 10102 5264
+rect 9498 4378 9554 4380
+rect 9578 4378 9634 4380
+rect 9658 4378 9714 4380
+rect 9738 4378 9794 4380
+rect 9818 4378 9874 4380
+rect 9898 4378 9954 4380
+rect 9978 4378 10034 4380
+rect 9498 4326 9536 4378
+rect 9536 4326 9548 4378
+rect 9548 4326 9554 4378
+rect 9578 4326 9600 4378
+rect 9600 4326 9612 4378
+rect 9612 4326 9634 4378
+rect 9658 4326 9664 4378
+rect 9664 4326 9676 4378
+rect 9676 4326 9714 4378
+rect 9738 4326 9740 4378
+rect 9740 4326 9792 4378
+rect 9792 4326 9794 4378
+rect 9818 4326 9856 4378
+rect 9856 4326 9868 4378
+rect 9868 4326 9874 4378
+rect 9898 4326 9920 4378
+rect 9920 4326 9932 4378
+rect 9932 4326 9954 4378
+rect 9978 4326 9984 4378
+rect 9984 4326 9996 4378
+rect 9996 4326 10034 4378
+rect 9498 4324 9554 4326
+rect 9578 4324 9634 4326
+rect 9658 4324 9714 4326
+rect 9738 4324 9794 4326
+rect 9818 4324 9874 4326
+rect 9898 4324 9954 4326
+rect 9978 4324 10034 4326
+rect 11334 5208 11390 5264
+rect 10831 4922 10887 4924
+rect 10911 4922 10967 4924
+rect 10991 4922 11047 4924
+rect 11071 4922 11127 4924
+rect 11151 4922 11207 4924
+rect 11231 4922 11287 4924
+rect 11311 4922 11367 4924
+rect 10831 4870 10869 4922
+rect 10869 4870 10881 4922
+rect 10881 4870 10887 4922
+rect 10911 4870 10933 4922
+rect 10933 4870 10945 4922
+rect 10945 4870 10967 4922
+rect 10991 4870 10997 4922
+rect 10997 4870 11009 4922
+rect 11009 4870 11047 4922
+rect 11071 4870 11073 4922
+rect 11073 4870 11125 4922
+rect 11125 4870 11127 4922
+rect 11151 4870 11189 4922
+rect 11189 4870 11201 4922
+rect 11201 4870 11207 4922
+rect 11231 4870 11253 4922
+rect 11253 4870 11265 4922
+rect 11265 4870 11287 4922
+rect 11311 4870 11317 4922
+rect 11317 4870 11329 4922
+rect 11329 4870 11367 4922
+rect 10831 4868 10887 4870
+rect 10911 4868 10967 4870
+rect 10991 4868 11047 4870
+rect 11071 4868 11127 4870
+rect 11151 4868 11207 4870
+rect 11231 4868 11287 4870
+rect 11311 4868 11367 4870
+rect 9678 4156 9680 4176
+rect 9680 4156 9732 4176
+rect 9732 4156 9734 4176
+rect 9678 4120 9734 4156
+rect 9678 4004 9734 4040
+rect 9678 3984 9680 4004
+rect 9680 3984 9732 4004
+rect 9732 3984 9734 4004
+rect 6576 3131 6578 3140
+rect 6578 3131 6630 3140
+rect 6630 3131 6632 3140
+rect 6576 3084 6632 3131
+rect 6276 2734 6332 2790
+rect 5976 2384 6032 2440
+rect 4168 2202 4224 2204
+rect 4248 2202 4304 2204
+rect 4328 2202 4384 2204
+rect 4408 2202 4464 2204
+rect 4488 2202 4544 2204
+rect 4568 2202 4624 2204
+rect 4648 2202 4704 2204
+rect 4168 2150 4206 2202
+rect 4206 2150 4218 2202
+rect 4218 2150 4224 2202
+rect 4248 2150 4270 2202
+rect 4270 2150 4282 2202
+rect 4282 2150 4304 2202
+rect 4328 2150 4334 2202
+rect 4334 2150 4346 2202
+rect 4346 2150 4384 2202
+rect 4408 2150 4410 2202
+rect 4410 2150 4462 2202
+rect 4462 2150 4464 2202
+rect 4488 2150 4526 2202
+rect 4526 2150 4538 2202
+rect 4538 2150 4544 2202
+rect 4568 2150 4590 2202
+rect 4590 2150 4602 2202
+rect 4602 2150 4624 2202
+rect 4648 2150 4654 2202
+rect 4654 2150 4666 2202
+rect 4666 2150 4704 2202
+rect 4168 2148 4224 2150
+rect 4248 2148 4304 2150
+rect 4328 2148 4384 2150
+rect 4408 2148 4464 2150
+rect 4488 2148 4544 2150
+rect 4568 2148 4624 2150
+rect 4648 2148 4704 2150
+rect 7176 3084 7232 3140
+rect 6876 2734 6932 2790
+rect 6576 2384 6632 2440
+rect 7476 2734 7532 2790
+rect 7176 2384 7232 2440
+rect 8166 3834 8222 3836
+rect 8246 3834 8302 3836
+rect 8326 3834 8382 3836
+rect 8406 3834 8462 3836
+rect 8486 3834 8542 3836
+rect 8566 3834 8622 3836
+rect 8646 3834 8702 3836
+rect 8166 3782 8204 3834
+rect 8204 3782 8216 3834
+rect 8216 3782 8222 3834
+rect 8246 3782 8268 3834
+rect 8268 3782 8280 3834
+rect 8280 3782 8302 3834
+rect 8326 3782 8332 3834
+rect 8332 3782 8344 3834
+rect 8344 3782 8382 3834
+rect 8406 3782 8408 3834
+rect 8408 3782 8460 3834
+rect 8460 3782 8462 3834
+rect 8486 3782 8524 3834
+rect 8524 3782 8536 3834
+rect 8536 3782 8542 3834
+rect 8566 3782 8588 3834
+rect 8588 3782 8600 3834
+rect 8600 3782 8622 3834
+rect 8646 3782 8652 3834
+rect 8652 3782 8664 3834
+rect 8664 3782 8702 3834
+rect 8166 3780 8222 3782
+rect 8246 3780 8302 3782
+rect 8326 3780 8382 3782
+rect 8406 3780 8462 3782
+rect 8486 3780 8542 3782
+rect 8566 3780 8622 3782
+rect 8646 3780 8702 3782
+rect 7776 3084 7832 3140
+rect 8376 3084 8432 3140
+rect 8076 2734 8132 2790
+rect 7776 2384 7832 2440
+rect 8676 2734 8732 2790
+rect 8376 2384 8432 2440
+rect 8976 3084 9032 3140
+rect 9592 3084 9648 3140
+rect 10192 3084 10248 3140
+rect 9892 2734 9948 2790
+rect 8976 2384 9032 2440
+rect 9592 2384 9648 2440
+rect 10192 2384 10248 2440
+rect 11518 5364 11574 5400
+rect 11518 5344 11520 5364
+rect 11520 5344 11572 5364
+rect 11572 5344 11574 5364
+rect 11794 4528 11850 4584
+rect 11518 3984 11574 4040
+rect 10831 3834 10887 3836
+rect 10911 3834 10967 3836
+rect 10991 3834 11047 3836
+rect 11071 3834 11127 3836
+rect 11151 3834 11207 3836
+rect 11231 3834 11287 3836
+rect 11311 3834 11367 3836
+rect 10831 3782 10869 3834
+rect 10869 3782 10881 3834
+rect 10881 3782 10887 3834
+rect 10911 3782 10933 3834
+rect 10933 3782 10945 3834
+rect 10945 3782 10967 3834
+rect 10991 3782 10997 3834
+rect 10997 3782 11009 3834
+rect 11009 3782 11047 3834
+rect 11071 3782 11073 3834
+rect 11073 3782 11125 3834
+rect 11125 3782 11127 3834
+rect 11151 3782 11189 3834
+rect 11189 3782 11201 3834
+rect 11201 3782 11207 3834
+rect 11231 3782 11253 3834
+rect 11253 3782 11265 3834
+rect 11265 3782 11287 3834
+rect 11311 3782 11317 3834
+rect 11317 3782 11329 3834
+rect 11329 3782 11367 3834
+rect 10831 3780 10887 3782
+rect 10911 3780 10967 3782
+rect 10991 3780 11047 3782
+rect 11071 3780 11127 3782
+rect 11151 3780 11207 3782
+rect 11231 3780 11287 3782
+rect 11311 3780 11367 3782
+rect 12163 5466 12219 5468
+rect 12243 5466 12299 5468
+rect 12323 5466 12379 5468
+rect 12403 5466 12459 5468
+rect 12483 5466 12539 5468
+rect 12563 5466 12619 5468
+rect 12643 5466 12699 5468
+rect 12163 5414 12201 5466
+rect 12201 5414 12213 5466
+rect 12213 5414 12219 5466
+rect 12243 5414 12265 5466
+rect 12265 5414 12277 5466
+rect 12277 5414 12299 5466
+rect 12323 5414 12329 5466
+rect 12329 5414 12341 5466
+rect 12341 5414 12379 5466
+rect 12403 5414 12405 5466
+rect 12405 5414 12457 5466
+rect 12457 5414 12459 5466
+rect 12483 5414 12521 5466
+rect 12521 5414 12533 5466
+rect 12533 5414 12539 5466
+rect 12563 5414 12585 5466
+rect 12585 5414 12597 5466
+rect 12597 5414 12619 5466
+rect 12643 5414 12649 5466
+rect 12649 5414 12661 5466
+rect 12661 5414 12699 5466
+rect 12163 5412 12219 5414
+rect 12243 5412 12299 5414
+rect 12323 5412 12379 5414
+rect 12403 5412 12459 5414
+rect 12483 5412 12539 5414
+rect 12563 5412 12619 5414
+rect 12643 5412 12699 5414
+rect 12254 4664 12310 4720
+rect 12163 4378 12219 4380
+rect 12243 4378 12299 4380
+rect 12323 4378 12379 4380
+rect 12403 4378 12459 4380
+rect 12483 4378 12539 4380
+rect 12563 4378 12619 4380
+rect 12643 4378 12699 4380
+rect 12163 4326 12201 4378
+rect 12201 4326 12213 4378
+rect 12213 4326 12219 4378
+rect 12243 4326 12265 4378
+rect 12265 4326 12277 4378
+rect 12277 4326 12299 4378
+rect 12323 4326 12329 4378
+rect 12329 4326 12341 4378
+rect 12341 4326 12379 4378
+rect 12403 4326 12405 4378
+rect 12405 4326 12457 4378
+rect 12457 4326 12459 4378
+rect 12483 4326 12521 4378
+rect 12521 4326 12533 4378
+rect 12533 4326 12539 4378
+rect 12563 4326 12585 4378
+rect 12585 4326 12597 4378
+rect 12597 4326 12619 4378
+rect 12643 4326 12649 4378
+rect 12649 4326 12661 4378
+rect 12661 4326 12699 4378
+rect 12163 4324 12219 4326
+rect 12243 4324 12299 4326
+rect 12323 4324 12379 4326
+rect 12403 4324 12459 4326
+rect 12483 4324 12539 4326
+rect 12563 4324 12619 4326
+rect 12643 4324 12699 4326
+rect 12898 4392 12954 4448
+rect 12990 4256 13046 4312
+rect 10792 3131 10794 3140
+rect 10794 3131 10846 3140
+rect 10846 3131 10848 3140
+rect 10792 3084 10848 3131
+rect 10492 2734 10548 2790
+rect 11392 3084 11448 3140
+rect 10792 2384 10848 2440
+rect 11092 2734 11148 2790
+rect 11992 3084 12048 3140
+rect 11692 2734 11748 2790
+rect 11392 2384 11448 2440
+rect 12592 3084 12648 3140
+rect 12292 2734 12348 2790
+rect 11992 2384 12048 2440
+rect 13496 4922 13552 4924
+rect 13576 4922 13632 4924
+rect 13656 4922 13712 4924
+rect 13736 4922 13792 4924
+rect 13816 4922 13872 4924
+rect 13896 4922 13952 4924
+rect 13976 4922 14032 4924
+rect 13496 4870 13534 4922
+rect 13534 4870 13546 4922
+rect 13546 4870 13552 4922
+rect 13576 4870 13598 4922
+rect 13598 4870 13610 4922
+rect 13610 4870 13632 4922
+rect 13656 4870 13662 4922
+rect 13662 4870 13674 4922
+rect 13674 4870 13712 4922
+rect 13736 4870 13738 4922
+rect 13738 4870 13790 4922
+rect 13790 4870 13792 4922
+rect 13816 4870 13854 4922
+rect 13854 4870 13866 4922
+rect 13866 4870 13872 4922
+rect 13896 4870 13918 4922
+rect 13918 4870 13930 4922
+rect 13930 4870 13952 4922
+rect 13976 4870 13982 4922
+rect 13982 4870 13994 4922
+rect 13994 4870 14032 4922
+rect 13496 4868 13552 4870
+rect 13576 4868 13632 4870
+rect 13656 4868 13712 4870
+rect 13736 4868 13792 4870
+rect 13816 4868 13872 4870
+rect 13896 4868 13952 4870
+rect 13976 4868 14032 4870
+rect 13634 4564 13636 4584
+rect 13636 4564 13688 4584
+rect 13688 4564 13690 4584
+rect 13634 4528 13690 4564
+rect 14278 4800 14334 4856
+rect 14828 5466 14884 5468
+rect 14908 5466 14964 5468
+rect 14988 5466 15044 5468
+rect 15068 5466 15124 5468
+rect 15148 5466 15204 5468
+rect 15228 5466 15284 5468
+rect 15308 5466 15364 5468
+rect 14828 5414 14866 5466
+rect 14866 5414 14878 5466
+rect 14878 5414 14884 5466
+rect 14908 5414 14930 5466
+rect 14930 5414 14942 5466
+rect 14942 5414 14964 5466
+rect 14988 5414 14994 5466
+rect 14994 5414 15006 5466
+rect 15006 5414 15044 5466
+rect 15068 5414 15070 5466
+rect 15070 5414 15122 5466
+rect 15122 5414 15124 5466
+rect 15148 5414 15186 5466
+rect 15186 5414 15198 5466
+rect 15198 5414 15204 5466
+rect 15228 5414 15250 5466
+rect 15250 5414 15262 5466
+rect 15262 5414 15284 5466
+rect 15308 5414 15314 5466
+rect 15314 5414 15326 5466
+rect 15326 5414 15364 5466
+rect 14828 5412 14884 5414
+rect 14908 5412 14964 5414
+rect 14988 5412 15044 5414
+rect 15068 5412 15124 5414
+rect 15148 5412 15204 5414
+rect 15228 5412 15284 5414
+rect 15308 5412 15364 5414
+rect 14278 4392 14334 4448
+rect 14186 4276 14242 4312
+rect 14186 4256 14188 4276
+rect 14188 4256 14240 4276
+rect 14240 4256 14242 4276
+rect 13358 3984 13414 4040
+rect 13542 3984 13598 4040
+rect 13496 3834 13552 3836
+rect 13576 3834 13632 3836
+rect 13656 3834 13712 3836
+rect 13736 3834 13792 3836
+rect 13816 3834 13872 3836
+rect 13896 3834 13952 3836
+rect 13976 3834 14032 3836
+rect 13496 3782 13534 3834
+rect 13534 3782 13546 3834
+rect 13546 3782 13552 3834
+rect 13576 3782 13598 3834
+rect 13598 3782 13610 3834
+rect 13610 3782 13632 3834
+rect 13656 3782 13662 3834
+rect 13662 3782 13674 3834
+rect 13674 3782 13712 3834
+rect 13736 3782 13738 3834
+rect 13738 3782 13790 3834
+rect 13790 3782 13792 3834
+rect 13816 3782 13854 3834
+rect 13854 3782 13866 3834
+rect 13866 3782 13872 3834
+rect 13896 3782 13918 3834
+rect 13918 3782 13930 3834
+rect 13930 3782 13952 3834
+rect 13976 3782 13982 3834
+rect 13982 3782 13994 3834
+rect 13994 3782 14032 3834
+rect 13496 3780 13552 3782
+rect 13576 3780 13632 3782
+rect 13656 3780 13712 3782
+rect 13736 3780 13792 3782
+rect 13816 3780 13872 3782
+rect 13896 3780 13952 3782
+rect 13976 3780 14032 3782
+rect 14186 3884 14188 3904
+rect 14188 3884 14240 3904
+rect 14240 3884 14242 3904
+rect 14186 3848 14242 3884
+rect 15382 4664 15438 4720
+rect 15290 4528 15346 4584
+rect 14828 4378 14884 4380
+rect 14908 4378 14964 4380
+rect 14988 4378 15044 4380
+rect 15068 4378 15124 4380
+rect 15148 4378 15204 4380
+rect 15228 4378 15284 4380
+rect 15308 4378 15364 4380
+rect 14828 4326 14866 4378
+rect 14866 4326 14878 4378
+rect 14878 4326 14884 4378
+rect 14908 4326 14930 4378
+rect 14930 4326 14942 4378
+rect 14942 4326 14964 4378
+rect 14988 4326 14994 4378
+rect 14994 4326 15006 4378
+rect 15006 4326 15044 4378
+rect 15068 4326 15070 4378
+rect 15070 4326 15122 4378
+rect 15122 4326 15124 4378
+rect 15148 4326 15186 4378
+rect 15186 4326 15198 4378
+rect 15198 4326 15204 4378
+rect 15228 4326 15250 4378
+rect 15250 4326 15262 4378
+rect 15262 4326 15284 4378
+rect 15308 4326 15314 4378
+rect 15314 4326 15326 4378
+rect 15326 4326 15364 4378
+rect 14828 4324 14884 4326
+rect 14908 4324 14964 4326
+rect 14988 4324 15044 4326
+rect 15068 4324 15124 4326
+rect 15148 4324 15204 4326
+rect 15228 4324 15284 4326
+rect 15308 4324 15364 4326
+rect 14554 4120 14610 4176
+rect 13192 3084 13248 3140
+rect 13808 3084 13864 3140
+rect 12892 2734 12948 2790
+rect 12592 2384 12648 2440
+rect 13192 2384 13248 2440
+rect 14408 3084 14464 3140
+rect 14108 2734 14164 2790
+rect 13808 2384 13864 2440
+rect 17493 7642 17549 7644
+rect 17573 7642 17629 7644
+rect 17653 7642 17709 7644
+rect 17733 7642 17789 7644
+rect 17813 7642 17869 7644
+rect 17893 7642 17949 7644
+rect 17973 7642 18029 7644
+rect 17493 7590 17531 7642
+rect 17531 7590 17543 7642
+rect 17543 7590 17549 7642
+rect 17573 7590 17595 7642
+rect 17595 7590 17607 7642
+rect 17607 7590 17629 7642
+rect 17653 7590 17659 7642
+rect 17659 7590 17671 7642
+rect 17671 7590 17709 7642
+rect 17733 7590 17735 7642
+rect 17735 7590 17787 7642
+rect 17787 7590 17789 7642
+rect 17813 7590 17851 7642
+rect 17851 7590 17863 7642
+rect 17863 7590 17869 7642
+rect 17893 7590 17915 7642
+rect 17915 7590 17927 7642
+rect 17927 7590 17949 7642
+rect 17973 7590 17979 7642
+rect 17979 7590 17991 7642
+rect 17991 7590 18029 7642
+rect 17493 7588 17549 7590
+rect 17573 7588 17629 7590
+rect 17653 7588 17709 7590
+rect 17733 7588 17789 7590
+rect 17813 7588 17869 7590
+rect 17893 7588 17949 7590
+rect 17973 7588 18029 7590
+rect 16161 7098 16217 7100
+rect 16241 7098 16297 7100
+rect 16321 7098 16377 7100
+rect 16401 7098 16457 7100
+rect 16481 7098 16537 7100
+rect 16561 7098 16617 7100
+rect 16641 7098 16697 7100
+rect 16161 7046 16199 7098
+rect 16199 7046 16211 7098
+rect 16211 7046 16217 7098
+rect 16241 7046 16263 7098
+rect 16263 7046 16275 7098
+rect 16275 7046 16297 7098
+rect 16321 7046 16327 7098
+rect 16327 7046 16339 7098
+rect 16339 7046 16377 7098
+rect 16401 7046 16403 7098
+rect 16403 7046 16455 7098
+rect 16455 7046 16457 7098
+rect 16481 7046 16519 7098
+rect 16519 7046 16531 7098
+rect 16531 7046 16537 7098
+rect 16561 7046 16583 7098
+rect 16583 7046 16595 7098
+rect 16595 7046 16617 7098
+rect 16641 7046 16647 7098
+rect 16647 7046 16659 7098
+rect 16659 7046 16697 7098
+rect 16161 7044 16217 7046
+rect 16241 7044 16297 7046
+rect 16321 7044 16377 7046
+rect 16401 7044 16457 7046
+rect 16481 7044 16537 7046
+rect 16561 7044 16617 7046
+rect 16641 7044 16697 7046
+rect 18826 7098 18882 7100
+rect 18906 7098 18962 7100
+rect 18986 7098 19042 7100
+rect 19066 7098 19122 7100
+rect 19146 7098 19202 7100
+rect 19226 7098 19282 7100
+rect 19306 7098 19362 7100
+rect 18826 7046 18864 7098
+rect 18864 7046 18876 7098
+rect 18876 7046 18882 7098
+rect 18906 7046 18928 7098
+rect 18928 7046 18940 7098
+rect 18940 7046 18962 7098
+rect 18986 7046 18992 7098
+rect 18992 7046 19004 7098
+rect 19004 7046 19042 7098
+rect 19066 7046 19068 7098
+rect 19068 7046 19120 7098
+rect 19120 7046 19122 7098
+rect 19146 7046 19184 7098
+rect 19184 7046 19196 7098
+rect 19196 7046 19202 7098
+rect 19226 7046 19248 7098
+rect 19248 7046 19260 7098
+rect 19260 7046 19282 7098
+rect 19306 7046 19312 7098
+rect 19312 7046 19324 7098
+rect 19324 7046 19362 7098
+rect 18826 7044 18882 7046
+rect 18906 7044 18962 7046
+rect 18986 7044 19042 7046
+rect 19066 7044 19122 7046
+rect 19146 7044 19202 7046
+rect 19226 7044 19282 7046
+rect 19306 7044 19362 7046
+rect 17493 6554 17549 6556
+rect 17573 6554 17629 6556
+rect 17653 6554 17709 6556
+rect 17733 6554 17789 6556
+rect 17813 6554 17869 6556
+rect 17893 6554 17949 6556
+rect 17973 6554 18029 6556
+rect 17493 6502 17531 6554
+rect 17531 6502 17543 6554
+rect 17543 6502 17549 6554
+rect 17573 6502 17595 6554
+rect 17595 6502 17607 6554
+rect 17607 6502 17629 6554
+rect 17653 6502 17659 6554
+rect 17659 6502 17671 6554
+rect 17671 6502 17709 6554
+rect 17733 6502 17735 6554
+rect 17735 6502 17787 6554
+rect 17787 6502 17789 6554
+rect 17813 6502 17851 6554
+rect 17851 6502 17863 6554
+rect 17863 6502 17869 6554
+rect 17893 6502 17915 6554
+rect 17915 6502 17927 6554
+rect 17927 6502 17949 6554
+rect 17973 6502 17979 6554
+rect 17979 6502 17991 6554
+rect 17991 6502 18029 6554
+rect 17493 6500 17549 6502
+rect 17573 6500 17629 6502
+rect 17653 6500 17709 6502
+rect 17733 6500 17789 6502
+rect 17813 6500 17869 6502
+rect 17893 6500 17949 6502
+rect 17973 6500 18029 6502
+rect 16161 6010 16217 6012
+rect 16241 6010 16297 6012
+rect 16321 6010 16377 6012
+rect 16401 6010 16457 6012
+rect 16481 6010 16537 6012
+rect 16561 6010 16617 6012
+rect 16641 6010 16697 6012
+rect 16161 5958 16199 6010
+rect 16199 5958 16211 6010
+rect 16211 5958 16217 6010
+rect 16241 5958 16263 6010
+rect 16263 5958 16275 6010
+rect 16275 5958 16297 6010
+rect 16321 5958 16327 6010
+rect 16327 5958 16339 6010
+rect 16339 5958 16377 6010
+rect 16401 5958 16403 6010
+rect 16403 5958 16455 6010
+rect 16455 5958 16457 6010
+rect 16481 5958 16519 6010
+rect 16519 5958 16531 6010
+rect 16531 5958 16537 6010
+rect 16561 5958 16583 6010
+rect 16583 5958 16595 6010
+rect 16595 5958 16617 6010
+rect 16641 5958 16647 6010
+rect 16647 5958 16659 6010
+rect 16659 5958 16697 6010
+rect 16161 5956 16217 5958
+rect 16241 5956 16297 5958
+rect 16321 5956 16377 5958
+rect 16401 5956 16457 5958
+rect 16481 5956 16537 5958
+rect 16561 5956 16617 5958
+rect 16641 5956 16697 5958
+rect 15566 5072 15622 5128
+rect 16026 5752 16082 5808
+rect 15750 3848 15806 3904
+rect 16161 4922 16217 4924
+rect 16241 4922 16297 4924
+rect 16321 4922 16377 4924
+rect 16401 4922 16457 4924
+rect 16481 4922 16537 4924
+rect 16561 4922 16617 4924
+rect 16641 4922 16697 4924
+rect 16161 4870 16199 4922
+rect 16199 4870 16211 4922
+rect 16211 4870 16217 4922
+rect 16241 4870 16263 4922
+rect 16263 4870 16275 4922
+rect 16275 4870 16297 4922
+rect 16321 4870 16327 4922
+rect 16327 4870 16339 4922
+rect 16339 4870 16377 4922
+rect 16401 4870 16403 4922
+rect 16403 4870 16455 4922
+rect 16455 4870 16457 4922
+rect 16481 4870 16519 4922
+rect 16519 4870 16531 4922
+rect 16531 4870 16537 4922
+rect 16561 4870 16583 4922
+rect 16583 4870 16595 4922
+rect 16595 4870 16617 4922
+rect 16641 4870 16647 4922
+rect 16647 4870 16659 4922
+rect 16659 4870 16697 4922
+rect 16161 4868 16217 4870
+rect 16241 4868 16297 4870
+rect 16321 4868 16377 4870
+rect 16401 4868 16457 4870
+rect 16481 4868 16537 4870
+rect 16561 4868 16617 4870
+rect 16641 4868 16697 4870
+rect 17130 5616 17186 5672
+rect 17038 4664 17094 4720
+rect 16161 3834 16217 3836
+rect 16241 3834 16297 3836
+rect 16321 3834 16377 3836
+rect 16401 3834 16457 3836
+rect 16481 3834 16537 3836
+rect 16561 3834 16617 3836
+rect 16641 3834 16697 3836
+rect 16161 3782 16199 3834
+rect 16199 3782 16211 3834
+rect 16211 3782 16217 3834
+rect 16241 3782 16263 3834
+rect 16263 3782 16275 3834
+rect 16275 3782 16297 3834
+rect 16321 3782 16327 3834
+rect 16327 3782 16339 3834
+rect 16339 3782 16377 3834
+rect 16401 3782 16403 3834
+rect 16403 3782 16455 3834
+rect 16455 3782 16457 3834
+rect 16481 3782 16519 3834
+rect 16519 3782 16531 3834
+rect 16531 3782 16537 3834
+rect 16561 3782 16583 3834
+rect 16583 3782 16595 3834
+rect 16595 3782 16617 3834
+rect 16641 3782 16647 3834
+rect 16647 3782 16659 3834
+rect 16659 3782 16697 3834
+rect 16161 3780 16217 3782
+rect 16241 3780 16297 3782
+rect 16321 3780 16377 3782
+rect 16401 3780 16457 3782
+rect 16481 3780 16537 3782
+rect 16561 3780 16617 3782
+rect 16641 3780 16697 3782
+rect 15008 3131 15010 3140
+rect 15010 3131 15062 3140
+rect 15062 3131 15064 3140
+rect 15008 3084 15064 3131
+rect 14708 2734 14764 2790
+rect 14408 2384 14464 2440
+rect 15608 3084 15664 3140
+rect 15308 2734 15364 2790
+rect 15008 2384 15064 2440
+rect 16208 3084 16264 3140
+rect 15908 2734 15964 2790
+rect 15608 2384 15664 2440
+rect 16208 2384 16264 2440
+rect 16808 3084 16864 3140
+rect 16508 2734 16564 2790
+rect 16808 2384 16864 2440
+rect 18142 5752 18198 5808
+rect 17493 5466 17549 5468
+rect 17573 5466 17629 5468
+rect 17653 5466 17709 5468
+rect 17733 5466 17789 5468
+rect 17813 5466 17869 5468
+rect 17893 5466 17949 5468
+rect 17973 5466 18029 5468
+rect 17493 5414 17531 5466
+rect 17531 5414 17543 5466
+rect 17543 5414 17549 5466
+rect 17573 5414 17595 5466
+rect 17595 5414 17607 5466
+rect 17607 5414 17629 5466
+rect 17653 5414 17659 5466
+rect 17659 5414 17671 5466
+rect 17671 5414 17709 5466
+rect 17733 5414 17735 5466
+rect 17735 5414 17787 5466
+rect 17787 5414 17789 5466
+rect 17813 5414 17851 5466
+rect 17851 5414 17863 5466
+rect 17863 5414 17869 5466
+rect 17893 5414 17915 5466
+rect 17915 5414 17927 5466
+rect 17927 5414 17949 5466
+rect 17973 5414 17979 5466
+rect 17979 5414 17991 5466
+rect 17991 5414 18029 5466
+rect 17493 5412 17549 5414
+rect 17573 5412 17629 5414
+rect 17653 5412 17709 5414
+rect 17733 5412 17789 5414
+rect 17813 5412 17869 5414
+rect 17893 5412 17949 5414
+rect 17973 5412 18029 5414
+rect 18024 4716 18080 4772
+rect 18624 4716 18680 4772
+rect 18324 4366 18380 4422
+rect 17590 4020 17592 4040
+rect 17592 4020 17644 4040
+rect 17644 4020 17646 4040
+rect 17590 3984 17646 4020
+rect 18024 4016 18080 4072
+rect 18624 4016 18680 4072
+rect 17408 3084 17464 3140
+rect 18024 3084 18080 3140
+rect 17108 2734 17164 2790
+rect 18624 3084 18680 3140
+rect 18324 2734 18380 2790
+rect 17408 2384 17464 2440
+rect 18024 2384 18080 2440
+rect 18826 6010 18882 6012
+rect 18906 6010 18962 6012
+rect 18986 6010 19042 6012
+rect 19066 6010 19122 6012
+rect 19146 6010 19202 6012
+rect 19226 6010 19282 6012
+rect 19306 6010 19362 6012
+rect 18826 5958 18864 6010
+rect 18864 5958 18876 6010
+rect 18876 5958 18882 6010
+rect 18906 5958 18928 6010
+rect 18928 5958 18940 6010
+rect 18940 5958 18962 6010
+rect 18986 5958 18992 6010
+rect 18992 5958 19004 6010
+rect 19004 5958 19042 6010
+rect 19066 5958 19068 6010
+rect 19068 5958 19120 6010
+rect 19120 5958 19122 6010
+rect 19146 5958 19184 6010
+rect 19184 5958 19196 6010
+rect 19196 5958 19202 6010
+rect 19226 5958 19248 6010
+rect 19248 5958 19260 6010
+rect 19260 5958 19282 6010
+rect 19306 5958 19312 6010
+rect 19312 5958 19324 6010
+rect 19324 5958 19362 6010
+rect 18826 5956 18882 5958
+rect 18906 5956 18962 5958
+rect 18986 5956 19042 5958
+rect 19066 5956 19122 5958
+rect 19146 5956 19202 5958
+rect 19226 5956 19282 5958
+rect 19306 5956 19362 5958
+rect 19224 4763 19226 4772
+rect 19226 4763 19278 4772
+rect 19278 4763 19280 4772
+rect 19224 4716 19280 4763
+rect 18924 4366 18980 4422
+rect 19224 4016 19280 4072
+rect 19224 3131 19226 3140
+rect 19226 3131 19278 3140
+rect 19278 3131 19280 3140
+rect 19224 3084 19280 3131
+rect 18924 2734 18980 2790
+rect 18624 2384 18680 2440
+rect 19824 4716 19880 4772
+rect 19524 4366 19580 4422
+rect 37094 8744 37150 8800
+rect 20158 7642 20214 7644
+rect 20238 7642 20294 7644
+rect 20318 7642 20374 7644
+rect 20398 7642 20454 7644
+rect 20478 7642 20534 7644
+rect 20558 7642 20614 7644
+rect 20638 7642 20694 7644
+rect 20158 7590 20196 7642
+rect 20196 7590 20208 7642
+rect 20208 7590 20214 7642
+rect 20238 7590 20260 7642
+rect 20260 7590 20272 7642
+rect 20272 7590 20294 7642
+rect 20318 7590 20324 7642
+rect 20324 7590 20336 7642
+rect 20336 7590 20374 7642
+rect 20398 7590 20400 7642
+rect 20400 7590 20452 7642
+rect 20452 7590 20454 7642
+rect 20478 7590 20516 7642
+rect 20516 7590 20528 7642
+rect 20528 7590 20534 7642
+rect 20558 7590 20580 7642
+rect 20580 7590 20592 7642
+rect 20592 7590 20614 7642
+rect 20638 7590 20644 7642
+rect 20644 7590 20656 7642
+rect 20656 7590 20694 7642
+rect 20158 7588 20214 7590
+rect 20238 7588 20294 7590
+rect 20318 7588 20374 7590
+rect 20398 7588 20454 7590
+rect 20478 7588 20534 7590
+rect 20558 7588 20614 7590
+rect 20638 7588 20694 7590
+rect 20158 6554 20214 6556
+rect 20238 6554 20294 6556
+rect 20318 6554 20374 6556
+rect 20398 6554 20454 6556
+rect 20478 6554 20534 6556
+rect 20558 6554 20614 6556
+rect 20638 6554 20694 6556
+rect 20158 6502 20196 6554
+rect 20196 6502 20208 6554
+rect 20208 6502 20214 6554
+rect 20238 6502 20260 6554
+rect 20260 6502 20272 6554
+rect 20272 6502 20294 6554
+rect 20318 6502 20324 6554
+rect 20324 6502 20336 6554
+rect 20336 6502 20374 6554
+rect 20398 6502 20400 6554
+rect 20400 6502 20452 6554
+rect 20452 6502 20454 6554
+rect 20478 6502 20516 6554
+rect 20516 6502 20528 6554
+rect 20528 6502 20534 6554
+rect 20558 6502 20580 6554
+rect 20580 6502 20592 6554
+rect 20592 6502 20614 6554
+rect 20638 6502 20644 6554
+rect 20644 6502 20656 6554
+rect 20656 6502 20694 6554
+rect 20158 6500 20214 6502
+rect 20238 6500 20294 6502
+rect 20318 6500 20374 6502
+rect 20398 6500 20454 6502
+rect 20478 6500 20534 6502
+rect 20558 6500 20614 6502
+rect 20638 6500 20694 6502
+rect 20442 6160 20498 6216
+rect 21491 7098 21547 7100
+rect 21571 7098 21627 7100
+rect 21651 7098 21707 7100
+rect 21731 7098 21787 7100
+rect 21811 7098 21867 7100
+rect 21891 7098 21947 7100
+rect 21971 7098 22027 7100
+rect 21491 7046 21529 7098
+rect 21529 7046 21541 7098
+rect 21541 7046 21547 7098
+rect 21571 7046 21593 7098
+rect 21593 7046 21605 7098
+rect 21605 7046 21627 7098
+rect 21651 7046 21657 7098
+rect 21657 7046 21669 7098
+rect 21669 7046 21707 7098
+rect 21731 7046 21733 7098
+rect 21733 7046 21785 7098
+rect 21785 7046 21787 7098
+rect 21811 7046 21849 7098
+rect 21849 7046 21861 7098
+rect 21861 7046 21867 7098
+rect 21891 7046 21913 7098
+rect 21913 7046 21925 7098
+rect 21925 7046 21947 7098
+rect 21971 7046 21977 7098
+rect 21977 7046 21989 7098
+rect 21989 7046 22027 7098
+rect 21491 7044 21547 7046
+rect 21571 7044 21627 7046
+rect 21651 7044 21707 7046
+rect 21731 7044 21787 7046
+rect 21811 7044 21867 7046
+rect 21891 7044 21947 7046
+rect 21971 7044 22027 7046
+rect 21362 6704 21418 6760
+rect 20442 5652 20444 5672
+rect 20444 5652 20496 5672
+rect 20496 5652 20498 5672
+rect 20442 5616 20498 5652
+rect 20158 5466 20214 5468
+rect 20238 5466 20294 5468
+rect 20318 5466 20374 5468
+rect 20398 5466 20454 5468
+rect 20478 5466 20534 5468
+rect 20558 5466 20614 5468
+rect 20638 5466 20694 5468
+rect 20158 5414 20196 5466
+rect 20196 5414 20208 5466
+rect 20208 5414 20214 5466
+rect 20238 5414 20260 5466
+rect 20260 5414 20272 5466
+rect 20272 5414 20294 5466
+rect 20318 5414 20324 5466
+rect 20324 5414 20336 5466
+rect 20336 5414 20374 5466
+rect 20398 5414 20400 5466
+rect 20400 5414 20452 5466
+rect 20452 5414 20454 5466
+rect 20478 5414 20516 5466
+rect 20516 5414 20528 5466
+rect 20528 5414 20534 5466
+rect 20558 5414 20580 5466
+rect 20580 5414 20592 5466
+rect 20592 5414 20614 5466
+rect 20638 5414 20644 5466
+rect 20644 5414 20656 5466
+rect 20656 5414 20694 5466
+rect 20158 5412 20214 5414
+rect 20238 5412 20294 5414
+rect 20318 5412 20374 5414
+rect 20398 5412 20454 5414
+rect 20478 5412 20534 5414
+rect 20558 5412 20614 5414
+rect 20638 5412 20694 5414
+rect 20424 4716 20480 4772
+rect 20124 4366 20180 4422
+rect 19824 4016 19880 4072
+rect 20724 4366 20780 4422
+rect 20424 4016 20480 4072
+rect 19824 3084 19880 3140
+rect 19524 2734 19580 2790
+rect 19224 2384 19280 2440
+rect 20424 3084 20480 3140
+rect 20124 2734 20180 2790
+rect 19824 2384 19880 2440
+rect 21491 6010 21547 6012
+rect 21571 6010 21627 6012
+rect 21651 6010 21707 6012
+rect 21731 6010 21787 6012
+rect 21811 6010 21867 6012
+rect 21891 6010 21947 6012
+rect 21971 6010 22027 6012
+rect 21491 5958 21529 6010
+rect 21529 5958 21541 6010
+rect 21541 5958 21547 6010
+rect 21571 5958 21593 6010
+rect 21593 5958 21605 6010
+rect 21605 5958 21627 6010
+rect 21651 5958 21657 6010
+rect 21657 5958 21669 6010
+rect 21669 5958 21707 6010
+rect 21731 5958 21733 6010
+rect 21733 5958 21785 6010
+rect 21785 5958 21787 6010
+rect 21811 5958 21849 6010
+rect 21849 5958 21861 6010
+rect 21861 5958 21867 6010
+rect 21891 5958 21913 6010
+rect 21913 5958 21925 6010
+rect 21925 5958 21947 6010
+rect 21971 5958 21977 6010
+rect 21977 5958 21989 6010
+rect 21989 5958 22027 6010
+rect 21491 5956 21547 5958
+rect 21571 5956 21627 5958
+rect 21651 5956 21707 5958
+rect 21731 5956 21787 5958
+rect 21811 5956 21867 5958
+rect 21891 5956 21947 5958
+rect 21971 5956 22027 5958
+rect 21024 4716 21080 4772
+rect 21624 4716 21680 4772
+rect 21324 4366 21380 4422
+rect 21024 4016 21080 4072
+rect 21624 4016 21680 4072
+rect 22240 4716 22296 4772
+rect 22823 7642 22879 7644
+rect 22903 7642 22959 7644
+rect 22983 7642 23039 7644
+rect 23063 7642 23119 7644
+rect 23143 7642 23199 7644
+rect 23223 7642 23279 7644
+rect 23303 7642 23359 7644
+rect 22823 7590 22861 7642
+rect 22861 7590 22873 7642
+rect 22873 7590 22879 7642
+rect 22903 7590 22925 7642
+rect 22925 7590 22937 7642
+rect 22937 7590 22959 7642
+rect 22983 7590 22989 7642
+rect 22989 7590 23001 7642
+rect 23001 7590 23039 7642
+rect 23063 7590 23065 7642
+rect 23065 7590 23117 7642
+rect 23117 7590 23119 7642
+rect 23143 7590 23181 7642
+rect 23181 7590 23193 7642
+rect 23193 7590 23199 7642
+rect 23223 7590 23245 7642
+rect 23245 7590 23257 7642
+rect 23257 7590 23279 7642
+rect 23303 7590 23309 7642
+rect 23309 7590 23321 7642
+rect 23321 7590 23359 7642
+rect 22823 7588 22879 7590
+rect 22903 7588 22959 7590
+rect 22983 7588 23039 7590
+rect 23063 7588 23119 7590
+rect 23143 7588 23199 7590
+rect 23223 7588 23279 7590
+rect 23303 7588 23359 7590
+rect 25488 7642 25544 7644
+rect 25568 7642 25624 7644
+rect 25648 7642 25704 7644
+rect 25728 7642 25784 7644
+rect 25808 7642 25864 7644
+rect 25888 7642 25944 7644
+rect 25968 7642 26024 7644
+rect 25488 7590 25526 7642
+rect 25526 7590 25538 7642
+rect 25538 7590 25544 7642
+rect 25568 7590 25590 7642
+rect 25590 7590 25602 7642
+rect 25602 7590 25624 7642
+rect 25648 7590 25654 7642
+rect 25654 7590 25666 7642
+rect 25666 7590 25704 7642
+rect 25728 7590 25730 7642
+rect 25730 7590 25782 7642
+rect 25782 7590 25784 7642
+rect 25808 7590 25846 7642
+rect 25846 7590 25858 7642
+rect 25858 7590 25864 7642
+rect 25888 7590 25910 7642
+rect 25910 7590 25922 7642
+rect 25922 7590 25944 7642
+rect 25968 7590 25974 7642
+rect 25974 7590 25986 7642
+rect 25986 7590 26024 7642
+rect 25488 7588 25544 7590
+rect 25568 7588 25624 7590
+rect 25648 7588 25704 7590
+rect 25728 7588 25784 7590
+rect 25808 7588 25864 7590
+rect 25888 7588 25944 7590
+rect 25968 7588 26024 7590
+rect 22823 6554 22879 6556
+rect 22903 6554 22959 6556
+rect 22983 6554 23039 6556
+rect 23063 6554 23119 6556
+rect 23143 6554 23199 6556
+rect 23223 6554 23279 6556
+rect 23303 6554 23359 6556
+rect 22823 6502 22861 6554
+rect 22861 6502 22873 6554
+rect 22873 6502 22879 6554
+rect 22903 6502 22925 6554
+rect 22925 6502 22937 6554
+rect 22937 6502 22959 6554
+rect 22983 6502 22989 6554
+rect 22989 6502 23001 6554
+rect 23001 6502 23039 6554
+rect 23063 6502 23065 6554
+rect 23065 6502 23117 6554
+rect 23117 6502 23119 6554
+rect 23143 6502 23181 6554
+rect 23181 6502 23193 6554
+rect 23193 6502 23199 6554
+rect 23223 6502 23245 6554
+rect 23245 6502 23257 6554
+rect 23257 6502 23279 6554
+rect 23303 6502 23309 6554
+rect 23309 6502 23321 6554
+rect 23321 6502 23359 6554
+rect 22823 6500 22879 6502
+rect 22903 6500 22959 6502
+rect 22983 6500 23039 6502
+rect 23063 6500 23119 6502
+rect 23143 6500 23199 6502
+rect 23223 6500 23279 6502
+rect 23303 6500 23359 6502
+rect 24156 7098 24212 7100
+rect 24236 7098 24292 7100
+rect 24316 7098 24372 7100
+rect 24396 7098 24452 7100
+rect 24476 7098 24532 7100
+rect 24556 7098 24612 7100
+rect 24636 7098 24692 7100
+rect 24156 7046 24194 7098
+rect 24194 7046 24206 7098
+rect 24206 7046 24212 7098
+rect 24236 7046 24258 7098
+rect 24258 7046 24270 7098
+rect 24270 7046 24292 7098
+rect 24316 7046 24322 7098
+rect 24322 7046 24334 7098
+rect 24334 7046 24372 7098
+rect 24396 7046 24398 7098
+rect 24398 7046 24450 7098
+rect 24450 7046 24452 7098
+rect 24476 7046 24514 7098
+rect 24514 7046 24526 7098
+rect 24526 7046 24532 7098
+rect 24556 7046 24578 7098
+rect 24578 7046 24590 7098
+rect 24590 7046 24612 7098
+rect 24636 7046 24642 7098
+rect 24642 7046 24654 7098
+rect 24654 7046 24692 7098
+rect 24156 7044 24212 7046
+rect 24236 7044 24292 7046
+rect 24316 7044 24372 7046
+rect 24396 7044 24452 7046
+rect 24476 7044 24532 7046
+rect 24556 7044 24612 7046
+rect 24636 7044 24692 7046
+rect 23202 5616 23258 5672
+rect 22823 5466 22879 5468
+rect 22903 5466 22959 5468
+rect 22983 5466 23039 5468
+rect 23063 5466 23119 5468
+rect 23143 5466 23199 5468
+rect 23223 5466 23279 5468
+rect 23303 5466 23359 5468
+rect 22823 5414 22861 5466
+rect 22861 5414 22873 5466
+rect 22873 5414 22879 5466
+rect 22903 5414 22925 5466
+rect 22925 5414 22937 5466
+rect 22937 5414 22959 5466
+rect 22983 5414 22989 5466
+rect 22989 5414 23001 5466
+rect 23001 5414 23039 5466
+rect 23063 5414 23065 5466
+rect 23065 5414 23117 5466
+rect 23117 5414 23119 5466
+rect 23143 5414 23181 5466
+rect 23181 5414 23193 5466
+rect 23193 5414 23199 5466
+rect 23223 5414 23245 5466
+rect 23245 5414 23257 5466
+rect 23257 5414 23279 5466
+rect 23303 5414 23309 5466
+rect 23309 5414 23321 5466
+rect 23321 5414 23359 5466
+rect 22823 5412 22879 5414
+rect 22903 5412 22959 5414
+rect 22983 5412 23039 5414
+rect 23063 5412 23119 5414
+rect 23143 5412 23199 5414
+rect 23223 5412 23279 5414
+rect 23303 5412 23359 5414
+rect 23846 5752 23902 5808
+rect 23662 5480 23718 5536
+rect 22840 4716 22896 4772
+rect 22540 4366 22596 4422
+rect 22240 4016 22296 4072
+rect 23440 4763 23442 4772
+rect 23442 4763 23494 4772
+rect 23494 4763 23496 4772
+rect 23440 4716 23496 4763
+rect 22840 4016 22896 4072
+rect 21024 3084 21080 3140
+rect 20724 2734 20780 2790
+rect 20424 2384 20480 2440
+rect 21024 2384 21080 2440
+rect 21624 3084 21680 3140
+rect 22240 3084 22296 3140
+rect 21324 2734 21380 2790
+rect 22840 3084 22896 3140
+rect 22540 2734 22596 2790
+rect 21624 2384 21680 2440
+rect 22240 2384 22296 2440
+rect 23140 4366 23196 4422
+rect 22840 2384 22896 2440
+rect 23740 4366 23796 4422
+rect 23440 4016 23496 4072
+rect 23440 3131 23442 3140
+rect 23442 3131 23494 3140
+rect 23494 3131 23496 3140
+rect 23440 3084 23496 3131
+rect 23140 2734 23196 2790
+rect 24156 6010 24212 6012
+rect 24236 6010 24292 6012
+rect 24316 6010 24372 6012
+rect 24396 6010 24452 6012
+rect 24476 6010 24532 6012
+rect 24556 6010 24612 6012
+rect 24636 6010 24692 6012
+rect 24156 5958 24194 6010
+rect 24194 5958 24206 6010
+rect 24206 5958 24212 6010
+rect 24236 5958 24258 6010
+rect 24258 5958 24270 6010
+rect 24270 5958 24292 6010
+rect 24316 5958 24322 6010
+rect 24322 5958 24334 6010
+rect 24334 5958 24372 6010
+rect 24396 5958 24398 6010
+rect 24398 5958 24450 6010
+rect 24450 5958 24452 6010
+rect 24476 5958 24514 6010
+rect 24514 5958 24526 6010
+rect 24526 5958 24532 6010
+rect 24556 5958 24578 6010
+rect 24578 5958 24590 6010
+rect 24590 5958 24612 6010
+rect 24636 5958 24642 6010
+rect 24642 5958 24654 6010
+rect 24654 5958 24692 6010
+rect 24156 5956 24212 5958
+rect 24236 5956 24292 5958
+rect 24316 5956 24372 5958
+rect 24396 5956 24452 5958
+rect 24476 5956 24532 5958
+rect 24556 5956 24612 5958
+rect 24636 5956 24692 5958
+rect 24858 5888 24914 5944
+rect 25134 5888 25190 5944
+rect 24040 4716 24096 4772
+rect 24340 4366 24396 4422
+rect 24040 4016 24096 4072
+rect 24640 4716 24696 4772
+rect 24640 4016 24696 4072
+rect 25134 5616 25190 5672
+rect 25488 6554 25544 6556
+rect 25568 6554 25624 6556
+rect 25648 6554 25704 6556
+rect 25728 6554 25784 6556
+rect 25808 6554 25864 6556
+rect 25888 6554 25944 6556
+rect 25968 6554 26024 6556
+rect 25488 6502 25526 6554
+rect 25526 6502 25538 6554
+rect 25538 6502 25544 6554
+rect 25568 6502 25590 6554
+rect 25590 6502 25602 6554
+rect 25602 6502 25624 6554
+rect 25648 6502 25654 6554
+rect 25654 6502 25666 6554
+rect 25666 6502 25704 6554
+rect 25728 6502 25730 6554
+rect 25730 6502 25782 6554
+rect 25782 6502 25784 6554
+rect 25808 6502 25846 6554
+rect 25846 6502 25858 6554
+rect 25858 6502 25864 6554
+rect 25888 6502 25910 6554
+rect 25910 6502 25922 6554
+rect 25922 6502 25944 6554
+rect 25968 6502 25974 6554
+rect 25974 6502 25986 6554
+rect 25986 6502 26024 6554
+rect 25488 6500 25544 6502
+rect 25568 6500 25624 6502
+rect 25648 6500 25704 6502
+rect 25728 6500 25784 6502
+rect 25808 6500 25864 6502
+rect 25888 6500 25944 6502
+rect 25968 6500 26024 6502
+rect 25778 5752 25834 5808
+rect 25502 5636 25558 5672
+rect 25502 5616 25504 5636
+rect 25504 5616 25556 5636
+rect 25556 5616 25558 5636
+rect 25488 5466 25544 5468
+rect 25568 5466 25624 5468
+rect 25648 5466 25704 5468
+rect 25728 5466 25784 5468
+rect 25808 5466 25864 5468
+rect 25888 5466 25944 5468
+rect 25968 5466 26024 5468
+rect 25488 5414 25526 5466
+rect 25526 5414 25538 5466
+rect 25538 5414 25544 5466
+rect 25568 5414 25590 5466
+rect 25590 5414 25602 5466
+rect 25602 5414 25624 5466
+rect 25648 5414 25654 5466
+rect 25654 5414 25666 5466
+rect 25666 5414 25704 5466
+rect 25728 5414 25730 5466
+rect 25730 5414 25782 5466
+rect 25782 5414 25784 5466
+rect 25808 5414 25846 5466
+rect 25846 5414 25858 5466
+rect 25858 5414 25864 5466
+rect 25888 5414 25910 5466
+rect 25910 5414 25922 5466
+rect 25922 5414 25944 5466
+rect 25968 5414 25974 5466
+rect 25974 5414 25986 5466
+rect 25986 5414 26024 5466
+rect 25488 5412 25544 5414
+rect 25568 5412 25624 5414
+rect 25648 5412 25704 5414
+rect 25728 5412 25784 5414
+rect 25808 5412 25864 5414
+rect 25888 5412 25944 5414
+rect 25968 5412 26024 5414
+rect 25226 5208 25282 5264
+rect 25240 4716 25296 4772
+rect 24940 4366 24996 4422
+rect 25840 4716 25896 4772
+rect 25540 4366 25596 4422
+rect 25240 4016 25296 4072
+rect 25840 4016 25896 4072
+rect 24040 3084 24096 3140
+rect 23740 2734 23796 2790
+rect 23440 2384 23496 2440
+rect 24640 3084 24696 3140
+rect 24340 2734 24396 2790
+rect 24040 2384 24096 2440
+rect 25240 3084 25296 3140
+rect 24940 2734 24996 2790
+rect 24640 2384 24696 2440
+rect 25840 3084 25896 3140
+rect 25540 2734 25596 2790
+rect 25240 2384 25296 2440
+rect 26330 6568 26386 6624
+rect 26456 6348 26512 6404
+rect 28153 7642 28209 7644
+rect 28233 7642 28289 7644
+rect 28313 7642 28369 7644
+rect 28393 7642 28449 7644
+rect 28473 7642 28529 7644
+rect 28553 7642 28609 7644
+rect 28633 7642 28689 7644
+rect 28153 7590 28191 7642
+rect 28191 7590 28203 7642
+rect 28203 7590 28209 7642
+rect 28233 7590 28255 7642
+rect 28255 7590 28267 7642
+rect 28267 7590 28289 7642
+rect 28313 7590 28319 7642
+rect 28319 7590 28331 7642
+rect 28331 7590 28369 7642
+rect 28393 7590 28395 7642
+rect 28395 7590 28447 7642
+rect 28447 7590 28449 7642
+rect 28473 7590 28511 7642
+rect 28511 7590 28523 7642
+rect 28523 7590 28529 7642
+rect 28553 7590 28575 7642
+rect 28575 7590 28587 7642
+rect 28587 7590 28609 7642
+rect 28633 7590 28639 7642
+rect 28639 7590 28651 7642
+rect 28651 7590 28689 7642
+rect 28153 7588 28209 7590
+rect 28233 7588 28289 7590
+rect 28313 7588 28369 7590
+rect 28393 7588 28449 7590
+rect 28473 7588 28529 7590
+rect 28553 7588 28609 7590
+rect 28633 7588 28689 7590
+rect 26821 7098 26877 7100
+rect 26901 7098 26957 7100
+rect 26981 7098 27037 7100
+rect 27061 7098 27117 7100
+rect 27141 7098 27197 7100
+rect 27221 7098 27277 7100
+rect 27301 7098 27357 7100
+rect 26821 7046 26859 7098
+rect 26859 7046 26871 7098
+rect 26871 7046 26877 7098
+rect 26901 7046 26923 7098
+rect 26923 7046 26935 7098
+rect 26935 7046 26957 7098
+rect 26981 7046 26987 7098
+rect 26987 7046 26999 7098
+rect 26999 7046 27037 7098
+rect 27061 7046 27063 7098
+rect 27063 7046 27115 7098
+rect 27115 7046 27117 7098
+rect 27141 7046 27179 7098
+rect 27179 7046 27191 7098
+rect 27191 7046 27197 7098
+rect 27221 7046 27243 7098
+rect 27243 7046 27255 7098
+rect 27255 7046 27277 7098
+rect 27301 7046 27307 7098
+rect 27307 7046 27319 7098
+rect 27319 7046 27357 7098
+rect 26821 7044 26877 7046
+rect 26901 7044 26957 7046
+rect 26981 7044 27037 7046
+rect 27061 7044 27117 7046
+rect 27141 7044 27197 7046
+rect 27221 7044 27277 7046
+rect 27301 7044 27357 7046
+rect 27056 6348 27112 6404
+rect 26756 5998 26812 6054
+rect 26456 5648 26512 5704
+rect 27356 5998 27412 6054
+rect 27056 5648 27112 5704
+rect 26456 4716 26512 4772
+rect 27056 4716 27112 4772
+rect 26756 4366 26812 4422
+rect 26456 4016 26512 4072
+rect 27056 4016 27112 4072
+rect 26456 3084 26512 3140
+rect 25840 2384 25896 2440
+rect 27056 3084 27112 3140
+rect 26756 2734 26812 2790
+rect 26456 2384 26512 2440
+rect 27056 2384 27112 2440
+rect 30818 7642 30874 7644
+rect 30898 7642 30954 7644
+rect 30978 7642 31034 7644
+rect 31058 7642 31114 7644
+rect 31138 7642 31194 7644
+rect 31218 7642 31274 7644
+rect 31298 7642 31354 7644
+rect 30818 7590 30856 7642
+rect 30856 7590 30868 7642
+rect 30868 7590 30874 7642
+rect 30898 7590 30920 7642
+rect 30920 7590 30932 7642
+rect 30932 7590 30954 7642
+rect 30978 7590 30984 7642
+rect 30984 7590 30996 7642
+rect 30996 7590 31034 7642
+rect 31058 7590 31060 7642
+rect 31060 7590 31112 7642
+rect 31112 7590 31114 7642
+rect 31138 7590 31176 7642
+rect 31176 7590 31188 7642
+rect 31188 7590 31194 7642
+rect 31218 7590 31240 7642
+rect 31240 7590 31252 7642
+rect 31252 7590 31274 7642
+rect 31298 7590 31304 7642
+rect 31304 7590 31316 7642
+rect 31316 7590 31354 7642
+rect 30818 7588 30874 7590
+rect 30898 7588 30954 7590
+rect 30978 7588 31034 7590
+rect 31058 7588 31114 7590
+rect 31138 7588 31194 7590
+rect 31218 7588 31274 7590
+rect 31298 7588 31354 7590
+rect 27656 6395 27658 6404
+rect 27658 6395 27710 6404
+rect 27710 6395 27712 6404
+rect 27656 6348 27712 6395
+rect 28256 6348 28312 6404
+rect 27656 5648 27712 5704
+rect 27656 4763 27658 4772
+rect 27658 4763 27710 4772
+rect 27710 4763 27712 4772
+rect 27656 4716 27712 4763
+rect 27356 4366 27412 4422
+rect 27956 5998 28012 6054
+rect 27656 4016 27712 4072
+rect 27656 3131 27658 3140
+rect 27658 3131 27710 3140
+rect 27710 3131 27712 3140
+rect 27656 3084 27712 3131
+rect 27356 2734 27412 2790
+rect 27956 4366 28012 4422
+rect 28856 6348 28912 6404
+rect 28556 5998 28612 6054
+rect 28256 5648 28312 5704
+rect 29156 5998 29212 6054
+rect 28856 5648 28912 5704
+rect 28256 4716 28312 4772
+rect 28856 4716 28912 4772
+rect 28556 4366 28612 4422
+rect 28256 4016 28312 4072
+rect 29156 4366 29212 4422
+rect 28856 4016 28912 4072
+rect 28256 3084 28312 3140
+rect 27956 2734 28012 2790
+rect 27656 2384 27712 2440
+rect 28556 2734 28612 2790
+rect 28256 2384 28312 2440
+rect 28856 3084 28912 3140
+rect 29486 7098 29542 7100
+rect 29566 7098 29622 7100
+rect 29646 7098 29702 7100
+rect 29726 7098 29782 7100
+rect 29806 7098 29862 7100
+rect 29886 7098 29942 7100
+rect 29966 7098 30022 7100
+rect 29486 7046 29524 7098
+rect 29524 7046 29536 7098
+rect 29536 7046 29542 7098
+rect 29566 7046 29588 7098
+rect 29588 7046 29600 7098
+rect 29600 7046 29622 7098
+rect 29646 7046 29652 7098
+rect 29652 7046 29664 7098
+rect 29664 7046 29702 7098
+rect 29726 7046 29728 7098
+rect 29728 7046 29780 7098
+rect 29780 7046 29782 7098
+rect 29806 7046 29844 7098
+rect 29844 7046 29856 7098
+rect 29856 7046 29862 7098
+rect 29886 7046 29908 7098
+rect 29908 7046 29920 7098
+rect 29920 7046 29942 7098
+rect 29966 7046 29972 7098
+rect 29972 7046 29984 7098
+rect 29984 7046 30022 7098
+rect 29486 7044 29542 7046
+rect 29566 7044 29622 7046
+rect 29646 7044 29702 7046
+rect 29726 7044 29782 7046
+rect 29806 7044 29862 7046
+rect 29886 7044 29942 7046
+rect 29966 7044 30022 7046
+rect 29456 6348 29512 6404
+rect 30056 6348 30112 6404
+rect 29756 5998 29812 6054
+rect 29456 5648 29512 5704
+rect 31206 7248 31262 7304
+rect 30378 6840 30434 6896
+rect 30536 6348 30592 6404
+rect 30056 5648 30112 5704
+rect 30536 5648 30592 5704
+rect 29456 4716 29512 4772
+rect 30056 4716 30112 4772
+rect 30536 4716 30592 4772
+rect 29756 4366 29812 4422
+rect 29456 4016 29512 4072
+rect 33483 7642 33539 7644
+rect 33563 7642 33619 7644
+rect 33643 7642 33699 7644
+rect 33723 7642 33779 7644
+rect 33803 7642 33859 7644
+rect 33883 7642 33939 7644
+rect 33963 7642 34019 7644
+rect 33483 7590 33521 7642
+rect 33521 7590 33533 7642
+rect 33533 7590 33539 7642
+rect 33563 7590 33585 7642
+rect 33585 7590 33597 7642
+rect 33597 7590 33619 7642
+rect 33643 7590 33649 7642
+rect 33649 7590 33661 7642
+rect 33661 7590 33699 7642
+rect 33723 7590 33725 7642
+rect 33725 7590 33777 7642
+rect 33777 7590 33779 7642
+rect 33803 7590 33841 7642
+rect 33841 7590 33853 7642
+rect 33853 7590 33859 7642
+rect 33883 7590 33905 7642
+rect 33905 7590 33917 7642
+rect 33917 7590 33939 7642
+rect 33963 7590 33969 7642
+rect 33969 7590 33981 7642
+rect 33981 7590 34019 7642
+rect 33483 7588 33539 7590
+rect 33563 7588 33619 7590
+rect 33643 7588 33699 7590
+rect 33723 7588 33779 7590
+rect 33803 7588 33859 7590
+rect 33883 7588 33939 7590
+rect 33963 7588 34019 7590
+rect 31666 7404 31722 7440
+rect 31666 7384 31668 7404
+rect 31668 7384 31720 7404
+rect 31720 7384 31722 7404
+rect 30836 5998 30892 6054
+rect 30746 4528 30802 4584
+rect 31136 6348 31192 6404
+rect 31136 5648 31192 5704
+rect 31136 4716 31192 4772
+rect 30836 4366 30892 4422
+rect 30056 4016 30112 4072
+rect 30536 4016 30592 4072
+rect 31436 5998 31492 6054
+rect 31436 4366 31492 4422
+rect 31136 4016 31192 4072
+rect 29456 3084 29512 3140
+rect 29156 2734 29212 2790
+rect 28856 2384 28912 2440
+rect 30056 3084 30112 3140
+rect 30536 3084 30592 3140
+rect 29756 2734 29812 2790
+rect 29456 2384 29512 2440
+rect 31136 3084 31192 3140
+rect 30836 2734 30892 2790
+rect 30056 2384 30112 2440
+rect 30536 2384 30592 2440
+rect 31136 2384 31192 2440
+rect 31436 2734 31492 2790
+rect 32151 7098 32207 7100
+rect 32231 7098 32287 7100
+rect 32311 7098 32367 7100
+rect 32391 7098 32447 7100
+rect 32471 7098 32527 7100
+rect 32551 7098 32607 7100
+rect 32631 7098 32687 7100
+rect 32151 7046 32189 7098
+rect 32189 7046 32201 7098
+rect 32201 7046 32207 7098
+rect 32231 7046 32253 7098
+rect 32253 7046 32265 7098
+rect 32265 7046 32287 7098
+rect 32311 7046 32317 7098
+rect 32317 7046 32329 7098
+rect 32329 7046 32367 7098
+rect 32391 7046 32393 7098
+rect 32393 7046 32445 7098
+rect 32445 7046 32447 7098
+rect 32471 7046 32509 7098
+rect 32509 7046 32521 7098
+rect 32521 7046 32527 7098
+rect 32551 7046 32573 7098
+rect 32573 7046 32585 7098
+rect 32585 7046 32607 7098
+rect 32631 7046 32637 7098
+rect 32637 7046 32649 7098
+rect 32649 7046 32687 7098
+rect 32151 7044 32207 7046
+rect 32231 7044 32287 7046
+rect 32311 7044 32367 7046
+rect 32391 7044 32447 7046
+rect 32471 7044 32527 7046
+rect 32551 7044 32607 7046
+rect 32631 7044 32687 7046
+rect 31850 6704 31906 6760
+rect 31736 6395 31738 6404
+rect 31738 6395 31790 6404
+rect 31790 6395 31792 6404
+rect 31736 6348 31792 6395
+rect 32336 6348 32392 6404
+rect 31736 5648 31792 5704
+rect 31736 4763 31738 4772
+rect 31738 4763 31790 4772
+rect 31790 4763 31792 4772
+rect 31736 4716 31792 4763
+rect 32036 5998 32092 6054
+rect 31736 4016 31792 4072
+rect 31736 3131 31738 3140
+rect 31738 3131 31790 3140
+rect 31790 3131 31792 3140
+rect 31736 3084 31792 3131
+rect 32936 6348 32992 6404
+rect 32636 5998 32692 6054
+rect 32336 5648 32392 5704
+rect 33236 5998 33292 6054
+rect 32936 5648 32992 5704
+rect 32336 4716 32392 4772
+rect 32036 4366 32092 4422
+rect 32036 2734 32092 2790
+rect 31736 2384 31792 2440
+rect 32936 4716 32992 4772
+rect 32636 4366 32692 4422
+rect 32336 4016 32392 4072
+rect 33236 4366 33292 4422
+rect 32936 4016 32992 4072
+rect 32336 3084 32392 3140
+rect 32936 3084 32992 3140
+rect 32636 2734 32692 2790
+rect 32336 2384 32392 2440
+rect 33236 2734 33292 2790
+rect 32936 2384 32992 2440
+rect 33536 6348 33592 6404
+rect 34136 6348 34192 6404
+rect 33836 5998 33892 6054
+rect 33536 5648 33592 5704
+rect 36148 7642 36204 7644
+rect 36228 7642 36284 7644
+rect 36308 7642 36364 7644
+rect 36388 7642 36444 7644
+rect 36468 7642 36524 7644
+rect 36548 7642 36604 7644
+rect 36628 7642 36684 7644
+rect 36148 7590 36186 7642
+rect 36186 7590 36198 7642
+rect 36198 7590 36204 7642
+rect 36228 7590 36250 7642
+rect 36250 7590 36262 7642
+rect 36262 7590 36284 7642
+rect 36308 7590 36314 7642
+rect 36314 7590 36326 7642
+rect 36326 7590 36364 7642
+rect 36388 7590 36390 7642
+rect 36390 7590 36442 7642
+rect 36442 7590 36444 7642
+rect 36468 7590 36506 7642
+rect 36506 7590 36518 7642
+rect 36518 7590 36524 7642
+rect 36548 7590 36570 7642
+rect 36570 7590 36582 7642
+rect 36582 7590 36604 7642
+rect 36628 7590 36634 7642
+rect 36634 7590 36646 7642
+rect 36646 7590 36684 7642
+rect 36148 7588 36204 7590
+rect 36228 7588 36284 7590
+rect 36308 7588 36364 7590
+rect 36388 7588 36444 7590
+rect 36468 7588 36524 7590
+rect 36548 7588 36604 7590
+rect 36628 7588 36684 7590
+rect 35622 7404 35678 7440
+rect 35622 7384 35624 7404
+rect 35624 7384 35676 7404
+rect 35676 7384 35678 7404
+rect 34886 7248 34942 7304
+rect 34816 7098 34872 7100
+rect 34896 7098 34952 7100
+rect 34976 7098 35032 7100
+rect 35056 7098 35112 7100
+rect 35136 7098 35192 7100
+rect 35216 7098 35272 7100
+rect 35296 7098 35352 7100
+rect 34816 7046 34854 7098
+rect 34854 7046 34866 7098
+rect 34866 7046 34872 7098
+rect 34896 7046 34918 7098
+rect 34918 7046 34930 7098
+rect 34930 7046 34952 7098
+rect 34976 7046 34982 7098
+rect 34982 7046 34994 7098
+rect 34994 7046 35032 7098
+rect 35056 7046 35058 7098
+rect 35058 7046 35110 7098
+rect 35110 7046 35112 7098
+rect 35136 7046 35174 7098
+rect 35174 7046 35186 7098
+rect 35186 7046 35192 7098
+rect 35216 7046 35238 7098
+rect 35238 7046 35250 7098
+rect 35250 7046 35272 7098
+rect 35296 7046 35302 7098
+rect 35302 7046 35314 7098
+rect 35314 7046 35352 7098
+rect 34816 7044 34872 7046
+rect 34896 7044 34952 7046
+rect 34976 7044 35032 7046
+rect 35056 7044 35112 7046
+rect 35136 7044 35192 7046
+rect 35216 7044 35272 7046
+rect 35296 7044 35352 7046
+rect 34752 6348 34808 6404
+rect 35352 6348 35408 6404
+rect 35052 5998 35108 6054
+rect 34136 5648 34192 5704
+rect 34752 5648 34808 5704
+rect 35352 5648 35408 5704
+rect 33536 4716 33592 4772
+rect 34136 4716 34192 4772
+rect 34752 4716 34808 4772
+rect 33836 4366 33892 4422
+rect 33536 4016 33592 4072
+rect 35352 4716 35408 4772
+rect 35052 4366 35108 4422
+rect 34136 4016 34192 4072
+rect 34752 4016 34808 4072
+rect 36450 7404 36506 7440
+rect 36450 7384 36452 7404
+rect 36452 7384 36504 7404
+rect 36504 7384 36506 7404
+rect 35952 6395 35954 6404
+rect 35954 6395 36006 6404
+rect 36006 6395 36008 6404
+rect 35952 6348 36008 6395
+rect 35652 5998 35708 6054
+rect 35352 4016 35408 4072
+rect 33536 3084 33592 3140
+rect 34136 3084 34192 3140
+rect 34752 3084 34808 3140
+rect 33536 2384 33592 2440
+rect 33836 2734 33892 2790
+rect 35352 3084 35408 3140
+rect 35052 2734 35108 2790
+rect 34136 2384 34192 2440
+rect 34752 2384 34808 2440
+rect 35952 5648 36008 5704
+rect 35952 4763 35954 4772
+rect 35954 4763 36006 4772
+rect 36006 4763 36008 4772
+rect 35952 4716 36008 4763
+rect 35652 4366 35708 4422
+rect 35952 4016 36008 4072
+rect 35952 3131 35954 3140
+rect 35954 3131 36006 3140
+rect 36006 3131 36008 3140
+rect 35952 3084 36008 3131
+rect 35652 2734 35708 2790
+rect 35352 2384 35408 2440
+rect 36552 6348 36608 6404
+rect 36252 5998 36308 6054
+rect 36252 4366 36308 4422
+rect 36852 5998 36908 6054
+rect 36552 5648 36608 5704
+rect 36552 4716 36608 4772
+rect 36852 4366 36908 4422
+rect 36552 4016 36608 4072
+rect 35952 2384 36008 2440
+rect 36552 3084 36608 3140
+rect 36252 2734 36308 2790
+rect 36852 2734 36908 2790
+rect 36552 2384 36608 2440
+rect 37278 6568 37334 6624
+rect 37152 6348 37208 6404
+rect 37481 7098 37537 7100
+rect 37561 7098 37617 7100
+rect 37641 7098 37697 7100
+rect 37721 7098 37777 7100
+rect 37801 7098 37857 7100
+rect 37881 7098 37937 7100
+rect 37961 7098 38017 7100
+rect 37481 7046 37519 7098
+rect 37519 7046 37531 7098
+rect 37531 7046 37537 7098
+rect 37561 7046 37583 7098
+rect 37583 7046 37595 7098
+rect 37595 7046 37617 7098
+rect 37641 7046 37647 7098
+rect 37647 7046 37659 7098
+rect 37659 7046 37697 7098
+rect 37721 7046 37723 7098
+rect 37723 7046 37775 7098
+rect 37775 7046 37777 7098
+rect 37801 7046 37839 7098
+rect 37839 7046 37851 7098
+rect 37851 7046 37857 7098
+rect 37881 7046 37903 7098
+rect 37903 7046 37915 7098
+rect 37915 7046 37937 7098
+rect 37961 7046 37967 7098
+rect 37967 7046 37979 7098
+rect 37979 7046 38017 7098
+rect 37481 7044 37537 7046
+rect 37561 7044 37617 7046
+rect 37641 7044 37697 7046
+rect 37721 7044 37777 7046
+rect 37801 7044 37857 7046
+rect 37881 7044 37937 7046
+rect 37961 7044 38017 7046
+rect 37370 6160 37426 6216
+rect 37752 6348 37808 6404
+rect 37452 5998 37508 6054
+rect 37152 5648 37208 5704
+rect 38052 5998 38108 6054
+rect 37752 5648 37808 5704
+rect 37152 4716 37208 4772
+rect 37752 4716 37808 4772
+rect 37452 4366 37508 4422
+rect 37152 4016 37208 4072
+rect 38052 4366 38108 4422
+rect 37752 4016 37808 4072
+rect 38352 6348 38408 6404
+rect 38750 6296 38806 6352
+rect 38352 5648 38408 5704
+rect 38352 4716 38408 4772
+rect 38352 4016 38408 4072
+rect 38198 3712 38254 3768
+rect 37152 3084 37208 3140
+rect 37752 3084 37808 3140
+rect 37452 2734 37508 2790
+rect 37152 2384 37208 2440
+rect 38352 3084 38408 3140
+rect 38052 2734 38108 2790
+rect 37752 2384 37808 2440
+rect 38352 2384 38408 2440
+rect 37002 1264 37058 1320
+<< metal3 >>
+rect 37089 8802 37155 8805
+rect 39200 8802 40000 8832
+rect 37089 8800 40000 8802
+rect 37089 8744 37094 8800
+rect 37150 8744 40000 8800
+rect 37089 8742 40000 8744
+rect 37089 8739 37155 8742
+rect 39200 8712 40000 8742
+rect 4136 7648 4736 7649
+rect 4136 7584 4164 7648
+rect 4228 7584 4244 7648
+rect 4308 7584 4324 7648
+rect 4388 7584 4404 7648
+rect 4468 7584 4484 7648
+rect 4548 7584 4564 7648
+rect 4628 7584 4644 7648
+rect 4708 7584 4736 7648
+rect 4136 7583 4736 7584
+rect 6801 7648 7401 7649
+rect 6801 7584 6829 7648
+rect 6893 7584 6909 7648
+rect 6973 7584 6989 7648
+rect 7053 7584 7069 7648
+rect 7133 7584 7149 7648
+rect 7213 7584 7229 7648
+rect 7293 7584 7309 7648
+rect 7373 7584 7401 7648
+rect 6801 7583 7401 7584
+rect 9466 7648 10066 7649
+rect 9466 7584 9494 7648
+rect 9558 7584 9574 7648
+rect 9638 7584 9654 7648
+rect 9718 7584 9734 7648
+rect 9798 7584 9814 7648
+rect 9878 7584 9894 7648
+rect 9958 7584 9974 7648
+rect 10038 7584 10066 7648
+rect 9466 7583 10066 7584
+rect 12131 7648 12731 7649
+rect 12131 7584 12159 7648
+rect 12223 7584 12239 7648
+rect 12303 7584 12319 7648
+rect 12383 7584 12399 7648
+rect 12463 7584 12479 7648
+rect 12543 7584 12559 7648
+rect 12623 7584 12639 7648
+rect 12703 7584 12731 7648
+rect 12131 7583 12731 7584
+rect 14796 7648 15396 7649
+rect 14796 7584 14824 7648
+rect 14888 7584 14904 7648
+rect 14968 7584 14984 7648
+rect 15048 7584 15064 7648
+rect 15128 7584 15144 7648
+rect 15208 7584 15224 7648
+rect 15288 7584 15304 7648
+rect 15368 7584 15396 7648
+rect 14796 7583 15396 7584
+rect 17461 7648 18061 7649
+rect 17461 7584 17489 7648
+rect 17553 7584 17569 7648
+rect 17633 7584 17649 7648
+rect 17713 7584 17729 7648
+rect 17793 7584 17809 7648
+rect 17873 7584 17889 7648
+rect 17953 7584 17969 7648
+rect 18033 7584 18061 7648
+rect 17461 7583 18061 7584
+rect 20126 7648 20726 7649
+rect 20126 7584 20154 7648
+rect 20218 7584 20234 7648
+rect 20298 7584 20314 7648
+rect 20378 7584 20394 7648
+rect 20458 7584 20474 7648
+rect 20538 7584 20554 7648
+rect 20618 7584 20634 7648
+rect 20698 7584 20726 7648
+rect 20126 7583 20726 7584
+rect 22791 7648 23391 7649
+rect 22791 7584 22819 7648
+rect 22883 7584 22899 7648
+rect 22963 7584 22979 7648
+rect 23043 7584 23059 7648
+rect 23123 7584 23139 7648
+rect 23203 7584 23219 7648
+rect 23283 7584 23299 7648
+rect 23363 7584 23391 7648
+rect 22791 7583 23391 7584
+rect 25456 7648 26056 7649
+rect 25456 7584 25484 7648
+rect 25548 7584 25564 7648
+rect 25628 7584 25644 7648
+rect 25708 7584 25724 7648
+rect 25788 7584 25804 7648
+rect 25868 7584 25884 7648
+rect 25948 7584 25964 7648
+rect 26028 7584 26056 7648
+rect 25456 7583 26056 7584
+rect 28121 7648 28721 7649
+rect 28121 7584 28149 7648
+rect 28213 7584 28229 7648
+rect 28293 7584 28309 7648
+rect 28373 7584 28389 7648
+rect 28453 7584 28469 7648
+rect 28533 7584 28549 7648
+rect 28613 7584 28629 7648
+rect 28693 7584 28721 7648
+rect 28121 7583 28721 7584
+rect 30786 7648 31386 7649
+rect 30786 7584 30814 7648
+rect 30878 7584 30894 7648
+rect 30958 7584 30974 7648
+rect 31038 7584 31054 7648
+rect 31118 7584 31134 7648
+rect 31198 7584 31214 7648
+rect 31278 7584 31294 7648
+rect 31358 7584 31386 7648
+rect 30786 7583 31386 7584
+rect 33451 7648 34051 7649
+rect 33451 7584 33479 7648
+rect 33543 7584 33559 7648
+rect 33623 7584 33639 7648
+rect 33703 7584 33719 7648
+rect 33783 7584 33799 7648
+rect 33863 7584 33879 7648
+rect 33943 7584 33959 7648
+rect 34023 7584 34051 7648
+rect 33451 7583 34051 7584
+rect 36116 7648 36716 7649
+rect 36116 7584 36144 7648
+rect 36208 7584 36224 7648
+rect 36288 7584 36304 7648
+rect 36368 7584 36384 7648
+rect 36448 7584 36464 7648
+rect 36528 7584 36544 7648
+rect 36608 7584 36624 7648
+rect 36688 7584 36716 7648
+rect 36116 7583 36716 7584
+rect 10685 7442 10751 7445
+rect 31661 7442 31727 7445
+rect 10685 7440 31727 7442
+rect 10685 7384 10690 7440
+rect 10746 7384 31666 7440
+rect 31722 7384 31727 7440
+rect 10685 7382 31727 7384
+rect 10685 7379 10751 7382
+rect 31661 7379 31727 7382
+rect 35617 7442 35683 7445
+rect 36445 7442 36511 7445
+rect 35617 7440 36511 7442
+rect 35617 7384 35622 7440
+rect 35678 7384 36450 7440
+rect 36506 7384 36511 7440
+rect 35617 7382 36511 7384
+rect 35617 7379 35683 7382
+rect 36445 7379 36511 7382
+rect 31201 7306 31267 7309
+rect 34881 7306 34947 7309
+rect 31201 7304 34947 7306
+rect 31201 7248 31206 7304
+rect 31262 7248 34886 7304
+rect 34942 7248 34947 7304
+rect 31201 7246 34947 7248
+rect 31201 7243 31267 7246
+rect 34881 7243 34947 7246
+rect 2804 7104 3404 7105
+rect 2804 7040 2832 7104
+rect 2896 7040 2912 7104
+rect 2976 7040 2992 7104
+rect 3056 7040 3072 7104
+rect 3136 7040 3152 7104
+rect 3216 7040 3232 7104
+rect 3296 7040 3312 7104
+rect 3376 7040 3404 7104
+rect 2804 7039 3404 7040
+rect 5469 7104 6069 7105
+rect 5469 7040 5497 7104
+rect 5561 7040 5577 7104
+rect 5641 7040 5657 7104
+rect 5721 7040 5737 7104
+rect 5801 7040 5817 7104
+rect 5881 7040 5897 7104
+rect 5961 7040 5977 7104
+rect 6041 7040 6069 7104
+rect 5469 7039 6069 7040
+rect 8134 7104 8734 7105
+rect 8134 7040 8162 7104
+rect 8226 7040 8242 7104
+rect 8306 7040 8322 7104
+rect 8386 7040 8402 7104
+rect 8466 7040 8482 7104
+rect 8546 7040 8562 7104
+rect 8626 7040 8642 7104
+rect 8706 7040 8734 7104
+rect 8134 7039 8734 7040
+rect 10799 7104 11399 7105
+rect 10799 7040 10827 7104
+rect 10891 7040 10907 7104
+rect 10971 7040 10987 7104
+rect 11051 7040 11067 7104
+rect 11131 7040 11147 7104
+rect 11211 7040 11227 7104
+rect 11291 7040 11307 7104
+rect 11371 7040 11399 7104
+rect 10799 7039 11399 7040
+rect 13464 7104 14064 7105
+rect 13464 7040 13492 7104
+rect 13556 7040 13572 7104
+rect 13636 7040 13652 7104
+rect 13716 7040 13732 7104
+rect 13796 7040 13812 7104
+rect 13876 7040 13892 7104
+rect 13956 7040 13972 7104
+rect 14036 7040 14064 7104
+rect 13464 7039 14064 7040
+rect 16129 7104 16729 7105
+rect 16129 7040 16157 7104
+rect 16221 7040 16237 7104
+rect 16301 7040 16317 7104
+rect 16381 7040 16397 7104
+rect 16461 7040 16477 7104
+rect 16541 7040 16557 7104
+rect 16621 7040 16637 7104
+rect 16701 7040 16729 7104
+rect 16129 7039 16729 7040
+rect 18794 7104 19394 7105
+rect 18794 7040 18822 7104
+rect 18886 7040 18902 7104
+rect 18966 7040 18982 7104
+rect 19046 7040 19062 7104
+rect 19126 7040 19142 7104
+rect 19206 7040 19222 7104
+rect 19286 7040 19302 7104
+rect 19366 7040 19394 7104
+rect 18794 7039 19394 7040
+rect 21459 7104 22059 7105
+rect 21459 7040 21487 7104
+rect 21551 7040 21567 7104
+rect 21631 7040 21647 7104
+rect 21711 7040 21727 7104
+rect 21791 7040 21807 7104
+rect 21871 7040 21887 7104
+rect 21951 7040 21967 7104
+rect 22031 7040 22059 7104
+rect 21459 7039 22059 7040
+rect 24124 7104 24724 7105
+rect 24124 7040 24152 7104
+rect 24216 7040 24232 7104
+rect 24296 7040 24312 7104
+rect 24376 7040 24392 7104
+rect 24456 7040 24472 7104
+rect 24536 7040 24552 7104
+rect 24616 7040 24632 7104
+rect 24696 7040 24724 7104
+rect 24124 7039 24724 7040
+rect 26789 7104 27389 7105
+rect 26789 7040 26817 7104
+rect 26881 7040 26897 7104
+rect 26961 7040 26977 7104
+rect 27041 7040 27057 7104
+rect 27121 7040 27137 7104
+rect 27201 7040 27217 7104
+rect 27281 7040 27297 7104
+rect 27361 7040 27389 7104
+rect 26789 7039 27389 7040
+rect 29454 7104 30054 7105
+rect 29454 7040 29482 7104
+rect 29546 7040 29562 7104
+rect 29626 7040 29642 7104
+rect 29706 7040 29722 7104
+rect 29786 7040 29802 7104
+rect 29866 7040 29882 7104
+rect 29946 7040 29962 7104
+rect 30026 7040 30054 7104
+rect 29454 7039 30054 7040
+rect 32119 7104 32719 7105
+rect 32119 7040 32147 7104
+rect 32211 7040 32227 7104
+rect 32291 7040 32307 7104
+rect 32371 7040 32387 7104
+rect 32451 7040 32467 7104
+rect 32531 7040 32547 7104
+rect 32611 7040 32627 7104
+rect 32691 7040 32719 7104
+rect 32119 7039 32719 7040
+rect 34784 7104 35384 7105
+rect 34784 7040 34812 7104
+rect 34876 7040 34892 7104
+rect 34956 7040 34972 7104
+rect 35036 7040 35052 7104
+rect 35116 7040 35132 7104
+rect 35196 7040 35212 7104
+rect 35276 7040 35292 7104
+rect 35356 7040 35384 7104
+rect 34784 7039 35384 7040
+rect 37449 7104 38049 7105
+rect 37449 7040 37477 7104
+rect 37541 7040 37557 7104
+rect 37621 7040 37637 7104
+rect 37701 7040 37717 7104
+rect 37781 7040 37797 7104
+rect 37861 7040 37877 7104
+rect 37941 7040 37957 7104
+rect 38021 7040 38049 7104
+rect 37449 7039 38049 7040
+rect 6453 6898 6519 6901
+rect 30373 6898 30439 6901
+rect 6453 6896 30439 6898
+rect 6453 6840 6458 6896
+rect 6514 6840 30378 6896
+rect 30434 6840 30439 6896
+rect 6453 6838 30439 6840
+rect 6453 6835 6519 6838
+rect 30373 6835 30439 6838
+rect 21357 6762 21423 6765
+rect 31845 6762 31911 6765
+rect 21357 6760 31911 6762
+rect 21357 6704 21362 6760
+rect 21418 6704 31850 6760
+rect 31906 6704 31911 6760
+rect 21357 6702 31911 6704
+rect 21357 6699 21423 6702
+rect 31845 6699 31911 6702
+rect 26325 6626 26391 6629
+rect 37273 6626 37339 6629
+rect 26325 6624 37339 6626
+rect 26325 6568 26330 6624
+rect 26386 6568 37278 6624
+rect 37334 6568 37339 6624
+rect 26325 6566 37339 6568
+rect 26325 6563 26391 6566
+rect 37273 6563 37339 6566
+rect 4136 6560 4736 6561
+rect 4136 6496 4164 6560
+rect 4228 6496 4244 6560
+rect 4308 6496 4324 6560
+rect 4388 6496 4404 6560
+rect 4468 6496 4484 6560
+rect 4548 6496 4564 6560
+rect 4628 6496 4644 6560
+rect 4708 6496 4736 6560
+rect 4136 6495 4736 6496
+rect 6801 6560 7401 6561
+rect 6801 6496 6829 6560
+rect 6893 6496 6909 6560
+rect 6973 6496 6989 6560
+rect 7053 6496 7069 6560
+rect 7133 6496 7149 6560
+rect 7213 6496 7229 6560
+rect 7293 6496 7309 6560
+rect 7373 6496 7401 6560
+rect 6801 6495 7401 6496
+rect 9466 6560 10066 6561
+rect 9466 6496 9494 6560
+rect 9558 6496 9574 6560
+rect 9638 6496 9654 6560
+rect 9718 6496 9734 6560
+rect 9798 6496 9814 6560
+rect 9878 6496 9894 6560
+rect 9958 6496 9974 6560
+rect 10038 6496 10066 6560
+rect 9466 6495 10066 6496
+rect 12131 6560 12731 6561
+rect 12131 6496 12159 6560
+rect 12223 6496 12239 6560
+rect 12303 6496 12319 6560
+rect 12383 6496 12399 6560
+rect 12463 6496 12479 6560
+rect 12543 6496 12559 6560
+rect 12623 6496 12639 6560
+rect 12703 6496 12731 6560
+rect 12131 6495 12731 6496
+rect 14796 6560 15396 6561
+rect 14796 6496 14824 6560
+rect 14888 6496 14904 6560
+rect 14968 6496 14984 6560
+rect 15048 6496 15064 6560
+rect 15128 6496 15144 6560
+rect 15208 6496 15224 6560
+rect 15288 6496 15304 6560
+rect 15368 6496 15396 6560
+rect 14796 6495 15396 6496
+rect 17461 6560 18061 6561
+rect 17461 6496 17489 6560
+rect 17553 6496 17569 6560
+rect 17633 6496 17649 6560
+rect 17713 6496 17729 6560
+rect 17793 6496 17809 6560
+rect 17873 6496 17889 6560
+rect 17953 6496 17969 6560
+rect 18033 6496 18061 6560
+rect 17461 6495 18061 6496
+rect 20126 6560 20726 6561
+rect 20126 6496 20154 6560
+rect 20218 6496 20234 6560
+rect 20298 6496 20314 6560
+rect 20378 6496 20394 6560
+rect 20458 6496 20474 6560
+rect 20538 6496 20554 6560
+rect 20618 6496 20634 6560
+rect 20698 6496 20726 6560
+rect 20126 6495 20726 6496
+rect 22791 6560 23391 6561
+rect 22791 6496 22819 6560
+rect 22883 6496 22899 6560
+rect 22963 6496 22979 6560
+rect 23043 6496 23059 6560
+rect 23123 6496 23139 6560
+rect 23203 6496 23219 6560
+rect 23283 6496 23299 6560
+rect 23363 6496 23391 6560
+rect 22791 6495 23391 6496
+rect 25456 6560 26056 6561
+rect 25456 6496 25484 6560
+rect 25548 6496 25564 6560
+rect 25628 6496 25644 6560
+rect 25708 6496 25724 6560
+rect 25788 6496 25804 6560
+rect 25868 6496 25884 6560
+rect 25948 6496 25964 6560
+rect 26028 6496 26056 6560
+rect 25456 6495 26056 6496
+rect 26384 6408 30384 6427
+rect 26384 6404 26817 6408
+rect 26384 6348 26456 6404
+rect 26512 6348 26817 6404
+rect 26384 6344 26817 6348
+rect 26881 6344 26897 6408
+rect 26961 6344 26977 6408
+rect 27041 6404 27057 6408
+rect 27041 6348 27056 6404
+rect 27041 6344 27057 6348
+rect 27121 6344 27137 6408
+rect 27201 6344 27217 6408
+rect 27281 6344 27297 6408
+rect 27361 6404 29482 6408
+rect 27361 6348 27656 6404
+rect 27712 6348 28256 6404
+rect 28312 6348 28856 6404
+rect 28912 6348 29456 6404
+rect 27361 6344 29482 6348
+rect 29546 6344 29562 6408
+rect 29626 6344 29642 6408
+rect 29706 6344 29722 6408
+rect 29786 6344 29802 6408
+rect 29866 6344 29882 6408
+rect 29946 6344 29962 6408
+rect 30026 6404 30384 6408
+rect 30026 6348 30056 6404
+rect 30112 6348 30384 6404
+rect 30026 6344 30384 6348
+rect 26384 6325 30384 6344
+rect 30464 6408 34464 6427
+rect 30464 6404 32147 6408
+rect 30464 6348 30536 6404
+rect 30592 6348 31136 6404
+rect 31192 6348 31736 6404
+rect 31792 6348 32147 6404
+rect 30464 6344 32147 6348
+rect 32211 6344 32227 6408
+rect 32291 6344 32307 6408
+rect 32371 6404 32387 6408
+rect 32371 6344 32387 6348
+rect 32451 6344 32467 6408
+rect 32531 6344 32547 6408
+rect 32611 6344 32627 6408
+rect 32691 6404 34464 6408
+rect 32691 6348 32936 6404
+rect 32992 6348 33536 6404
+rect 33592 6348 34136 6404
+rect 34192 6348 34464 6404
+rect 32691 6344 34464 6348
+rect 30464 6325 34464 6344
+rect 34680 6408 38680 6427
+rect 34680 6404 34812 6408
+rect 34680 6348 34752 6404
+rect 34808 6348 34812 6404
+rect 34680 6344 34812 6348
+rect 34876 6344 34892 6408
+rect 34956 6344 34972 6408
+rect 35036 6344 35052 6408
+rect 35116 6344 35132 6408
+rect 35196 6344 35212 6408
+rect 35276 6344 35292 6408
+rect 35356 6404 37477 6408
+rect 35408 6348 35952 6404
+rect 36008 6348 36552 6404
+rect 36608 6348 37152 6404
+rect 37208 6348 37477 6404
+rect 35356 6344 37477 6348
+rect 37541 6344 37557 6408
+rect 37621 6344 37637 6408
+rect 37701 6344 37717 6408
+rect 37781 6404 37797 6408
+rect 37781 6344 37797 6348
+rect 37861 6344 37877 6408
+rect 37941 6344 37957 6408
+rect 38021 6404 38680 6408
+rect 38021 6348 38352 6404
+rect 38408 6348 38680 6404
+rect 38021 6344 38680 6348
+rect 34680 6325 38680 6344
+rect 38745 6354 38811 6357
+rect 39200 6354 40000 6384
+rect 38745 6352 40000 6354
+rect 38745 6296 38750 6352
+rect 38806 6296 40000 6352
+rect 38745 6294 40000 6296
+rect 38745 6291 38811 6294
+rect 39200 6264 40000 6294
+rect 20437 6218 20503 6221
+rect 37365 6218 37431 6221
+rect 20437 6216 37431 6218
+rect 20437 6160 20442 6216
+rect 20498 6160 37370 6216
+rect 37426 6160 37431 6216
+rect 20437 6158 37431 6160
+rect 20437 6155 20503 6158
+rect 37365 6155 37431 6158
+rect 26384 6058 30384 6077
+rect 26384 6054 28149 6058
+rect 2804 6016 3404 6017
+rect 2804 5952 2832 6016
+rect 2896 5952 2912 6016
+rect 2976 5952 2992 6016
+rect 3056 5952 3072 6016
+rect 3136 5952 3152 6016
+rect 3216 5952 3232 6016
+rect 3296 5952 3312 6016
+rect 3376 5952 3404 6016
+rect 2804 5951 3404 5952
+rect 5469 6016 6069 6017
+rect 5469 5952 5497 6016
+rect 5561 5952 5577 6016
+rect 5641 5952 5657 6016
+rect 5721 5952 5737 6016
+rect 5801 5952 5817 6016
+rect 5881 5952 5897 6016
+rect 5961 5952 5977 6016
+rect 6041 5952 6069 6016
+rect 5469 5951 6069 5952
+rect 8134 6016 8734 6017
+rect 8134 5952 8162 6016
+rect 8226 5952 8242 6016
+rect 8306 5952 8322 6016
+rect 8386 5952 8402 6016
+rect 8466 5952 8482 6016
+rect 8546 5952 8562 6016
+rect 8626 5952 8642 6016
+rect 8706 5952 8734 6016
+rect 8134 5951 8734 5952
+rect 10799 6016 11399 6017
+rect 10799 5952 10827 6016
+rect 10891 5952 10907 6016
+rect 10971 5952 10987 6016
+rect 11051 5952 11067 6016
+rect 11131 5952 11147 6016
+rect 11211 5952 11227 6016
+rect 11291 5952 11307 6016
+rect 11371 5952 11399 6016
+rect 10799 5951 11399 5952
+rect 13464 6016 14064 6017
+rect 13464 5952 13492 6016
+rect 13556 5952 13572 6016
+rect 13636 5952 13652 6016
+rect 13716 5952 13732 6016
+rect 13796 5952 13812 6016
+rect 13876 5952 13892 6016
+rect 13956 5952 13972 6016
+rect 14036 5952 14064 6016
+rect 13464 5951 14064 5952
+rect 16129 6016 16729 6017
+rect 16129 5952 16157 6016
+rect 16221 5952 16237 6016
+rect 16301 5952 16317 6016
+rect 16381 5952 16397 6016
+rect 16461 5952 16477 6016
+rect 16541 5952 16557 6016
+rect 16621 5952 16637 6016
+rect 16701 5952 16729 6016
+rect 16129 5951 16729 5952
+rect 18794 6016 19394 6017
+rect 18794 5952 18822 6016
+rect 18886 5952 18902 6016
+rect 18966 5952 18982 6016
+rect 19046 5952 19062 6016
+rect 19126 5952 19142 6016
+rect 19206 5952 19222 6016
+rect 19286 5952 19302 6016
+rect 19366 5952 19394 6016
+rect 18794 5951 19394 5952
+rect 21459 6016 22059 6017
+rect 21459 5952 21487 6016
+rect 21551 5952 21567 6016
+rect 21631 5952 21647 6016
+rect 21711 5952 21727 6016
+rect 21791 5952 21807 6016
+rect 21871 5952 21887 6016
+rect 21951 5952 21967 6016
+rect 22031 5952 22059 6016
+rect 21459 5951 22059 5952
+rect 24124 6016 24724 6017
+rect 24124 5952 24152 6016
+rect 24216 5952 24232 6016
+rect 24296 5952 24312 6016
+rect 24376 5952 24392 6016
+rect 24456 5952 24472 6016
+rect 24536 5952 24552 6016
+rect 24616 5952 24632 6016
+rect 24696 5952 24724 6016
+rect 26384 5998 26756 6054
+rect 26812 5998 27356 6054
+rect 27412 5998 27956 6054
+rect 28012 5998 28149 6054
+rect 26384 5994 28149 5998
+rect 28213 5994 28229 6058
+rect 28293 5994 28309 6058
+rect 28373 5994 28389 6058
+rect 28453 5994 28469 6058
+rect 28533 5994 28549 6058
+rect 28613 5994 28629 6058
+rect 28693 6054 30384 6058
+rect 28693 5998 29156 6054
+rect 29212 5998 29756 6054
+rect 29812 5998 30384 6054
+rect 28693 5994 30384 5998
+rect 26384 5975 30384 5994
+rect 30464 6058 34464 6077
+rect 30464 5994 30814 6058
+rect 30878 6054 30894 6058
+rect 30892 5998 30894 6054
+rect 30878 5994 30894 5998
+rect 30958 5994 30974 6058
+rect 31038 5994 31054 6058
+rect 31118 5994 31134 6058
+rect 31198 5994 31214 6058
+rect 31278 5994 31294 6058
+rect 31358 6054 33479 6058
+rect 31358 5998 31436 6054
+rect 31492 5998 32036 6054
+rect 32092 5998 32636 6054
+rect 32692 5998 33236 6054
+rect 33292 5998 33479 6054
+rect 31358 5994 33479 5998
+rect 33543 5994 33559 6058
+rect 33623 5994 33639 6058
+rect 33703 5994 33719 6058
+rect 33783 5994 33799 6058
+rect 33863 6054 33879 6058
+rect 33863 5994 33879 5998
+rect 33943 5994 33959 6058
+rect 34023 5994 34464 6058
+rect 30464 5975 34464 5994
+rect 34680 6058 38680 6077
+rect 34680 6054 36144 6058
+rect 34680 5998 35052 6054
+rect 35108 5998 35652 6054
+rect 35708 5998 36144 6054
+rect 34680 5994 36144 5998
+rect 36208 5994 36224 6058
+rect 36288 6054 36304 6058
+rect 36288 5994 36304 5998
+rect 36368 5994 36384 6058
+rect 36448 5994 36464 6058
+rect 36528 5994 36544 6058
+rect 36608 5994 36624 6058
+rect 36688 6054 38680 6058
+rect 36688 5998 36852 6054
+rect 36908 5998 37452 6054
+rect 37508 5998 38052 6054
+rect 38108 5998 38680 6054
+rect 36688 5994 38680 5998
+rect 34680 5975 38680 5994
+rect 24124 5951 24724 5952
+rect 24853 5946 24919 5949
+rect 25129 5946 25195 5949
+rect 24853 5944 25195 5946
+rect 24853 5888 24858 5944
+rect 24914 5888 25134 5944
+rect 25190 5888 25195 5944
+rect 24853 5886 25195 5888
+rect 24853 5883 24919 5886
+rect 25129 5883 25195 5886
+rect 16021 5810 16087 5813
+rect 18137 5810 18203 5813
+rect 16021 5808 18203 5810
+rect 16021 5752 16026 5808
+rect 16082 5752 18142 5808
+rect 18198 5752 18203 5808
+rect 16021 5750 18203 5752
+rect 16021 5747 16087 5750
+rect 18137 5747 18203 5750
+rect 23841 5810 23907 5813
+rect 25773 5810 25839 5813
+rect 23841 5808 25839 5810
+rect 23841 5752 23846 5808
+rect 23902 5752 25778 5808
+rect 25834 5752 25839 5808
+rect 23841 5750 25839 5752
+rect 23841 5747 23907 5750
+rect 25773 5747 25839 5750
+rect 26384 5708 30384 5727
+rect 26384 5704 26817 5708
+rect 17125 5674 17191 5677
+rect 20437 5674 20503 5677
+rect 17125 5672 20503 5674
+rect 17125 5616 17130 5672
+rect 17186 5616 20442 5672
+rect 20498 5616 20503 5672
+rect 17125 5614 20503 5616
+rect 17125 5611 17191 5614
+rect 20437 5611 20503 5614
+rect 23197 5674 23263 5677
+rect 25129 5674 25195 5677
+rect 25497 5674 25563 5677
+rect 23197 5672 25195 5674
+rect 23197 5616 23202 5672
+rect 23258 5616 25134 5672
+rect 25190 5616 25195 5672
+rect 23197 5614 25195 5616
+rect 23197 5611 23263 5614
+rect 25129 5611 25195 5614
+rect 25270 5672 25563 5674
+rect 25270 5616 25502 5672
+rect 25558 5616 25563 5672
+rect 26384 5648 26456 5704
+rect 26512 5648 26817 5704
+rect 26384 5644 26817 5648
+rect 26881 5644 26897 5708
+rect 26961 5644 26977 5708
+rect 27041 5704 27057 5708
+rect 27041 5648 27056 5704
+rect 27041 5644 27057 5648
+rect 27121 5644 27137 5708
+rect 27201 5644 27217 5708
+rect 27281 5644 27297 5708
+rect 27361 5704 29482 5708
+rect 27361 5648 27656 5704
+rect 27712 5648 28256 5704
+rect 28312 5648 28856 5704
+rect 28912 5648 29456 5704
+rect 27361 5644 29482 5648
+rect 29546 5644 29562 5708
+rect 29626 5644 29642 5708
+rect 29706 5644 29722 5708
+rect 29786 5644 29802 5708
+rect 29866 5644 29882 5708
+rect 29946 5644 29962 5708
+rect 30026 5704 30384 5708
+rect 30026 5648 30056 5704
+rect 30112 5648 30384 5704
+rect 30026 5644 30384 5648
+rect 26384 5625 30384 5644
+rect 30464 5708 34464 5727
+rect 30464 5704 32147 5708
+rect 30464 5648 30536 5704
+rect 30592 5648 31136 5704
+rect 31192 5648 31736 5704
+rect 31792 5648 32147 5704
+rect 30464 5644 32147 5648
+rect 32211 5644 32227 5708
+rect 32291 5644 32307 5708
+rect 32371 5704 32387 5708
+rect 32371 5644 32387 5648
+rect 32451 5644 32467 5708
+rect 32531 5644 32547 5708
+rect 32611 5644 32627 5708
+rect 32691 5704 34464 5708
+rect 32691 5648 32936 5704
+rect 32992 5648 33536 5704
+rect 33592 5648 34136 5704
+rect 34192 5648 34464 5704
+rect 32691 5644 34464 5648
+rect 30464 5625 34464 5644
+rect 34680 5708 38680 5727
+rect 34680 5704 34812 5708
+rect 34680 5648 34752 5704
+rect 34808 5648 34812 5704
+rect 34680 5644 34812 5648
+rect 34876 5644 34892 5708
+rect 34956 5644 34972 5708
+rect 35036 5644 35052 5708
+rect 35116 5644 35132 5708
+rect 35196 5644 35212 5708
+rect 35276 5644 35292 5708
+rect 35356 5704 37477 5708
+rect 35408 5648 35952 5704
+rect 36008 5648 36552 5704
+rect 36608 5648 37152 5704
+rect 37208 5648 37477 5704
+rect 35356 5644 37477 5648
+rect 37541 5644 37557 5708
+rect 37621 5644 37637 5708
+rect 37701 5644 37717 5708
+rect 37781 5704 37797 5708
+rect 37781 5644 37797 5648
+rect 37861 5644 37877 5708
+rect 37941 5644 37957 5708
+rect 38021 5704 38680 5708
+rect 38021 5648 38352 5704
+rect 38408 5648 38680 5704
+rect 38021 5644 38680 5648
+rect 34680 5625 38680 5644
+rect 25270 5614 25563 5616
+rect 23657 5538 23723 5541
+rect 25270 5538 25330 5614
+rect 25497 5611 25563 5614
+rect 23657 5536 25330 5538
+rect 23657 5480 23662 5536
+rect 23718 5480 25330 5536
+rect 23657 5478 25330 5480
+rect 23657 5475 23723 5478
+rect 4136 5472 4736 5473
+rect 4136 5408 4164 5472
+rect 4228 5408 4244 5472
+rect 4308 5408 4324 5472
+rect 4388 5408 4404 5472
+rect 4468 5408 4484 5472
+rect 4548 5408 4564 5472
+rect 4628 5408 4644 5472
+rect 4708 5408 4736 5472
+rect 4136 5407 4736 5408
+rect 6801 5472 7401 5473
+rect 6801 5408 6829 5472
+rect 6893 5408 6909 5472
+rect 6973 5408 6989 5472
+rect 7053 5408 7069 5472
+rect 7133 5408 7149 5472
+rect 7213 5408 7229 5472
+rect 7293 5408 7309 5472
+rect 7373 5408 7401 5472
+rect 6801 5407 7401 5408
+rect 9466 5472 10066 5473
+rect 9466 5408 9494 5472
+rect 9558 5408 9574 5472
+rect 9638 5408 9654 5472
+rect 9718 5408 9734 5472
+rect 9798 5408 9814 5472
+rect 9878 5408 9894 5472
+rect 9958 5408 9974 5472
+rect 10038 5408 10066 5472
+rect 9466 5407 10066 5408
+rect 12131 5472 12731 5473
+rect 12131 5408 12159 5472
+rect 12223 5408 12239 5472
+rect 12303 5408 12319 5472
+rect 12383 5408 12399 5472
+rect 12463 5408 12479 5472
+rect 12543 5408 12559 5472
+rect 12623 5408 12639 5472
+rect 12703 5408 12731 5472
+rect 12131 5407 12731 5408
+rect 14796 5472 15396 5473
+rect 14796 5408 14824 5472
+rect 14888 5408 14904 5472
+rect 14968 5408 14984 5472
+rect 15048 5408 15064 5472
+rect 15128 5408 15144 5472
+rect 15208 5408 15224 5472
+rect 15288 5408 15304 5472
+rect 15368 5408 15396 5472
+rect 14796 5407 15396 5408
+rect 17461 5472 18061 5473
+rect 17461 5408 17489 5472
+rect 17553 5408 17569 5472
+rect 17633 5408 17649 5472
+rect 17713 5408 17729 5472
+rect 17793 5408 17809 5472
+rect 17873 5408 17889 5472
+rect 17953 5408 17969 5472
+rect 18033 5408 18061 5472
+rect 17461 5407 18061 5408
+rect 20126 5472 20726 5473
+rect 20126 5408 20154 5472
+rect 20218 5408 20234 5472
+rect 20298 5408 20314 5472
+rect 20378 5408 20394 5472
+rect 20458 5408 20474 5472
+rect 20538 5408 20554 5472
+rect 20618 5408 20634 5472
+rect 20698 5408 20726 5472
+rect 20126 5407 20726 5408
+rect 22791 5472 23391 5473
+rect 22791 5408 22819 5472
+rect 22883 5408 22899 5472
+rect 22963 5408 22979 5472
+rect 23043 5408 23059 5472
+rect 23123 5408 23139 5472
+rect 23203 5408 23219 5472
+rect 23283 5408 23299 5472
+rect 23363 5408 23391 5472
+rect 22791 5407 23391 5408
+rect 25456 5472 26056 5473
+rect 25456 5408 25484 5472
+rect 25548 5408 25564 5472
+rect 25628 5408 25644 5472
+rect 25708 5408 25724 5472
+rect 25788 5408 25804 5472
+rect 25868 5408 25884 5472
+rect 25948 5408 25964 5472
+rect 26028 5408 26056 5472
+rect 25456 5407 26056 5408
+rect 11513 5402 11579 5405
+rect 10182 5400 11579 5402
+rect 10182 5344 11518 5400
+rect 11574 5344 11579 5400
+rect 10182 5342 11579 5344
+rect 10041 5266 10107 5269
+rect 10182 5266 10242 5342
+rect 11513 5339 11579 5342
+rect 10041 5264 10242 5266
+rect 10041 5208 10046 5264
+rect 10102 5208 10242 5264
+rect 10041 5206 10242 5208
+rect 11329 5266 11395 5269
+rect 25221 5266 25287 5269
+rect 11329 5264 25287 5266
+rect 11329 5208 11334 5264
+rect 11390 5208 25226 5264
+rect 25282 5208 25287 5264
+rect 11329 5206 25287 5208
+rect 10041 5203 10107 5206
+rect 11329 5203 11395 5206
+rect 25221 5203 25287 5206
+rect 8937 5130 9003 5133
+rect 15561 5130 15627 5133
+rect 8937 5128 15627 5130
+rect 8937 5072 8942 5128
+rect 8998 5072 15566 5128
+rect 15622 5072 15627 5128
+rect 8937 5070 15627 5072
+rect 8937 5067 9003 5070
+rect 15561 5067 15627 5070
+rect 2804 4928 3404 4929
+rect 2804 4864 2832 4928
+rect 2896 4864 2912 4928
+rect 2976 4864 2992 4928
+rect 3056 4864 3072 4928
+rect 3136 4864 3152 4928
+rect 3216 4864 3232 4928
+rect 3296 4864 3312 4928
+rect 3376 4864 3404 4928
+rect 2804 4863 3404 4864
+rect 5469 4928 6069 4929
+rect 5469 4864 5497 4928
+rect 5561 4864 5577 4928
+rect 5641 4864 5657 4928
+rect 5721 4864 5737 4928
+rect 5801 4864 5817 4928
+rect 5881 4864 5897 4928
+rect 5961 4864 5977 4928
+rect 6041 4864 6069 4928
+rect 5469 4863 6069 4864
+rect 8134 4928 8734 4929
+rect 8134 4864 8162 4928
+rect 8226 4864 8242 4928
+rect 8306 4864 8322 4928
+rect 8386 4864 8402 4928
+rect 8466 4864 8482 4928
+rect 8546 4864 8562 4928
+rect 8626 4864 8642 4928
+rect 8706 4864 8734 4928
+rect 8134 4863 8734 4864
+rect 10799 4928 11399 4929
+rect 10799 4864 10827 4928
+rect 10891 4864 10907 4928
+rect 10971 4864 10987 4928
+rect 11051 4864 11067 4928
+rect 11131 4864 11147 4928
+rect 11211 4864 11227 4928
+rect 11291 4864 11307 4928
+rect 11371 4864 11399 4928
+rect 10799 4863 11399 4864
+rect 13464 4928 14064 4929
+rect 13464 4864 13492 4928
+rect 13556 4864 13572 4928
+rect 13636 4864 13652 4928
+rect 13716 4864 13732 4928
+rect 13796 4864 13812 4928
+rect 13876 4864 13892 4928
+rect 13956 4864 13972 4928
+rect 14036 4864 14064 4928
+rect 13464 4863 14064 4864
+rect 16129 4928 16729 4929
+rect 16129 4864 16157 4928
+rect 16221 4864 16237 4928
+rect 16301 4864 16317 4928
+rect 16381 4864 16397 4928
+rect 16461 4864 16477 4928
+rect 16541 4864 16557 4928
+rect 16621 4864 16637 4928
+rect 16701 4864 16729 4928
+rect 16129 4863 16729 4864
+rect 14273 4858 14339 4861
+rect 14273 4856 15946 4858
+rect 14273 4800 14278 4856
+rect 14334 4800 15946 4856
+rect 14273 4798 15946 4800
+rect 14273 4795 14339 4798
+rect 12249 4722 12315 4725
+rect 15377 4722 15443 4725
+rect 12249 4720 15443 4722
+rect 12249 4664 12254 4720
+rect 12310 4664 15382 4720
+rect 15438 4664 15443 4720
+rect 12249 4662 15443 4664
+rect 15886 4722 15946 4798
+rect 17952 4776 21952 4795
+rect 17952 4772 18822 4776
+rect 17033 4722 17099 4725
+rect 15886 4720 17099 4722
+rect 15886 4664 17038 4720
+rect 17094 4664 17099 4720
+rect 17952 4716 18024 4772
+rect 18080 4716 18624 4772
+rect 18680 4716 18822 4772
+rect 17952 4712 18822 4716
+rect 18886 4712 18902 4776
+rect 18966 4712 18982 4776
+rect 19046 4712 19062 4776
+rect 19126 4712 19142 4776
+rect 19206 4712 19222 4776
+rect 19286 4712 19302 4776
+rect 19366 4772 21952 4776
+rect 19366 4716 19824 4772
+rect 19880 4716 20424 4772
+rect 20480 4716 21024 4772
+rect 21080 4716 21624 4772
+rect 21680 4716 21952 4772
+rect 19366 4712 21952 4716
+rect 17952 4693 21952 4712
+rect 22168 4776 26168 4795
+rect 22168 4772 24152 4776
+rect 22168 4716 22240 4772
+rect 22296 4716 22840 4772
+rect 22896 4716 23440 4772
+rect 23496 4716 24040 4772
+rect 24096 4716 24152 4772
+rect 22168 4712 24152 4716
+rect 24216 4712 24232 4776
+rect 24296 4712 24312 4776
+rect 24376 4712 24392 4776
+rect 24456 4712 24472 4776
+rect 24536 4712 24552 4776
+rect 24616 4712 24632 4776
+rect 24696 4772 26168 4776
+rect 24696 4716 25240 4772
+rect 25296 4716 25840 4772
+rect 25896 4716 26168 4772
+rect 24696 4712 26168 4716
+rect 22168 4693 26168 4712
+rect 26384 4776 30384 4795
+rect 26384 4772 26817 4776
+rect 26384 4716 26456 4772
+rect 26512 4716 26817 4772
+rect 26384 4712 26817 4716
+rect 26881 4712 26897 4776
+rect 26961 4712 26977 4776
+rect 27041 4772 27057 4776
+rect 27041 4716 27056 4772
+rect 27041 4712 27057 4716
+rect 27121 4712 27137 4776
+rect 27201 4712 27217 4776
+rect 27281 4712 27297 4776
+rect 27361 4772 29482 4776
+rect 27361 4716 27656 4772
+rect 27712 4716 28256 4772
+rect 28312 4716 28856 4772
+rect 28912 4716 29456 4772
+rect 27361 4712 29482 4716
+rect 29546 4712 29562 4776
+rect 29626 4712 29642 4776
+rect 29706 4712 29722 4776
+rect 29786 4712 29802 4776
+rect 29866 4712 29882 4776
+rect 29946 4712 29962 4776
+rect 30026 4772 30384 4776
+rect 30026 4716 30056 4772
+rect 30112 4716 30384 4772
+rect 30026 4712 30384 4716
+rect 26384 4693 30384 4712
+rect 30464 4776 34464 4795
+rect 30464 4772 32147 4776
+rect 30464 4716 30536 4772
+rect 30592 4716 31136 4772
+rect 31192 4716 31736 4772
+rect 31792 4716 32147 4772
+rect 30464 4712 32147 4716
+rect 32211 4712 32227 4776
+rect 32291 4712 32307 4776
+rect 32371 4772 32387 4776
+rect 32371 4712 32387 4716
+rect 32451 4712 32467 4776
+rect 32531 4712 32547 4776
+rect 32611 4712 32627 4776
+rect 32691 4772 34464 4776
+rect 32691 4716 32936 4772
+rect 32992 4716 33536 4772
+rect 33592 4716 34136 4772
+rect 34192 4716 34464 4772
+rect 32691 4712 34464 4716
+rect 30464 4693 34464 4712
+rect 34680 4776 38680 4795
+rect 34680 4772 34812 4776
+rect 34680 4716 34752 4772
+rect 34808 4716 34812 4772
+rect 34680 4712 34812 4716
+rect 34876 4712 34892 4776
+rect 34956 4712 34972 4776
+rect 35036 4712 35052 4776
+rect 35116 4712 35132 4776
+rect 35196 4712 35212 4776
+rect 35276 4712 35292 4776
+rect 35356 4772 37477 4776
+rect 35408 4716 35952 4772
+rect 36008 4716 36552 4772
+rect 36608 4716 37152 4772
+rect 37208 4716 37477 4772
+rect 35356 4712 37477 4716
+rect 37541 4712 37557 4776
+rect 37621 4712 37637 4776
+rect 37701 4712 37717 4776
+rect 37781 4772 37797 4776
+rect 37781 4712 37797 4716
+rect 37861 4712 37877 4776
+rect 37941 4712 37957 4776
+rect 38021 4772 38680 4776
+rect 38021 4716 38352 4772
+rect 38408 4716 38680 4772
+rect 38021 4712 38680 4716
+rect 34680 4693 38680 4712
+rect 15886 4662 17099 4664
+rect 12249 4659 12315 4662
+rect 15377 4659 15443 4662
+rect 17033 4659 17099 4662
+rect 11789 4586 11855 4589
+rect 13629 4586 13695 4589
+rect 11789 4584 13695 4586
+rect 11789 4528 11794 4584
+rect 11850 4528 13634 4584
+rect 13690 4528 13695 4584
+rect 11789 4526 13695 4528
+rect 11789 4523 11855 4526
+rect 13629 4523 13695 4526
+rect 15285 4586 15351 4589
+rect 30741 4586 30807 4589
+rect 15285 4584 30807 4586
+rect 15285 4528 15290 4584
+rect 15346 4528 30746 4584
+rect 30802 4528 30807 4584
+rect 15285 4526 30807 4528
+rect 15285 4523 15351 4526
+rect 30741 4523 30807 4526
+rect 12893 4450 12959 4453
+rect 14273 4450 14339 4453
+rect 12893 4448 14339 4450
+rect 12893 4392 12898 4448
+rect 12954 4392 14278 4448
+rect 14334 4392 14339 4448
+rect 12893 4390 14339 4392
+rect 12893 4387 12959 4390
+rect 14273 4387 14339 4390
+rect 17952 4426 21952 4445
+rect 17952 4422 20154 4426
+rect 4136 4384 4736 4385
+rect 4136 4320 4164 4384
+rect 4228 4320 4244 4384
+rect 4308 4320 4324 4384
+rect 4388 4320 4404 4384
+rect 4468 4320 4484 4384
+rect 4548 4320 4564 4384
+rect 4628 4320 4644 4384
+rect 4708 4320 4736 4384
+rect 4136 4319 4736 4320
+rect 6801 4384 7401 4385
+rect 6801 4320 6829 4384
+rect 6893 4320 6909 4384
+rect 6973 4320 6989 4384
+rect 7053 4320 7069 4384
+rect 7133 4320 7149 4384
+rect 7213 4320 7229 4384
+rect 7293 4320 7309 4384
+rect 7373 4320 7401 4384
+rect 6801 4319 7401 4320
+rect 9466 4384 10066 4385
+rect 9466 4320 9494 4384
+rect 9558 4320 9574 4384
+rect 9638 4320 9654 4384
+rect 9718 4320 9734 4384
+rect 9798 4320 9814 4384
+rect 9878 4320 9894 4384
+rect 9958 4320 9974 4384
+rect 10038 4320 10066 4384
+rect 9466 4319 10066 4320
+rect 12131 4384 12731 4385
+rect 12131 4320 12159 4384
+rect 12223 4320 12239 4384
+rect 12303 4320 12319 4384
+rect 12383 4320 12399 4384
+rect 12463 4320 12479 4384
+rect 12543 4320 12559 4384
+rect 12623 4320 12639 4384
+rect 12703 4320 12731 4384
+rect 12131 4319 12731 4320
+rect 14796 4384 15396 4385
+rect 14796 4320 14824 4384
+rect 14888 4320 14904 4384
+rect 14968 4320 14984 4384
+rect 15048 4320 15064 4384
+rect 15128 4320 15144 4384
+rect 15208 4320 15224 4384
+rect 15288 4320 15304 4384
+rect 15368 4320 15396 4384
+rect 17952 4366 18324 4422
+rect 18380 4366 18924 4422
+rect 18980 4366 19524 4422
+rect 19580 4366 20124 4422
+rect 17952 4362 20154 4366
+rect 20218 4362 20234 4426
+rect 20298 4362 20314 4426
+rect 20378 4362 20394 4426
+rect 20458 4362 20474 4426
+rect 20538 4362 20554 4426
+rect 20618 4362 20634 4426
+rect 20698 4422 21952 4426
+rect 20698 4366 20724 4422
+rect 20780 4366 21324 4422
+rect 21380 4366 21952 4422
+rect 20698 4362 21952 4366
+rect 17952 4343 21952 4362
+rect 22168 4426 26168 4445
+rect 22168 4422 22819 4426
+rect 22168 4366 22540 4422
+rect 22596 4366 22819 4422
+rect 22168 4362 22819 4366
+rect 22883 4362 22899 4426
+rect 22963 4362 22979 4426
+rect 23043 4362 23059 4426
+rect 23123 4362 23139 4426
+rect 23203 4362 23219 4426
+rect 23283 4362 23299 4426
+rect 23363 4422 25484 4426
+rect 25548 4422 25564 4426
+rect 23363 4366 23740 4422
+rect 23796 4366 24340 4422
+rect 24396 4366 24940 4422
+rect 24996 4366 25484 4422
+rect 23363 4362 25484 4366
+rect 25548 4362 25564 4366
+rect 25628 4362 25644 4426
+rect 25708 4362 25724 4426
+rect 25788 4362 25804 4426
+rect 25868 4362 25884 4426
+rect 25948 4362 25964 4426
+rect 26028 4362 26168 4426
+rect 22168 4343 26168 4362
+rect 26384 4426 30384 4445
+rect 26384 4422 28149 4426
+rect 26384 4366 26756 4422
+rect 26812 4366 27356 4422
+rect 27412 4366 27956 4422
+rect 28012 4366 28149 4422
+rect 26384 4362 28149 4366
+rect 28213 4362 28229 4426
+rect 28293 4362 28309 4426
+rect 28373 4362 28389 4426
+rect 28453 4362 28469 4426
+rect 28533 4362 28549 4426
+rect 28613 4362 28629 4426
+rect 28693 4422 30384 4426
+rect 28693 4366 29156 4422
+rect 29212 4366 29756 4422
+rect 29812 4366 30384 4422
+rect 28693 4362 30384 4366
+rect 26384 4343 30384 4362
+rect 30464 4426 34464 4445
+rect 30464 4362 30814 4426
+rect 30878 4422 30894 4426
+rect 30892 4366 30894 4422
+rect 30878 4362 30894 4366
+rect 30958 4362 30974 4426
+rect 31038 4362 31054 4426
+rect 31118 4362 31134 4426
+rect 31198 4362 31214 4426
+rect 31278 4362 31294 4426
+rect 31358 4422 33479 4426
+rect 31358 4366 31436 4422
+rect 31492 4366 32036 4422
+rect 32092 4366 32636 4422
+rect 32692 4366 33236 4422
+rect 33292 4366 33479 4422
+rect 31358 4362 33479 4366
+rect 33543 4362 33559 4426
+rect 33623 4362 33639 4426
+rect 33703 4362 33719 4426
+rect 33783 4362 33799 4426
+rect 33863 4422 33879 4426
+rect 33863 4362 33879 4366
+rect 33943 4362 33959 4426
+rect 34023 4362 34464 4426
+rect 30464 4343 34464 4362
+rect 34680 4426 38680 4445
+rect 34680 4422 36144 4426
+rect 34680 4366 35052 4422
+rect 35108 4366 35652 4422
+rect 35708 4366 36144 4422
+rect 34680 4362 36144 4366
+rect 36208 4362 36224 4426
+rect 36288 4422 36304 4426
+rect 36288 4362 36304 4366
+rect 36368 4362 36384 4426
+rect 36448 4362 36464 4426
+rect 36528 4362 36544 4426
+rect 36608 4362 36624 4426
+rect 36688 4422 38680 4426
+rect 36688 4366 36852 4422
+rect 36908 4366 37452 4422
+rect 37508 4366 38052 4422
+rect 38108 4366 38680 4422
+rect 36688 4362 38680 4366
+rect 34680 4343 38680 4362
+rect 14796 4319 15396 4320
+rect 12985 4314 13051 4317
+rect 14181 4314 14247 4317
+rect 12985 4312 14247 4314
+rect 12985 4256 12990 4312
+rect 13046 4256 14186 4312
+rect 14242 4256 14247 4312
+rect 12985 4254 14247 4256
+rect 12985 4251 13051 4254
+rect 14181 4251 14247 4254
+rect 8017 4178 8083 4181
+rect 9673 4178 9739 4181
+rect 14549 4178 14615 4181
+rect 8017 4176 14615 4178
+rect 8017 4120 8022 4176
+rect 8078 4120 9678 4176
+rect 9734 4120 14554 4176
+rect 14610 4120 14615 4176
+rect 8017 4118 14615 4120
+rect 8017 4115 8083 4118
+rect 9673 4115 9739 4118
+rect 14549 4115 14615 4118
+rect 17952 4076 21952 4095
+rect 17952 4072 18822 4076
+rect 9673 4042 9739 4045
+rect 11513 4042 11579 4045
+rect 13353 4042 13419 4045
+rect 9673 4040 13419 4042
+rect 9673 3984 9678 4040
+rect 9734 3984 11518 4040
+rect 11574 3984 13358 4040
+rect 13414 3984 13419 4040
+rect 9673 3982 13419 3984
+rect 9673 3979 9739 3982
+rect 11513 3979 11579 3982
+rect 13353 3979 13419 3982
+rect 13537 4042 13603 4045
+rect 17585 4042 17651 4045
+rect 13537 4040 17651 4042
+rect 13537 3984 13542 4040
+rect 13598 3984 17590 4040
+rect 17646 3984 17651 4040
+rect 17952 4016 18024 4072
+rect 18080 4016 18624 4072
+rect 18680 4016 18822 4072
+rect 17952 4012 18822 4016
+rect 18886 4012 18902 4076
+rect 18966 4012 18982 4076
+rect 19046 4012 19062 4076
+rect 19126 4012 19142 4076
+rect 19206 4012 19222 4076
+rect 19286 4012 19302 4076
+rect 19366 4072 21952 4076
+rect 19366 4016 19824 4072
+rect 19880 4016 20424 4072
+rect 20480 4016 21024 4072
+rect 21080 4016 21624 4072
+rect 21680 4016 21952 4072
+rect 19366 4012 21952 4016
+rect 17952 3993 21952 4012
+rect 22168 4076 26168 4095
+rect 22168 4072 24152 4076
+rect 22168 4016 22240 4072
+rect 22296 4016 22840 4072
+rect 22896 4016 23440 4072
+rect 23496 4016 24040 4072
+rect 24096 4016 24152 4072
+rect 22168 4012 24152 4016
+rect 24216 4012 24232 4076
+rect 24296 4012 24312 4076
+rect 24376 4012 24392 4076
+rect 24456 4012 24472 4076
+rect 24536 4012 24552 4076
+rect 24616 4012 24632 4076
+rect 24696 4072 26168 4076
+rect 24696 4016 25240 4072
+rect 25296 4016 25840 4072
+rect 25896 4016 26168 4072
+rect 24696 4012 26168 4016
+rect 22168 3993 26168 4012
+rect 26384 4076 30384 4095
+rect 26384 4072 26817 4076
+rect 26384 4016 26456 4072
+rect 26512 4016 26817 4072
+rect 26384 4012 26817 4016
+rect 26881 4012 26897 4076
+rect 26961 4012 26977 4076
+rect 27041 4072 27057 4076
+rect 27041 4016 27056 4072
+rect 27041 4012 27057 4016
+rect 27121 4012 27137 4076
+rect 27201 4012 27217 4076
+rect 27281 4012 27297 4076
+rect 27361 4072 29482 4076
+rect 27361 4016 27656 4072
+rect 27712 4016 28256 4072
+rect 28312 4016 28856 4072
+rect 28912 4016 29456 4072
+rect 27361 4012 29482 4016
+rect 29546 4012 29562 4076
+rect 29626 4012 29642 4076
+rect 29706 4012 29722 4076
+rect 29786 4012 29802 4076
+rect 29866 4012 29882 4076
+rect 29946 4012 29962 4076
+rect 30026 4072 30384 4076
+rect 30026 4016 30056 4072
+rect 30112 4016 30384 4072
+rect 30026 4012 30384 4016
+rect 26384 3993 30384 4012
+rect 30464 4076 34464 4095
+rect 30464 4072 32147 4076
+rect 30464 4016 30536 4072
+rect 30592 4016 31136 4072
+rect 31192 4016 31736 4072
+rect 31792 4016 32147 4072
+rect 30464 4012 32147 4016
+rect 32211 4012 32227 4076
+rect 32291 4012 32307 4076
+rect 32371 4072 32387 4076
+rect 32371 4012 32387 4016
+rect 32451 4012 32467 4076
+rect 32531 4012 32547 4076
+rect 32611 4012 32627 4076
+rect 32691 4072 34464 4076
+rect 32691 4016 32936 4072
+rect 32992 4016 33536 4072
+rect 33592 4016 34136 4072
+rect 34192 4016 34464 4072
+rect 32691 4012 34464 4016
+rect 30464 3993 34464 4012
+rect 34680 4076 38680 4095
+rect 34680 4072 34812 4076
+rect 34680 4016 34752 4072
+rect 34808 4016 34812 4072
+rect 34680 4012 34812 4016
+rect 34876 4012 34892 4076
+rect 34956 4012 34972 4076
+rect 35036 4012 35052 4076
+rect 35116 4012 35132 4076
+rect 35196 4012 35212 4076
+rect 35276 4012 35292 4076
+rect 35356 4072 37477 4076
+rect 35408 4016 35952 4072
+rect 36008 4016 36552 4072
+rect 36608 4016 37152 4072
+rect 37208 4016 37477 4072
+rect 35356 4012 37477 4016
+rect 37541 4012 37557 4076
+rect 37621 4012 37637 4076
+rect 37701 4012 37717 4076
+rect 37781 4072 37797 4076
+rect 37781 4012 37797 4016
+rect 37861 4012 37877 4076
+rect 37941 4012 37957 4076
+rect 38021 4072 38680 4076
+rect 38021 4016 38352 4072
+rect 38408 4016 38680 4072
+rect 38021 4012 38680 4016
+rect 34680 3993 38680 4012
+rect 13537 3982 17651 3984
+rect 13537 3979 13603 3982
+rect 17585 3979 17651 3982
+rect 14181 3906 14247 3909
+rect 15745 3906 15811 3909
+rect 14181 3904 15811 3906
+rect 14181 3848 14186 3904
+rect 14242 3848 15750 3904
+rect 15806 3848 15811 3904
+rect 14181 3846 15811 3848
+rect 14181 3843 14247 3846
+rect 15745 3843 15811 3846
+rect 2804 3840 3404 3841
+rect 2804 3776 2832 3840
+rect 2896 3776 2912 3840
+rect 2976 3776 2992 3840
+rect 3056 3776 3072 3840
+rect 3136 3776 3152 3840
+rect 3216 3776 3232 3840
+rect 3296 3776 3312 3840
+rect 3376 3776 3404 3840
+rect 2804 3775 3404 3776
+rect 5469 3840 6069 3841
+rect 5469 3776 5497 3840
+rect 5561 3776 5577 3840
+rect 5641 3776 5657 3840
+rect 5721 3776 5737 3840
+rect 5801 3776 5817 3840
+rect 5881 3776 5897 3840
+rect 5961 3776 5977 3840
+rect 6041 3776 6069 3840
+rect 5469 3775 6069 3776
+rect 8134 3840 8734 3841
+rect 8134 3776 8162 3840
+rect 8226 3776 8242 3840
+rect 8306 3776 8322 3840
+rect 8386 3776 8402 3840
+rect 8466 3776 8482 3840
+rect 8546 3776 8562 3840
+rect 8626 3776 8642 3840
+rect 8706 3776 8734 3840
+rect 8134 3775 8734 3776
+rect 10799 3840 11399 3841
+rect 10799 3776 10827 3840
+rect 10891 3776 10907 3840
+rect 10971 3776 10987 3840
+rect 11051 3776 11067 3840
+rect 11131 3776 11147 3840
+rect 11211 3776 11227 3840
+rect 11291 3776 11307 3840
+rect 11371 3776 11399 3840
+rect 10799 3775 11399 3776
+rect 13464 3840 14064 3841
+rect 13464 3776 13492 3840
+rect 13556 3776 13572 3840
+rect 13636 3776 13652 3840
+rect 13716 3776 13732 3840
+rect 13796 3776 13812 3840
+rect 13876 3776 13892 3840
+rect 13956 3776 13972 3840
+rect 14036 3776 14064 3840
+rect 13464 3775 14064 3776
+rect 16129 3840 16729 3841
+rect 16129 3776 16157 3840
+rect 16221 3776 16237 3840
+rect 16301 3776 16317 3840
+rect 16381 3776 16397 3840
+rect 16461 3776 16477 3840
+rect 16541 3776 16557 3840
+rect 16621 3776 16637 3840
+rect 16701 3776 16729 3840
+rect 16129 3775 16729 3776
+rect 38193 3770 38259 3773
+rect 39200 3770 40000 3800
+rect 38193 3768 40000 3770
+rect 38193 3712 38198 3768
+rect 38254 3712 40000 3768
+rect 38193 3710 40000 3712
+rect 38193 3707 38259 3710
+rect 39200 3680 40000 3710
+rect 4136 3296 4736 3297
+rect 4136 3232 4164 3296
+rect 4228 3232 4244 3296
+rect 4308 3232 4324 3296
+rect 4388 3232 4404 3296
+rect 4468 3232 4484 3296
+rect 4548 3232 4564 3296
+rect 4628 3232 4644 3296
+rect 4708 3232 4736 3296
+rect 4136 3231 4736 3232
+rect 5304 3144 9304 3163
+rect 5304 3140 5497 3144
+rect 5304 3084 5376 3140
+rect 5432 3084 5497 3140
+rect 5304 3080 5497 3084
+rect 5561 3080 5577 3144
+rect 5641 3080 5657 3144
+rect 5721 3080 5737 3144
+rect 5801 3080 5817 3144
+rect 5881 3080 5897 3144
+rect 5961 3140 5977 3144
+rect 6041 3140 8162 3144
+rect 5961 3084 5976 3140
+rect 6041 3084 6576 3140
+rect 6632 3084 7176 3140
+rect 7232 3084 7776 3140
+rect 7832 3084 8162 3140
+rect 5961 3080 5977 3084
+rect 6041 3080 8162 3084
+rect 8226 3080 8242 3144
+rect 8306 3080 8322 3144
+rect 8386 3140 8402 3144
+rect 8386 3080 8402 3084
+rect 8466 3080 8482 3144
+rect 8546 3080 8562 3144
+rect 8626 3080 8642 3144
+rect 8706 3140 9304 3144
+rect 8706 3084 8976 3140
+rect 9032 3084 9304 3140
+rect 8706 3080 9304 3084
+rect 5304 3061 9304 3080
+rect 9520 3144 13520 3163
+rect 9520 3140 10827 3144
+rect 9520 3084 9592 3140
+rect 9648 3084 10192 3140
+rect 10248 3084 10792 3140
+rect 9520 3080 10827 3084
+rect 10891 3080 10907 3144
+rect 10971 3080 10987 3144
+rect 11051 3080 11067 3144
+rect 11131 3080 11147 3144
+rect 11211 3080 11227 3144
+rect 11291 3080 11307 3144
+rect 11371 3140 13520 3144
+rect 11371 3084 11392 3140
+rect 11448 3084 11992 3140
+rect 12048 3084 12592 3140
+rect 12648 3084 13192 3140
+rect 13248 3084 13520 3140
+rect 11371 3080 13520 3084
+rect 9520 3061 13520 3080
+rect 13736 3144 17736 3163
+rect 13736 3140 16157 3144
+rect 16221 3140 16237 3144
+rect 13736 3084 13808 3140
+rect 13864 3084 14408 3140
+rect 14464 3084 15008 3140
+rect 15064 3084 15608 3140
+rect 15664 3084 16157 3140
+rect 13736 3080 16157 3084
+rect 16221 3080 16237 3084
+rect 16301 3080 16317 3144
+rect 16381 3080 16397 3144
+rect 16461 3080 16477 3144
+rect 16541 3080 16557 3144
+rect 16621 3080 16637 3144
+rect 16701 3140 17736 3144
+rect 16701 3084 16808 3140
+rect 16864 3084 17408 3140
+rect 17464 3084 17736 3140
+rect 16701 3080 17736 3084
+rect 13736 3061 17736 3080
+rect 17952 3144 21952 3163
+rect 17952 3140 18822 3144
+rect 17952 3084 18024 3140
+rect 18080 3084 18624 3140
+rect 18680 3084 18822 3140
+rect 17952 3080 18822 3084
+rect 18886 3080 18902 3144
+rect 18966 3080 18982 3144
+rect 19046 3080 19062 3144
+rect 19126 3080 19142 3144
+rect 19206 3080 19222 3144
+rect 19286 3080 19302 3144
+rect 19366 3140 21952 3144
+rect 19366 3084 19824 3140
+rect 19880 3084 20424 3140
+rect 20480 3084 21024 3140
+rect 21080 3084 21624 3140
+rect 21680 3084 21952 3140
+rect 19366 3080 21952 3084
+rect 17952 3061 21952 3080
+rect 22168 3144 26168 3163
+rect 22168 3140 24152 3144
+rect 22168 3084 22240 3140
+rect 22296 3084 22840 3140
+rect 22896 3084 23440 3140
+rect 23496 3084 24040 3140
+rect 24096 3084 24152 3140
+rect 22168 3080 24152 3084
+rect 24216 3080 24232 3144
+rect 24296 3080 24312 3144
+rect 24376 3080 24392 3144
+rect 24456 3080 24472 3144
+rect 24536 3080 24552 3144
+rect 24616 3080 24632 3144
+rect 24696 3140 26168 3144
+rect 24696 3084 25240 3140
+rect 25296 3084 25840 3140
+rect 25896 3084 26168 3140
+rect 24696 3080 26168 3084
+rect 22168 3061 26168 3080
+rect 26384 3144 30384 3163
+rect 26384 3140 26817 3144
+rect 26384 3084 26456 3140
+rect 26512 3084 26817 3140
+rect 26384 3080 26817 3084
+rect 26881 3080 26897 3144
+rect 26961 3080 26977 3144
+rect 27041 3140 27057 3144
+rect 27041 3084 27056 3140
+rect 27041 3080 27057 3084
+rect 27121 3080 27137 3144
+rect 27201 3080 27217 3144
+rect 27281 3080 27297 3144
+rect 27361 3140 29482 3144
+rect 27361 3084 27656 3140
+rect 27712 3084 28256 3140
+rect 28312 3084 28856 3140
+rect 28912 3084 29456 3140
+rect 27361 3080 29482 3084
+rect 29546 3080 29562 3144
+rect 29626 3080 29642 3144
+rect 29706 3080 29722 3144
+rect 29786 3080 29802 3144
+rect 29866 3080 29882 3144
+rect 29946 3080 29962 3144
+rect 30026 3140 30384 3144
+rect 30026 3084 30056 3140
+rect 30112 3084 30384 3140
+rect 30026 3080 30384 3084
+rect 26384 3061 30384 3080
+rect 30464 3144 34464 3163
+rect 30464 3140 32147 3144
+rect 30464 3084 30536 3140
+rect 30592 3084 31136 3140
+rect 31192 3084 31736 3140
+rect 31792 3084 32147 3140
+rect 30464 3080 32147 3084
+rect 32211 3080 32227 3144
+rect 32291 3080 32307 3144
+rect 32371 3140 32387 3144
+rect 32371 3080 32387 3084
+rect 32451 3080 32467 3144
+rect 32531 3080 32547 3144
+rect 32611 3080 32627 3144
+rect 32691 3140 34464 3144
+rect 32691 3084 32936 3140
+rect 32992 3084 33536 3140
+rect 33592 3084 34136 3140
+rect 34192 3084 34464 3140
+rect 32691 3080 34464 3084
+rect 30464 3061 34464 3080
+rect 34680 3144 38680 3163
+rect 34680 3140 34812 3144
+rect 34680 3084 34752 3140
+rect 34808 3084 34812 3140
+rect 34680 3080 34812 3084
+rect 34876 3080 34892 3144
+rect 34956 3080 34972 3144
+rect 35036 3080 35052 3144
+rect 35116 3080 35132 3144
+rect 35196 3080 35212 3144
+rect 35276 3080 35292 3144
+rect 35356 3140 37477 3144
+rect 35408 3084 35952 3140
+rect 36008 3084 36552 3140
+rect 36608 3084 37152 3140
+rect 37208 3084 37477 3140
+rect 35356 3080 37477 3084
+rect 37541 3080 37557 3144
+rect 37621 3080 37637 3144
+rect 37701 3080 37717 3144
+rect 37781 3140 37797 3144
+rect 37781 3080 37797 3084
+rect 37861 3080 37877 3144
+rect 37941 3080 37957 3144
+rect 38021 3140 38680 3144
+rect 38021 3084 38352 3140
+rect 38408 3084 38680 3140
+rect 38021 3080 38680 3084
+rect 34680 3061 38680 3080
+rect 5304 2794 9304 2813
+rect 5304 2790 6829 2794
+rect 6893 2790 6909 2794
+rect 2804 2752 3404 2753
+rect 2804 2688 2832 2752
+rect 2896 2688 2912 2752
+rect 2976 2688 2992 2752
+rect 3056 2688 3072 2752
+rect 3136 2688 3152 2752
+rect 3216 2688 3232 2752
+rect 3296 2688 3312 2752
+rect 3376 2688 3404 2752
+rect 5304 2734 5676 2790
+rect 5732 2734 6276 2790
+rect 6332 2734 6829 2790
+rect 5304 2730 6829 2734
+rect 6893 2730 6909 2734
+rect 6973 2730 6989 2794
+rect 7053 2730 7069 2794
+rect 7133 2730 7149 2794
+rect 7213 2730 7229 2794
+rect 7293 2730 7309 2794
+rect 7373 2790 9304 2794
+rect 7373 2734 7476 2790
+rect 7532 2734 8076 2790
+rect 8132 2734 8676 2790
+rect 8732 2734 9304 2790
+rect 7373 2730 9304 2734
+rect 5304 2711 9304 2730
+rect 9520 2794 13520 2813
+rect 9520 2790 12159 2794
+rect 9520 2734 9892 2790
+rect 9948 2734 10492 2790
+rect 10548 2734 11092 2790
+rect 11148 2734 11692 2790
+rect 11748 2734 12159 2790
+rect 9520 2730 12159 2734
+rect 12223 2730 12239 2794
+rect 12303 2790 12319 2794
+rect 12303 2730 12319 2734
+rect 12383 2730 12399 2794
+rect 12463 2730 12479 2794
+rect 12543 2730 12559 2794
+rect 12623 2730 12639 2794
+rect 12703 2790 13520 2794
+rect 12703 2734 12892 2790
+rect 12948 2734 13520 2790
+rect 12703 2730 13520 2734
+rect 9520 2711 13520 2730
+rect 13736 2794 17736 2813
+rect 13736 2790 14824 2794
+rect 13736 2734 14108 2790
+rect 14164 2734 14708 2790
+rect 14764 2734 14824 2790
+rect 13736 2730 14824 2734
+rect 14888 2730 14904 2794
+rect 14968 2730 14984 2794
+rect 15048 2730 15064 2794
+rect 15128 2730 15144 2794
+rect 15208 2730 15224 2794
+rect 15288 2730 15304 2794
+rect 15368 2790 17736 2794
+rect 15368 2734 15908 2790
+rect 15964 2734 16508 2790
+rect 16564 2734 17108 2790
+rect 17164 2734 17736 2790
+rect 15368 2730 17736 2734
+rect 13736 2711 17736 2730
+rect 17952 2794 21952 2813
+rect 17952 2790 20154 2794
+rect 17952 2734 18324 2790
+rect 18380 2734 18924 2790
+rect 18980 2734 19524 2790
+rect 19580 2734 20124 2790
+rect 17952 2730 20154 2734
+rect 20218 2730 20234 2794
+rect 20298 2730 20314 2794
+rect 20378 2730 20394 2794
+rect 20458 2730 20474 2794
+rect 20538 2730 20554 2794
+rect 20618 2730 20634 2794
+rect 20698 2790 21952 2794
+rect 20698 2734 20724 2790
+rect 20780 2734 21324 2790
+rect 21380 2734 21952 2790
+rect 20698 2730 21952 2734
+rect 17952 2711 21952 2730
+rect 22168 2794 26168 2813
+rect 22168 2790 22819 2794
+rect 22168 2734 22540 2790
+rect 22596 2734 22819 2790
+rect 22168 2730 22819 2734
+rect 22883 2730 22899 2794
+rect 22963 2730 22979 2794
+rect 23043 2730 23059 2794
+rect 23123 2730 23139 2794
+rect 23203 2730 23219 2794
+rect 23283 2730 23299 2794
+rect 23363 2790 25484 2794
+rect 25548 2790 25564 2794
+rect 23363 2734 23740 2790
+rect 23796 2734 24340 2790
+rect 24396 2734 24940 2790
+rect 24996 2734 25484 2790
+rect 23363 2730 25484 2734
+rect 25548 2730 25564 2734
+rect 25628 2730 25644 2794
+rect 25708 2730 25724 2794
+rect 25788 2730 25804 2794
+rect 25868 2730 25884 2794
+rect 25948 2730 25964 2794
+rect 26028 2730 26168 2794
+rect 22168 2711 26168 2730
+rect 26384 2794 30384 2813
+rect 26384 2790 28149 2794
+rect 26384 2734 26756 2790
+rect 26812 2734 27356 2790
+rect 27412 2734 27956 2790
+rect 28012 2734 28149 2790
+rect 26384 2730 28149 2734
+rect 28213 2730 28229 2794
+rect 28293 2730 28309 2794
+rect 28373 2730 28389 2794
+rect 28453 2730 28469 2794
+rect 28533 2730 28549 2794
+rect 28613 2730 28629 2794
+rect 28693 2790 30384 2794
+rect 28693 2734 29156 2790
+rect 29212 2734 29756 2790
+rect 29812 2734 30384 2790
+rect 28693 2730 30384 2734
+rect 26384 2711 30384 2730
+rect 30464 2794 34464 2813
+rect 30464 2730 30814 2794
+rect 30878 2790 30894 2794
+rect 30892 2734 30894 2790
+rect 30878 2730 30894 2734
+rect 30958 2730 30974 2794
+rect 31038 2730 31054 2794
+rect 31118 2730 31134 2794
+rect 31198 2730 31214 2794
+rect 31278 2730 31294 2794
+rect 31358 2790 33479 2794
+rect 31358 2734 31436 2790
+rect 31492 2734 32036 2790
+rect 32092 2734 32636 2790
+rect 32692 2734 33236 2790
+rect 33292 2734 33479 2790
+rect 31358 2730 33479 2734
+rect 33543 2730 33559 2794
+rect 33623 2730 33639 2794
+rect 33703 2730 33719 2794
+rect 33783 2730 33799 2794
+rect 33863 2790 33879 2794
+rect 33863 2730 33879 2734
+rect 33943 2730 33959 2794
+rect 34023 2730 34464 2794
+rect 30464 2711 34464 2730
+rect 34680 2794 38680 2813
+rect 34680 2790 36144 2794
+rect 34680 2734 35052 2790
+rect 35108 2734 35652 2790
+rect 35708 2734 36144 2790
+rect 34680 2730 36144 2734
+rect 36208 2730 36224 2794
+rect 36288 2790 36304 2794
+rect 36288 2730 36304 2734
+rect 36368 2730 36384 2794
+rect 36448 2730 36464 2794
+rect 36528 2730 36544 2794
+rect 36608 2730 36624 2794
+rect 36688 2790 38680 2794
+rect 36688 2734 36852 2790
+rect 36908 2734 37452 2790
+rect 37508 2734 38052 2790
+rect 38108 2734 38680 2790
+rect 36688 2730 38680 2734
+rect 34680 2711 38680 2730
+rect 2804 2687 3404 2688
+rect 5304 2444 9304 2463
+rect 5304 2440 5497 2444
+rect 5304 2384 5376 2440
+rect 5432 2384 5497 2440
+rect 5304 2380 5497 2384
+rect 5561 2380 5577 2444
+rect 5641 2380 5657 2444
+rect 5721 2380 5737 2444
+rect 5801 2380 5817 2444
+rect 5881 2380 5897 2444
+rect 5961 2440 5977 2444
+rect 6041 2440 8162 2444
+rect 5961 2384 5976 2440
+rect 6041 2384 6576 2440
+rect 6632 2384 7176 2440
+rect 7232 2384 7776 2440
+rect 7832 2384 8162 2440
+rect 5961 2380 5977 2384
+rect 6041 2380 8162 2384
+rect 8226 2380 8242 2444
+rect 8306 2380 8322 2444
+rect 8386 2440 8402 2444
+rect 8386 2380 8402 2384
+rect 8466 2380 8482 2444
+rect 8546 2380 8562 2444
+rect 8626 2380 8642 2444
+rect 8706 2440 9304 2444
+rect 8706 2384 8976 2440
+rect 9032 2384 9304 2440
+rect 8706 2380 9304 2384
+rect 5304 2361 9304 2380
+rect 9520 2444 13520 2463
+rect 9520 2440 10827 2444
+rect 9520 2384 9592 2440
+rect 9648 2384 10192 2440
+rect 10248 2384 10792 2440
+rect 9520 2380 10827 2384
+rect 10891 2380 10907 2444
+rect 10971 2380 10987 2444
+rect 11051 2380 11067 2444
+rect 11131 2380 11147 2444
+rect 11211 2380 11227 2444
+rect 11291 2380 11307 2444
+rect 11371 2440 13520 2444
+rect 11371 2384 11392 2440
+rect 11448 2384 11992 2440
+rect 12048 2384 12592 2440
+rect 12648 2384 13192 2440
+rect 13248 2384 13520 2440
+rect 11371 2380 13520 2384
+rect 9520 2361 13520 2380
+rect 13736 2444 17736 2463
+rect 13736 2440 16157 2444
+rect 16221 2440 16237 2444
+rect 13736 2384 13808 2440
+rect 13864 2384 14408 2440
+rect 14464 2384 15008 2440
+rect 15064 2384 15608 2440
+rect 15664 2384 16157 2440
+rect 13736 2380 16157 2384
+rect 16221 2380 16237 2384
+rect 16301 2380 16317 2444
+rect 16381 2380 16397 2444
+rect 16461 2380 16477 2444
+rect 16541 2380 16557 2444
+rect 16621 2380 16637 2444
+rect 16701 2440 17736 2444
+rect 16701 2384 16808 2440
+rect 16864 2384 17408 2440
+rect 17464 2384 17736 2440
+rect 16701 2380 17736 2384
+rect 13736 2361 17736 2380
+rect 17952 2444 21952 2463
+rect 17952 2440 18822 2444
+rect 17952 2384 18024 2440
+rect 18080 2384 18624 2440
+rect 18680 2384 18822 2440
+rect 17952 2380 18822 2384
+rect 18886 2380 18902 2444
+rect 18966 2380 18982 2444
+rect 19046 2380 19062 2444
+rect 19126 2380 19142 2444
+rect 19206 2380 19222 2444
+rect 19286 2380 19302 2444
+rect 19366 2440 21952 2444
+rect 19366 2384 19824 2440
+rect 19880 2384 20424 2440
+rect 20480 2384 21024 2440
+rect 21080 2384 21624 2440
+rect 21680 2384 21952 2440
+rect 19366 2380 21952 2384
+rect 17952 2361 21952 2380
+rect 22168 2444 26168 2463
+rect 22168 2440 24152 2444
+rect 22168 2384 22240 2440
+rect 22296 2384 22840 2440
+rect 22896 2384 23440 2440
+rect 23496 2384 24040 2440
+rect 24096 2384 24152 2440
+rect 22168 2380 24152 2384
+rect 24216 2380 24232 2444
+rect 24296 2380 24312 2444
+rect 24376 2380 24392 2444
+rect 24456 2380 24472 2444
+rect 24536 2380 24552 2444
+rect 24616 2380 24632 2444
+rect 24696 2440 26168 2444
+rect 24696 2384 25240 2440
+rect 25296 2384 25840 2440
+rect 25896 2384 26168 2440
+rect 24696 2380 26168 2384
+rect 22168 2361 26168 2380
+rect 26384 2444 30384 2463
+rect 26384 2440 26817 2444
+rect 26384 2384 26456 2440
+rect 26512 2384 26817 2440
+rect 26384 2380 26817 2384
+rect 26881 2380 26897 2444
+rect 26961 2380 26977 2444
+rect 27041 2440 27057 2444
+rect 27041 2384 27056 2440
+rect 27041 2380 27057 2384
+rect 27121 2380 27137 2444
+rect 27201 2380 27217 2444
+rect 27281 2380 27297 2444
+rect 27361 2440 29482 2444
+rect 27361 2384 27656 2440
+rect 27712 2384 28256 2440
+rect 28312 2384 28856 2440
+rect 28912 2384 29456 2440
+rect 27361 2380 29482 2384
+rect 29546 2380 29562 2444
+rect 29626 2380 29642 2444
+rect 29706 2380 29722 2444
+rect 29786 2380 29802 2444
+rect 29866 2380 29882 2444
+rect 29946 2380 29962 2444
+rect 30026 2440 30384 2444
+rect 30026 2384 30056 2440
+rect 30112 2384 30384 2440
+rect 30026 2380 30384 2384
+rect 26384 2361 30384 2380
+rect 30464 2444 34464 2463
+rect 30464 2440 32147 2444
+rect 30464 2384 30536 2440
+rect 30592 2384 31136 2440
+rect 31192 2384 31736 2440
+rect 31792 2384 32147 2440
+rect 30464 2380 32147 2384
+rect 32211 2380 32227 2444
+rect 32291 2380 32307 2444
+rect 32371 2440 32387 2444
+rect 32371 2380 32387 2384
+rect 32451 2380 32467 2444
+rect 32531 2380 32547 2444
+rect 32611 2380 32627 2444
+rect 32691 2440 34464 2444
+rect 32691 2384 32936 2440
+rect 32992 2384 33536 2440
+rect 33592 2384 34136 2440
+rect 34192 2384 34464 2440
+rect 32691 2380 34464 2384
+rect 30464 2361 34464 2380
+rect 34680 2444 38680 2463
+rect 34680 2440 34812 2444
+rect 34680 2384 34752 2440
+rect 34808 2384 34812 2440
+rect 34680 2380 34812 2384
+rect 34876 2380 34892 2444
+rect 34956 2380 34972 2444
+rect 35036 2380 35052 2444
+rect 35116 2380 35132 2444
+rect 35196 2380 35212 2444
+rect 35276 2380 35292 2444
+rect 35356 2440 37477 2444
+rect 35408 2384 35952 2440
+rect 36008 2384 36552 2440
+rect 36608 2384 37152 2440
+rect 37208 2384 37477 2440
+rect 35356 2380 37477 2384
+rect 37541 2380 37557 2444
+rect 37621 2380 37637 2444
+rect 37701 2380 37717 2444
+rect 37781 2440 37797 2444
+rect 37781 2380 37797 2384
+rect 37861 2380 37877 2444
+rect 37941 2380 37957 2444
+rect 38021 2440 38680 2444
+rect 38021 2384 38352 2440
+rect 38408 2384 38680 2440
+rect 38021 2380 38680 2384
+rect 34680 2361 38680 2380
+rect 4136 2208 4736 2209
+rect 4136 2144 4164 2208
+rect 4228 2144 4244 2208
+rect 4308 2144 4324 2208
+rect 4388 2144 4404 2208
+rect 4468 2144 4484 2208
+rect 4548 2144 4564 2208
+rect 4628 2144 4644 2208
+rect 4708 2144 4736 2208
+rect 4136 2143 4736 2144
+rect 36997 1322 37063 1325
+rect 39200 1322 40000 1352
+rect 36997 1320 40000 1322
+rect 36997 1264 37002 1320
+rect 37058 1264 40000 1320
+rect 36997 1262 40000 1264
+rect 36997 1259 37063 1262
+rect 39200 1232 40000 1262
+<< via3 >>
+rect 4164 7644 4228 7648
+rect 4164 7588 4168 7644
+rect 4168 7588 4224 7644
+rect 4224 7588 4228 7644
+rect 4164 7584 4228 7588
+rect 4244 7644 4308 7648
+rect 4244 7588 4248 7644
+rect 4248 7588 4304 7644
+rect 4304 7588 4308 7644
+rect 4244 7584 4308 7588
+rect 4324 7644 4388 7648
+rect 4324 7588 4328 7644
+rect 4328 7588 4384 7644
+rect 4384 7588 4388 7644
+rect 4324 7584 4388 7588
+rect 4404 7644 4468 7648
+rect 4404 7588 4408 7644
+rect 4408 7588 4464 7644
+rect 4464 7588 4468 7644
+rect 4404 7584 4468 7588
+rect 4484 7644 4548 7648
+rect 4484 7588 4488 7644
+rect 4488 7588 4544 7644
+rect 4544 7588 4548 7644
+rect 4484 7584 4548 7588
+rect 4564 7644 4628 7648
+rect 4564 7588 4568 7644
+rect 4568 7588 4624 7644
+rect 4624 7588 4628 7644
+rect 4564 7584 4628 7588
+rect 4644 7644 4708 7648
+rect 4644 7588 4648 7644
+rect 4648 7588 4704 7644
+rect 4704 7588 4708 7644
+rect 4644 7584 4708 7588
+rect 6829 7644 6893 7648
+rect 6829 7588 6833 7644
+rect 6833 7588 6889 7644
+rect 6889 7588 6893 7644
+rect 6829 7584 6893 7588
+rect 6909 7644 6973 7648
+rect 6909 7588 6913 7644
+rect 6913 7588 6969 7644
+rect 6969 7588 6973 7644
+rect 6909 7584 6973 7588
+rect 6989 7644 7053 7648
+rect 6989 7588 6993 7644
+rect 6993 7588 7049 7644
+rect 7049 7588 7053 7644
+rect 6989 7584 7053 7588
+rect 7069 7644 7133 7648
+rect 7069 7588 7073 7644
+rect 7073 7588 7129 7644
+rect 7129 7588 7133 7644
+rect 7069 7584 7133 7588
+rect 7149 7644 7213 7648
+rect 7149 7588 7153 7644
+rect 7153 7588 7209 7644
+rect 7209 7588 7213 7644
+rect 7149 7584 7213 7588
+rect 7229 7644 7293 7648
+rect 7229 7588 7233 7644
+rect 7233 7588 7289 7644
+rect 7289 7588 7293 7644
+rect 7229 7584 7293 7588
+rect 7309 7644 7373 7648
+rect 7309 7588 7313 7644
+rect 7313 7588 7369 7644
+rect 7369 7588 7373 7644
+rect 7309 7584 7373 7588
+rect 9494 7644 9558 7648
+rect 9494 7588 9498 7644
+rect 9498 7588 9554 7644
+rect 9554 7588 9558 7644
+rect 9494 7584 9558 7588
+rect 9574 7644 9638 7648
+rect 9574 7588 9578 7644
+rect 9578 7588 9634 7644
+rect 9634 7588 9638 7644
+rect 9574 7584 9638 7588
+rect 9654 7644 9718 7648
+rect 9654 7588 9658 7644
+rect 9658 7588 9714 7644
+rect 9714 7588 9718 7644
+rect 9654 7584 9718 7588
+rect 9734 7644 9798 7648
+rect 9734 7588 9738 7644
+rect 9738 7588 9794 7644
+rect 9794 7588 9798 7644
+rect 9734 7584 9798 7588
+rect 9814 7644 9878 7648
+rect 9814 7588 9818 7644
+rect 9818 7588 9874 7644
+rect 9874 7588 9878 7644
+rect 9814 7584 9878 7588
+rect 9894 7644 9958 7648
+rect 9894 7588 9898 7644
+rect 9898 7588 9954 7644
+rect 9954 7588 9958 7644
+rect 9894 7584 9958 7588
+rect 9974 7644 10038 7648
+rect 9974 7588 9978 7644
+rect 9978 7588 10034 7644
+rect 10034 7588 10038 7644
+rect 9974 7584 10038 7588
+rect 12159 7644 12223 7648
+rect 12159 7588 12163 7644
+rect 12163 7588 12219 7644
+rect 12219 7588 12223 7644
+rect 12159 7584 12223 7588
+rect 12239 7644 12303 7648
+rect 12239 7588 12243 7644
+rect 12243 7588 12299 7644
+rect 12299 7588 12303 7644
+rect 12239 7584 12303 7588
+rect 12319 7644 12383 7648
+rect 12319 7588 12323 7644
+rect 12323 7588 12379 7644
+rect 12379 7588 12383 7644
+rect 12319 7584 12383 7588
+rect 12399 7644 12463 7648
+rect 12399 7588 12403 7644
+rect 12403 7588 12459 7644
+rect 12459 7588 12463 7644
+rect 12399 7584 12463 7588
+rect 12479 7644 12543 7648
+rect 12479 7588 12483 7644
+rect 12483 7588 12539 7644
+rect 12539 7588 12543 7644
+rect 12479 7584 12543 7588
+rect 12559 7644 12623 7648
+rect 12559 7588 12563 7644
+rect 12563 7588 12619 7644
+rect 12619 7588 12623 7644
+rect 12559 7584 12623 7588
+rect 12639 7644 12703 7648
+rect 12639 7588 12643 7644
+rect 12643 7588 12699 7644
+rect 12699 7588 12703 7644
+rect 12639 7584 12703 7588
+rect 14824 7644 14888 7648
+rect 14824 7588 14828 7644
+rect 14828 7588 14884 7644
+rect 14884 7588 14888 7644
+rect 14824 7584 14888 7588
+rect 14904 7644 14968 7648
+rect 14904 7588 14908 7644
+rect 14908 7588 14964 7644
+rect 14964 7588 14968 7644
+rect 14904 7584 14968 7588
+rect 14984 7644 15048 7648
+rect 14984 7588 14988 7644
+rect 14988 7588 15044 7644
+rect 15044 7588 15048 7644
+rect 14984 7584 15048 7588
+rect 15064 7644 15128 7648
+rect 15064 7588 15068 7644
+rect 15068 7588 15124 7644
+rect 15124 7588 15128 7644
+rect 15064 7584 15128 7588
+rect 15144 7644 15208 7648
+rect 15144 7588 15148 7644
+rect 15148 7588 15204 7644
+rect 15204 7588 15208 7644
+rect 15144 7584 15208 7588
+rect 15224 7644 15288 7648
+rect 15224 7588 15228 7644
+rect 15228 7588 15284 7644
+rect 15284 7588 15288 7644
+rect 15224 7584 15288 7588
+rect 15304 7644 15368 7648
+rect 15304 7588 15308 7644
+rect 15308 7588 15364 7644
+rect 15364 7588 15368 7644
+rect 15304 7584 15368 7588
+rect 17489 7644 17553 7648
+rect 17489 7588 17493 7644
+rect 17493 7588 17549 7644
+rect 17549 7588 17553 7644
+rect 17489 7584 17553 7588
+rect 17569 7644 17633 7648
+rect 17569 7588 17573 7644
+rect 17573 7588 17629 7644
+rect 17629 7588 17633 7644
+rect 17569 7584 17633 7588
+rect 17649 7644 17713 7648
+rect 17649 7588 17653 7644
+rect 17653 7588 17709 7644
+rect 17709 7588 17713 7644
+rect 17649 7584 17713 7588
+rect 17729 7644 17793 7648
+rect 17729 7588 17733 7644
+rect 17733 7588 17789 7644
+rect 17789 7588 17793 7644
+rect 17729 7584 17793 7588
+rect 17809 7644 17873 7648
+rect 17809 7588 17813 7644
+rect 17813 7588 17869 7644
+rect 17869 7588 17873 7644
+rect 17809 7584 17873 7588
+rect 17889 7644 17953 7648
+rect 17889 7588 17893 7644
+rect 17893 7588 17949 7644
+rect 17949 7588 17953 7644
+rect 17889 7584 17953 7588
+rect 17969 7644 18033 7648
+rect 17969 7588 17973 7644
+rect 17973 7588 18029 7644
+rect 18029 7588 18033 7644
+rect 17969 7584 18033 7588
+rect 20154 7644 20218 7648
+rect 20154 7588 20158 7644
+rect 20158 7588 20214 7644
+rect 20214 7588 20218 7644
+rect 20154 7584 20218 7588
+rect 20234 7644 20298 7648
+rect 20234 7588 20238 7644
+rect 20238 7588 20294 7644
+rect 20294 7588 20298 7644
+rect 20234 7584 20298 7588
+rect 20314 7644 20378 7648
+rect 20314 7588 20318 7644
+rect 20318 7588 20374 7644
+rect 20374 7588 20378 7644
+rect 20314 7584 20378 7588
+rect 20394 7644 20458 7648
+rect 20394 7588 20398 7644
+rect 20398 7588 20454 7644
+rect 20454 7588 20458 7644
+rect 20394 7584 20458 7588
+rect 20474 7644 20538 7648
+rect 20474 7588 20478 7644
+rect 20478 7588 20534 7644
+rect 20534 7588 20538 7644
+rect 20474 7584 20538 7588
+rect 20554 7644 20618 7648
+rect 20554 7588 20558 7644
+rect 20558 7588 20614 7644
+rect 20614 7588 20618 7644
+rect 20554 7584 20618 7588
+rect 20634 7644 20698 7648
+rect 20634 7588 20638 7644
+rect 20638 7588 20694 7644
+rect 20694 7588 20698 7644
+rect 20634 7584 20698 7588
+rect 22819 7644 22883 7648
+rect 22819 7588 22823 7644
+rect 22823 7588 22879 7644
+rect 22879 7588 22883 7644
+rect 22819 7584 22883 7588
+rect 22899 7644 22963 7648
+rect 22899 7588 22903 7644
+rect 22903 7588 22959 7644
+rect 22959 7588 22963 7644
+rect 22899 7584 22963 7588
+rect 22979 7644 23043 7648
+rect 22979 7588 22983 7644
+rect 22983 7588 23039 7644
+rect 23039 7588 23043 7644
+rect 22979 7584 23043 7588
+rect 23059 7644 23123 7648
+rect 23059 7588 23063 7644
+rect 23063 7588 23119 7644
+rect 23119 7588 23123 7644
+rect 23059 7584 23123 7588
+rect 23139 7644 23203 7648
+rect 23139 7588 23143 7644
+rect 23143 7588 23199 7644
+rect 23199 7588 23203 7644
+rect 23139 7584 23203 7588
+rect 23219 7644 23283 7648
+rect 23219 7588 23223 7644
+rect 23223 7588 23279 7644
+rect 23279 7588 23283 7644
+rect 23219 7584 23283 7588
+rect 23299 7644 23363 7648
+rect 23299 7588 23303 7644
+rect 23303 7588 23359 7644
+rect 23359 7588 23363 7644
+rect 23299 7584 23363 7588
+rect 25484 7644 25548 7648
+rect 25484 7588 25488 7644
+rect 25488 7588 25544 7644
+rect 25544 7588 25548 7644
+rect 25484 7584 25548 7588
+rect 25564 7644 25628 7648
+rect 25564 7588 25568 7644
+rect 25568 7588 25624 7644
+rect 25624 7588 25628 7644
+rect 25564 7584 25628 7588
+rect 25644 7644 25708 7648
+rect 25644 7588 25648 7644
+rect 25648 7588 25704 7644
+rect 25704 7588 25708 7644
+rect 25644 7584 25708 7588
+rect 25724 7644 25788 7648
+rect 25724 7588 25728 7644
+rect 25728 7588 25784 7644
+rect 25784 7588 25788 7644
+rect 25724 7584 25788 7588
+rect 25804 7644 25868 7648
+rect 25804 7588 25808 7644
+rect 25808 7588 25864 7644
+rect 25864 7588 25868 7644
+rect 25804 7584 25868 7588
+rect 25884 7644 25948 7648
+rect 25884 7588 25888 7644
+rect 25888 7588 25944 7644
+rect 25944 7588 25948 7644
+rect 25884 7584 25948 7588
+rect 25964 7644 26028 7648
+rect 25964 7588 25968 7644
+rect 25968 7588 26024 7644
+rect 26024 7588 26028 7644
+rect 25964 7584 26028 7588
+rect 28149 7644 28213 7648
+rect 28149 7588 28153 7644
+rect 28153 7588 28209 7644
+rect 28209 7588 28213 7644
+rect 28149 7584 28213 7588
+rect 28229 7644 28293 7648
+rect 28229 7588 28233 7644
+rect 28233 7588 28289 7644
+rect 28289 7588 28293 7644
+rect 28229 7584 28293 7588
+rect 28309 7644 28373 7648
+rect 28309 7588 28313 7644
+rect 28313 7588 28369 7644
+rect 28369 7588 28373 7644
+rect 28309 7584 28373 7588
+rect 28389 7644 28453 7648
+rect 28389 7588 28393 7644
+rect 28393 7588 28449 7644
+rect 28449 7588 28453 7644
+rect 28389 7584 28453 7588
+rect 28469 7644 28533 7648
+rect 28469 7588 28473 7644
+rect 28473 7588 28529 7644
+rect 28529 7588 28533 7644
+rect 28469 7584 28533 7588
+rect 28549 7644 28613 7648
+rect 28549 7588 28553 7644
+rect 28553 7588 28609 7644
+rect 28609 7588 28613 7644
+rect 28549 7584 28613 7588
+rect 28629 7644 28693 7648
+rect 28629 7588 28633 7644
+rect 28633 7588 28689 7644
+rect 28689 7588 28693 7644
+rect 28629 7584 28693 7588
+rect 30814 7644 30878 7648
+rect 30814 7588 30818 7644
+rect 30818 7588 30874 7644
+rect 30874 7588 30878 7644
+rect 30814 7584 30878 7588
+rect 30894 7644 30958 7648
+rect 30894 7588 30898 7644
+rect 30898 7588 30954 7644
+rect 30954 7588 30958 7644
+rect 30894 7584 30958 7588
+rect 30974 7644 31038 7648
+rect 30974 7588 30978 7644
+rect 30978 7588 31034 7644
+rect 31034 7588 31038 7644
+rect 30974 7584 31038 7588
+rect 31054 7644 31118 7648
+rect 31054 7588 31058 7644
+rect 31058 7588 31114 7644
+rect 31114 7588 31118 7644
+rect 31054 7584 31118 7588
+rect 31134 7644 31198 7648
+rect 31134 7588 31138 7644
+rect 31138 7588 31194 7644
+rect 31194 7588 31198 7644
+rect 31134 7584 31198 7588
+rect 31214 7644 31278 7648
+rect 31214 7588 31218 7644
+rect 31218 7588 31274 7644
+rect 31274 7588 31278 7644
+rect 31214 7584 31278 7588
+rect 31294 7644 31358 7648
+rect 31294 7588 31298 7644
+rect 31298 7588 31354 7644
+rect 31354 7588 31358 7644
+rect 31294 7584 31358 7588
+rect 33479 7644 33543 7648
+rect 33479 7588 33483 7644
+rect 33483 7588 33539 7644
+rect 33539 7588 33543 7644
+rect 33479 7584 33543 7588
+rect 33559 7644 33623 7648
+rect 33559 7588 33563 7644
+rect 33563 7588 33619 7644
+rect 33619 7588 33623 7644
+rect 33559 7584 33623 7588
+rect 33639 7644 33703 7648
+rect 33639 7588 33643 7644
+rect 33643 7588 33699 7644
+rect 33699 7588 33703 7644
+rect 33639 7584 33703 7588
+rect 33719 7644 33783 7648
+rect 33719 7588 33723 7644
+rect 33723 7588 33779 7644
+rect 33779 7588 33783 7644
+rect 33719 7584 33783 7588
+rect 33799 7644 33863 7648
+rect 33799 7588 33803 7644
+rect 33803 7588 33859 7644
+rect 33859 7588 33863 7644
+rect 33799 7584 33863 7588
+rect 33879 7644 33943 7648
+rect 33879 7588 33883 7644
+rect 33883 7588 33939 7644
+rect 33939 7588 33943 7644
+rect 33879 7584 33943 7588
+rect 33959 7644 34023 7648
+rect 33959 7588 33963 7644
+rect 33963 7588 34019 7644
+rect 34019 7588 34023 7644
+rect 33959 7584 34023 7588
+rect 36144 7644 36208 7648
+rect 36144 7588 36148 7644
+rect 36148 7588 36204 7644
+rect 36204 7588 36208 7644
+rect 36144 7584 36208 7588
+rect 36224 7644 36288 7648
+rect 36224 7588 36228 7644
+rect 36228 7588 36284 7644
+rect 36284 7588 36288 7644
+rect 36224 7584 36288 7588
+rect 36304 7644 36368 7648
+rect 36304 7588 36308 7644
+rect 36308 7588 36364 7644
+rect 36364 7588 36368 7644
+rect 36304 7584 36368 7588
+rect 36384 7644 36448 7648
+rect 36384 7588 36388 7644
+rect 36388 7588 36444 7644
+rect 36444 7588 36448 7644
+rect 36384 7584 36448 7588
+rect 36464 7644 36528 7648
+rect 36464 7588 36468 7644
+rect 36468 7588 36524 7644
+rect 36524 7588 36528 7644
+rect 36464 7584 36528 7588
+rect 36544 7644 36608 7648
+rect 36544 7588 36548 7644
+rect 36548 7588 36604 7644
+rect 36604 7588 36608 7644
+rect 36544 7584 36608 7588
+rect 36624 7644 36688 7648
+rect 36624 7588 36628 7644
+rect 36628 7588 36684 7644
+rect 36684 7588 36688 7644
+rect 36624 7584 36688 7588
+rect 2832 7100 2896 7104
+rect 2832 7044 2836 7100
+rect 2836 7044 2892 7100
+rect 2892 7044 2896 7100
+rect 2832 7040 2896 7044
+rect 2912 7100 2976 7104
+rect 2912 7044 2916 7100
+rect 2916 7044 2972 7100
+rect 2972 7044 2976 7100
+rect 2912 7040 2976 7044
+rect 2992 7100 3056 7104
+rect 2992 7044 2996 7100
+rect 2996 7044 3052 7100
+rect 3052 7044 3056 7100
+rect 2992 7040 3056 7044
+rect 3072 7100 3136 7104
+rect 3072 7044 3076 7100
+rect 3076 7044 3132 7100
+rect 3132 7044 3136 7100
+rect 3072 7040 3136 7044
+rect 3152 7100 3216 7104
+rect 3152 7044 3156 7100
+rect 3156 7044 3212 7100
+rect 3212 7044 3216 7100
+rect 3152 7040 3216 7044
+rect 3232 7100 3296 7104
+rect 3232 7044 3236 7100
+rect 3236 7044 3292 7100
+rect 3292 7044 3296 7100
+rect 3232 7040 3296 7044
+rect 3312 7100 3376 7104
+rect 3312 7044 3316 7100
+rect 3316 7044 3372 7100
+rect 3372 7044 3376 7100
+rect 3312 7040 3376 7044
+rect 5497 7100 5561 7104
+rect 5497 7044 5501 7100
+rect 5501 7044 5557 7100
+rect 5557 7044 5561 7100
+rect 5497 7040 5561 7044
+rect 5577 7100 5641 7104
+rect 5577 7044 5581 7100
+rect 5581 7044 5637 7100
+rect 5637 7044 5641 7100
+rect 5577 7040 5641 7044
+rect 5657 7100 5721 7104
+rect 5657 7044 5661 7100
+rect 5661 7044 5717 7100
+rect 5717 7044 5721 7100
+rect 5657 7040 5721 7044
+rect 5737 7100 5801 7104
+rect 5737 7044 5741 7100
+rect 5741 7044 5797 7100
+rect 5797 7044 5801 7100
+rect 5737 7040 5801 7044
+rect 5817 7100 5881 7104
+rect 5817 7044 5821 7100
+rect 5821 7044 5877 7100
+rect 5877 7044 5881 7100
+rect 5817 7040 5881 7044
+rect 5897 7100 5961 7104
+rect 5897 7044 5901 7100
+rect 5901 7044 5957 7100
+rect 5957 7044 5961 7100
+rect 5897 7040 5961 7044
+rect 5977 7100 6041 7104
+rect 5977 7044 5981 7100
+rect 5981 7044 6037 7100
+rect 6037 7044 6041 7100
+rect 5977 7040 6041 7044
+rect 8162 7100 8226 7104
+rect 8162 7044 8166 7100
+rect 8166 7044 8222 7100
+rect 8222 7044 8226 7100
+rect 8162 7040 8226 7044
+rect 8242 7100 8306 7104
+rect 8242 7044 8246 7100
+rect 8246 7044 8302 7100
+rect 8302 7044 8306 7100
+rect 8242 7040 8306 7044
+rect 8322 7100 8386 7104
+rect 8322 7044 8326 7100
+rect 8326 7044 8382 7100
+rect 8382 7044 8386 7100
+rect 8322 7040 8386 7044
+rect 8402 7100 8466 7104
+rect 8402 7044 8406 7100
+rect 8406 7044 8462 7100
+rect 8462 7044 8466 7100
+rect 8402 7040 8466 7044
+rect 8482 7100 8546 7104
+rect 8482 7044 8486 7100
+rect 8486 7044 8542 7100
+rect 8542 7044 8546 7100
+rect 8482 7040 8546 7044
+rect 8562 7100 8626 7104
+rect 8562 7044 8566 7100
+rect 8566 7044 8622 7100
+rect 8622 7044 8626 7100
+rect 8562 7040 8626 7044
+rect 8642 7100 8706 7104
+rect 8642 7044 8646 7100
+rect 8646 7044 8702 7100
+rect 8702 7044 8706 7100
+rect 8642 7040 8706 7044
+rect 10827 7100 10891 7104
+rect 10827 7044 10831 7100
+rect 10831 7044 10887 7100
+rect 10887 7044 10891 7100
+rect 10827 7040 10891 7044
+rect 10907 7100 10971 7104
+rect 10907 7044 10911 7100
+rect 10911 7044 10967 7100
+rect 10967 7044 10971 7100
+rect 10907 7040 10971 7044
+rect 10987 7100 11051 7104
+rect 10987 7044 10991 7100
+rect 10991 7044 11047 7100
+rect 11047 7044 11051 7100
+rect 10987 7040 11051 7044
+rect 11067 7100 11131 7104
+rect 11067 7044 11071 7100
+rect 11071 7044 11127 7100
+rect 11127 7044 11131 7100
+rect 11067 7040 11131 7044
+rect 11147 7100 11211 7104
+rect 11147 7044 11151 7100
+rect 11151 7044 11207 7100
+rect 11207 7044 11211 7100
+rect 11147 7040 11211 7044
+rect 11227 7100 11291 7104
+rect 11227 7044 11231 7100
+rect 11231 7044 11287 7100
+rect 11287 7044 11291 7100
+rect 11227 7040 11291 7044
+rect 11307 7100 11371 7104
+rect 11307 7044 11311 7100
+rect 11311 7044 11367 7100
+rect 11367 7044 11371 7100
+rect 11307 7040 11371 7044
+rect 13492 7100 13556 7104
+rect 13492 7044 13496 7100
+rect 13496 7044 13552 7100
+rect 13552 7044 13556 7100
+rect 13492 7040 13556 7044
+rect 13572 7100 13636 7104
+rect 13572 7044 13576 7100
+rect 13576 7044 13632 7100
+rect 13632 7044 13636 7100
+rect 13572 7040 13636 7044
+rect 13652 7100 13716 7104
+rect 13652 7044 13656 7100
+rect 13656 7044 13712 7100
+rect 13712 7044 13716 7100
+rect 13652 7040 13716 7044
+rect 13732 7100 13796 7104
+rect 13732 7044 13736 7100
+rect 13736 7044 13792 7100
+rect 13792 7044 13796 7100
+rect 13732 7040 13796 7044
+rect 13812 7100 13876 7104
+rect 13812 7044 13816 7100
+rect 13816 7044 13872 7100
+rect 13872 7044 13876 7100
+rect 13812 7040 13876 7044
+rect 13892 7100 13956 7104
+rect 13892 7044 13896 7100
+rect 13896 7044 13952 7100
+rect 13952 7044 13956 7100
+rect 13892 7040 13956 7044
+rect 13972 7100 14036 7104
+rect 13972 7044 13976 7100
+rect 13976 7044 14032 7100
+rect 14032 7044 14036 7100
+rect 13972 7040 14036 7044
+rect 16157 7100 16221 7104
+rect 16157 7044 16161 7100
+rect 16161 7044 16217 7100
+rect 16217 7044 16221 7100
+rect 16157 7040 16221 7044
+rect 16237 7100 16301 7104
+rect 16237 7044 16241 7100
+rect 16241 7044 16297 7100
+rect 16297 7044 16301 7100
+rect 16237 7040 16301 7044
+rect 16317 7100 16381 7104
+rect 16317 7044 16321 7100
+rect 16321 7044 16377 7100
+rect 16377 7044 16381 7100
+rect 16317 7040 16381 7044
+rect 16397 7100 16461 7104
+rect 16397 7044 16401 7100
+rect 16401 7044 16457 7100
+rect 16457 7044 16461 7100
+rect 16397 7040 16461 7044
+rect 16477 7100 16541 7104
+rect 16477 7044 16481 7100
+rect 16481 7044 16537 7100
+rect 16537 7044 16541 7100
+rect 16477 7040 16541 7044
+rect 16557 7100 16621 7104
+rect 16557 7044 16561 7100
+rect 16561 7044 16617 7100
+rect 16617 7044 16621 7100
+rect 16557 7040 16621 7044
+rect 16637 7100 16701 7104
+rect 16637 7044 16641 7100
+rect 16641 7044 16697 7100
+rect 16697 7044 16701 7100
+rect 16637 7040 16701 7044
+rect 18822 7100 18886 7104
+rect 18822 7044 18826 7100
+rect 18826 7044 18882 7100
+rect 18882 7044 18886 7100
+rect 18822 7040 18886 7044
+rect 18902 7100 18966 7104
+rect 18902 7044 18906 7100
+rect 18906 7044 18962 7100
+rect 18962 7044 18966 7100
+rect 18902 7040 18966 7044
+rect 18982 7100 19046 7104
+rect 18982 7044 18986 7100
+rect 18986 7044 19042 7100
+rect 19042 7044 19046 7100
+rect 18982 7040 19046 7044
+rect 19062 7100 19126 7104
+rect 19062 7044 19066 7100
+rect 19066 7044 19122 7100
+rect 19122 7044 19126 7100
+rect 19062 7040 19126 7044
+rect 19142 7100 19206 7104
+rect 19142 7044 19146 7100
+rect 19146 7044 19202 7100
+rect 19202 7044 19206 7100
+rect 19142 7040 19206 7044
+rect 19222 7100 19286 7104
+rect 19222 7044 19226 7100
+rect 19226 7044 19282 7100
+rect 19282 7044 19286 7100
+rect 19222 7040 19286 7044
+rect 19302 7100 19366 7104
+rect 19302 7044 19306 7100
+rect 19306 7044 19362 7100
+rect 19362 7044 19366 7100
+rect 19302 7040 19366 7044
+rect 21487 7100 21551 7104
+rect 21487 7044 21491 7100
+rect 21491 7044 21547 7100
+rect 21547 7044 21551 7100
+rect 21487 7040 21551 7044
+rect 21567 7100 21631 7104
+rect 21567 7044 21571 7100
+rect 21571 7044 21627 7100
+rect 21627 7044 21631 7100
+rect 21567 7040 21631 7044
+rect 21647 7100 21711 7104
+rect 21647 7044 21651 7100
+rect 21651 7044 21707 7100
+rect 21707 7044 21711 7100
+rect 21647 7040 21711 7044
+rect 21727 7100 21791 7104
+rect 21727 7044 21731 7100
+rect 21731 7044 21787 7100
+rect 21787 7044 21791 7100
+rect 21727 7040 21791 7044
+rect 21807 7100 21871 7104
+rect 21807 7044 21811 7100
+rect 21811 7044 21867 7100
+rect 21867 7044 21871 7100
+rect 21807 7040 21871 7044
+rect 21887 7100 21951 7104
+rect 21887 7044 21891 7100
+rect 21891 7044 21947 7100
+rect 21947 7044 21951 7100
+rect 21887 7040 21951 7044
+rect 21967 7100 22031 7104
+rect 21967 7044 21971 7100
+rect 21971 7044 22027 7100
+rect 22027 7044 22031 7100
+rect 21967 7040 22031 7044
+rect 24152 7100 24216 7104
+rect 24152 7044 24156 7100
+rect 24156 7044 24212 7100
+rect 24212 7044 24216 7100
+rect 24152 7040 24216 7044
+rect 24232 7100 24296 7104
+rect 24232 7044 24236 7100
+rect 24236 7044 24292 7100
+rect 24292 7044 24296 7100
+rect 24232 7040 24296 7044
+rect 24312 7100 24376 7104
+rect 24312 7044 24316 7100
+rect 24316 7044 24372 7100
+rect 24372 7044 24376 7100
+rect 24312 7040 24376 7044
+rect 24392 7100 24456 7104
+rect 24392 7044 24396 7100
+rect 24396 7044 24452 7100
+rect 24452 7044 24456 7100
+rect 24392 7040 24456 7044
+rect 24472 7100 24536 7104
+rect 24472 7044 24476 7100
+rect 24476 7044 24532 7100
+rect 24532 7044 24536 7100
+rect 24472 7040 24536 7044
+rect 24552 7100 24616 7104
+rect 24552 7044 24556 7100
+rect 24556 7044 24612 7100
+rect 24612 7044 24616 7100
+rect 24552 7040 24616 7044
+rect 24632 7100 24696 7104
+rect 24632 7044 24636 7100
+rect 24636 7044 24692 7100
+rect 24692 7044 24696 7100
+rect 24632 7040 24696 7044
+rect 26817 7100 26881 7104
+rect 26817 7044 26821 7100
+rect 26821 7044 26877 7100
+rect 26877 7044 26881 7100
+rect 26817 7040 26881 7044
+rect 26897 7100 26961 7104
+rect 26897 7044 26901 7100
+rect 26901 7044 26957 7100
+rect 26957 7044 26961 7100
+rect 26897 7040 26961 7044
+rect 26977 7100 27041 7104
+rect 26977 7044 26981 7100
+rect 26981 7044 27037 7100
+rect 27037 7044 27041 7100
+rect 26977 7040 27041 7044
+rect 27057 7100 27121 7104
+rect 27057 7044 27061 7100
+rect 27061 7044 27117 7100
+rect 27117 7044 27121 7100
+rect 27057 7040 27121 7044
+rect 27137 7100 27201 7104
+rect 27137 7044 27141 7100
+rect 27141 7044 27197 7100
+rect 27197 7044 27201 7100
+rect 27137 7040 27201 7044
+rect 27217 7100 27281 7104
+rect 27217 7044 27221 7100
+rect 27221 7044 27277 7100
+rect 27277 7044 27281 7100
+rect 27217 7040 27281 7044
+rect 27297 7100 27361 7104
+rect 27297 7044 27301 7100
+rect 27301 7044 27357 7100
+rect 27357 7044 27361 7100
+rect 27297 7040 27361 7044
+rect 29482 7100 29546 7104
+rect 29482 7044 29486 7100
+rect 29486 7044 29542 7100
+rect 29542 7044 29546 7100
+rect 29482 7040 29546 7044
+rect 29562 7100 29626 7104
+rect 29562 7044 29566 7100
+rect 29566 7044 29622 7100
+rect 29622 7044 29626 7100
+rect 29562 7040 29626 7044
+rect 29642 7100 29706 7104
+rect 29642 7044 29646 7100
+rect 29646 7044 29702 7100
+rect 29702 7044 29706 7100
+rect 29642 7040 29706 7044
+rect 29722 7100 29786 7104
+rect 29722 7044 29726 7100
+rect 29726 7044 29782 7100
+rect 29782 7044 29786 7100
+rect 29722 7040 29786 7044
+rect 29802 7100 29866 7104
+rect 29802 7044 29806 7100
+rect 29806 7044 29862 7100
+rect 29862 7044 29866 7100
+rect 29802 7040 29866 7044
+rect 29882 7100 29946 7104
+rect 29882 7044 29886 7100
+rect 29886 7044 29942 7100
+rect 29942 7044 29946 7100
+rect 29882 7040 29946 7044
+rect 29962 7100 30026 7104
+rect 29962 7044 29966 7100
+rect 29966 7044 30022 7100
+rect 30022 7044 30026 7100
+rect 29962 7040 30026 7044
+rect 32147 7100 32211 7104
+rect 32147 7044 32151 7100
+rect 32151 7044 32207 7100
+rect 32207 7044 32211 7100
+rect 32147 7040 32211 7044
+rect 32227 7100 32291 7104
+rect 32227 7044 32231 7100
+rect 32231 7044 32287 7100
+rect 32287 7044 32291 7100
+rect 32227 7040 32291 7044
+rect 32307 7100 32371 7104
+rect 32307 7044 32311 7100
+rect 32311 7044 32367 7100
+rect 32367 7044 32371 7100
+rect 32307 7040 32371 7044
+rect 32387 7100 32451 7104
+rect 32387 7044 32391 7100
+rect 32391 7044 32447 7100
+rect 32447 7044 32451 7100
+rect 32387 7040 32451 7044
+rect 32467 7100 32531 7104
+rect 32467 7044 32471 7100
+rect 32471 7044 32527 7100
+rect 32527 7044 32531 7100
+rect 32467 7040 32531 7044
+rect 32547 7100 32611 7104
+rect 32547 7044 32551 7100
+rect 32551 7044 32607 7100
+rect 32607 7044 32611 7100
+rect 32547 7040 32611 7044
+rect 32627 7100 32691 7104
+rect 32627 7044 32631 7100
+rect 32631 7044 32687 7100
+rect 32687 7044 32691 7100
+rect 32627 7040 32691 7044
+rect 34812 7100 34876 7104
+rect 34812 7044 34816 7100
+rect 34816 7044 34872 7100
+rect 34872 7044 34876 7100
+rect 34812 7040 34876 7044
+rect 34892 7100 34956 7104
+rect 34892 7044 34896 7100
+rect 34896 7044 34952 7100
+rect 34952 7044 34956 7100
+rect 34892 7040 34956 7044
+rect 34972 7100 35036 7104
+rect 34972 7044 34976 7100
+rect 34976 7044 35032 7100
+rect 35032 7044 35036 7100
+rect 34972 7040 35036 7044
+rect 35052 7100 35116 7104
+rect 35052 7044 35056 7100
+rect 35056 7044 35112 7100
+rect 35112 7044 35116 7100
+rect 35052 7040 35116 7044
+rect 35132 7100 35196 7104
+rect 35132 7044 35136 7100
+rect 35136 7044 35192 7100
+rect 35192 7044 35196 7100
+rect 35132 7040 35196 7044
+rect 35212 7100 35276 7104
+rect 35212 7044 35216 7100
+rect 35216 7044 35272 7100
+rect 35272 7044 35276 7100
+rect 35212 7040 35276 7044
+rect 35292 7100 35356 7104
+rect 35292 7044 35296 7100
+rect 35296 7044 35352 7100
+rect 35352 7044 35356 7100
+rect 35292 7040 35356 7044
+rect 37477 7100 37541 7104
+rect 37477 7044 37481 7100
+rect 37481 7044 37537 7100
+rect 37537 7044 37541 7100
+rect 37477 7040 37541 7044
+rect 37557 7100 37621 7104
+rect 37557 7044 37561 7100
+rect 37561 7044 37617 7100
+rect 37617 7044 37621 7100
+rect 37557 7040 37621 7044
+rect 37637 7100 37701 7104
+rect 37637 7044 37641 7100
+rect 37641 7044 37697 7100
+rect 37697 7044 37701 7100
+rect 37637 7040 37701 7044
+rect 37717 7100 37781 7104
+rect 37717 7044 37721 7100
+rect 37721 7044 37777 7100
+rect 37777 7044 37781 7100
+rect 37717 7040 37781 7044
+rect 37797 7100 37861 7104
+rect 37797 7044 37801 7100
+rect 37801 7044 37857 7100
+rect 37857 7044 37861 7100
+rect 37797 7040 37861 7044
+rect 37877 7100 37941 7104
+rect 37877 7044 37881 7100
+rect 37881 7044 37937 7100
+rect 37937 7044 37941 7100
+rect 37877 7040 37941 7044
+rect 37957 7100 38021 7104
+rect 37957 7044 37961 7100
+rect 37961 7044 38017 7100
+rect 38017 7044 38021 7100
+rect 37957 7040 38021 7044
+rect 4164 6556 4228 6560
+rect 4164 6500 4168 6556
+rect 4168 6500 4224 6556
+rect 4224 6500 4228 6556
+rect 4164 6496 4228 6500
+rect 4244 6556 4308 6560
+rect 4244 6500 4248 6556
+rect 4248 6500 4304 6556
+rect 4304 6500 4308 6556
+rect 4244 6496 4308 6500
+rect 4324 6556 4388 6560
+rect 4324 6500 4328 6556
+rect 4328 6500 4384 6556
+rect 4384 6500 4388 6556
+rect 4324 6496 4388 6500
+rect 4404 6556 4468 6560
+rect 4404 6500 4408 6556
+rect 4408 6500 4464 6556
+rect 4464 6500 4468 6556
+rect 4404 6496 4468 6500
+rect 4484 6556 4548 6560
+rect 4484 6500 4488 6556
+rect 4488 6500 4544 6556
+rect 4544 6500 4548 6556
+rect 4484 6496 4548 6500
+rect 4564 6556 4628 6560
+rect 4564 6500 4568 6556
+rect 4568 6500 4624 6556
+rect 4624 6500 4628 6556
+rect 4564 6496 4628 6500
+rect 4644 6556 4708 6560
+rect 4644 6500 4648 6556
+rect 4648 6500 4704 6556
+rect 4704 6500 4708 6556
+rect 4644 6496 4708 6500
+rect 6829 6556 6893 6560
+rect 6829 6500 6833 6556
+rect 6833 6500 6889 6556
+rect 6889 6500 6893 6556
+rect 6829 6496 6893 6500
+rect 6909 6556 6973 6560
+rect 6909 6500 6913 6556
+rect 6913 6500 6969 6556
+rect 6969 6500 6973 6556
+rect 6909 6496 6973 6500
+rect 6989 6556 7053 6560
+rect 6989 6500 6993 6556
+rect 6993 6500 7049 6556
+rect 7049 6500 7053 6556
+rect 6989 6496 7053 6500
+rect 7069 6556 7133 6560
+rect 7069 6500 7073 6556
+rect 7073 6500 7129 6556
+rect 7129 6500 7133 6556
+rect 7069 6496 7133 6500
+rect 7149 6556 7213 6560
+rect 7149 6500 7153 6556
+rect 7153 6500 7209 6556
+rect 7209 6500 7213 6556
+rect 7149 6496 7213 6500
+rect 7229 6556 7293 6560
+rect 7229 6500 7233 6556
+rect 7233 6500 7289 6556
+rect 7289 6500 7293 6556
+rect 7229 6496 7293 6500
+rect 7309 6556 7373 6560
+rect 7309 6500 7313 6556
+rect 7313 6500 7369 6556
+rect 7369 6500 7373 6556
+rect 7309 6496 7373 6500
+rect 9494 6556 9558 6560
+rect 9494 6500 9498 6556
+rect 9498 6500 9554 6556
+rect 9554 6500 9558 6556
+rect 9494 6496 9558 6500
+rect 9574 6556 9638 6560
+rect 9574 6500 9578 6556
+rect 9578 6500 9634 6556
+rect 9634 6500 9638 6556
+rect 9574 6496 9638 6500
+rect 9654 6556 9718 6560
+rect 9654 6500 9658 6556
+rect 9658 6500 9714 6556
+rect 9714 6500 9718 6556
+rect 9654 6496 9718 6500
+rect 9734 6556 9798 6560
+rect 9734 6500 9738 6556
+rect 9738 6500 9794 6556
+rect 9794 6500 9798 6556
+rect 9734 6496 9798 6500
+rect 9814 6556 9878 6560
+rect 9814 6500 9818 6556
+rect 9818 6500 9874 6556
+rect 9874 6500 9878 6556
+rect 9814 6496 9878 6500
+rect 9894 6556 9958 6560
+rect 9894 6500 9898 6556
+rect 9898 6500 9954 6556
+rect 9954 6500 9958 6556
+rect 9894 6496 9958 6500
+rect 9974 6556 10038 6560
+rect 9974 6500 9978 6556
+rect 9978 6500 10034 6556
+rect 10034 6500 10038 6556
+rect 9974 6496 10038 6500
+rect 12159 6556 12223 6560
+rect 12159 6500 12163 6556
+rect 12163 6500 12219 6556
+rect 12219 6500 12223 6556
+rect 12159 6496 12223 6500
+rect 12239 6556 12303 6560
+rect 12239 6500 12243 6556
+rect 12243 6500 12299 6556
+rect 12299 6500 12303 6556
+rect 12239 6496 12303 6500
+rect 12319 6556 12383 6560
+rect 12319 6500 12323 6556
+rect 12323 6500 12379 6556
+rect 12379 6500 12383 6556
+rect 12319 6496 12383 6500
+rect 12399 6556 12463 6560
+rect 12399 6500 12403 6556
+rect 12403 6500 12459 6556
+rect 12459 6500 12463 6556
+rect 12399 6496 12463 6500
+rect 12479 6556 12543 6560
+rect 12479 6500 12483 6556
+rect 12483 6500 12539 6556
+rect 12539 6500 12543 6556
+rect 12479 6496 12543 6500
+rect 12559 6556 12623 6560
+rect 12559 6500 12563 6556
+rect 12563 6500 12619 6556
+rect 12619 6500 12623 6556
+rect 12559 6496 12623 6500
+rect 12639 6556 12703 6560
+rect 12639 6500 12643 6556
+rect 12643 6500 12699 6556
+rect 12699 6500 12703 6556
+rect 12639 6496 12703 6500
+rect 14824 6556 14888 6560
+rect 14824 6500 14828 6556
+rect 14828 6500 14884 6556
+rect 14884 6500 14888 6556
+rect 14824 6496 14888 6500
+rect 14904 6556 14968 6560
+rect 14904 6500 14908 6556
+rect 14908 6500 14964 6556
+rect 14964 6500 14968 6556
+rect 14904 6496 14968 6500
+rect 14984 6556 15048 6560
+rect 14984 6500 14988 6556
+rect 14988 6500 15044 6556
+rect 15044 6500 15048 6556
+rect 14984 6496 15048 6500
+rect 15064 6556 15128 6560
+rect 15064 6500 15068 6556
+rect 15068 6500 15124 6556
+rect 15124 6500 15128 6556
+rect 15064 6496 15128 6500
+rect 15144 6556 15208 6560
+rect 15144 6500 15148 6556
+rect 15148 6500 15204 6556
+rect 15204 6500 15208 6556
+rect 15144 6496 15208 6500
+rect 15224 6556 15288 6560
+rect 15224 6500 15228 6556
+rect 15228 6500 15284 6556
+rect 15284 6500 15288 6556
+rect 15224 6496 15288 6500
+rect 15304 6556 15368 6560
+rect 15304 6500 15308 6556
+rect 15308 6500 15364 6556
+rect 15364 6500 15368 6556
+rect 15304 6496 15368 6500
+rect 17489 6556 17553 6560
+rect 17489 6500 17493 6556
+rect 17493 6500 17549 6556
+rect 17549 6500 17553 6556
+rect 17489 6496 17553 6500
+rect 17569 6556 17633 6560
+rect 17569 6500 17573 6556
+rect 17573 6500 17629 6556
+rect 17629 6500 17633 6556
+rect 17569 6496 17633 6500
+rect 17649 6556 17713 6560
+rect 17649 6500 17653 6556
+rect 17653 6500 17709 6556
+rect 17709 6500 17713 6556
+rect 17649 6496 17713 6500
+rect 17729 6556 17793 6560
+rect 17729 6500 17733 6556
+rect 17733 6500 17789 6556
+rect 17789 6500 17793 6556
+rect 17729 6496 17793 6500
+rect 17809 6556 17873 6560
+rect 17809 6500 17813 6556
+rect 17813 6500 17869 6556
+rect 17869 6500 17873 6556
+rect 17809 6496 17873 6500
+rect 17889 6556 17953 6560
+rect 17889 6500 17893 6556
+rect 17893 6500 17949 6556
+rect 17949 6500 17953 6556
+rect 17889 6496 17953 6500
+rect 17969 6556 18033 6560
+rect 17969 6500 17973 6556
+rect 17973 6500 18029 6556
+rect 18029 6500 18033 6556
+rect 17969 6496 18033 6500
+rect 20154 6556 20218 6560
+rect 20154 6500 20158 6556
+rect 20158 6500 20214 6556
+rect 20214 6500 20218 6556
+rect 20154 6496 20218 6500
+rect 20234 6556 20298 6560
+rect 20234 6500 20238 6556
+rect 20238 6500 20294 6556
+rect 20294 6500 20298 6556
+rect 20234 6496 20298 6500
+rect 20314 6556 20378 6560
+rect 20314 6500 20318 6556
+rect 20318 6500 20374 6556
+rect 20374 6500 20378 6556
+rect 20314 6496 20378 6500
+rect 20394 6556 20458 6560
+rect 20394 6500 20398 6556
+rect 20398 6500 20454 6556
+rect 20454 6500 20458 6556
+rect 20394 6496 20458 6500
+rect 20474 6556 20538 6560
+rect 20474 6500 20478 6556
+rect 20478 6500 20534 6556
+rect 20534 6500 20538 6556
+rect 20474 6496 20538 6500
+rect 20554 6556 20618 6560
+rect 20554 6500 20558 6556
+rect 20558 6500 20614 6556
+rect 20614 6500 20618 6556
+rect 20554 6496 20618 6500
+rect 20634 6556 20698 6560
+rect 20634 6500 20638 6556
+rect 20638 6500 20694 6556
+rect 20694 6500 20698 6556
+rect 20634 6496 20698 6500
+rect 22819 6556 22883 6560
+rect 22819 6500 22823 6556
+rect 22823 6500 22879 6556
+rect 22879 6500 22883 6556
+rect 22819 6496 22883 6500
+rect 22899 6556 22963 6560
+rect 22899 6500 22903 6556
+rect 22903 6500 22959 6556
+rect 22959 6500 22963 6556
+rect 22899 6496 22963 6500
+rect 22979 6556 23043 6560
+rect 22979 6500 22983 6556
+rect 22983 6500 23039 6556
+rect 23039 6500 23043 6556
+rect 22979 6496 23043 6500
+rect 23059 6556 23123 6560
+rect 23059 6500 23063 6556
+rect 23063 6500 23119 6556
+rect 23119 6500 23123 6556
+rect 23059 6496 23123 6500
+rect 23139 6556 23203 6560
+rect 23139 6500 23143 6556
+rect 23143 6500 23199 6556
+rect 23199 6500 23203 6556
+rect 23139 6496 23203 6500
+rect 23219 6556 23283 6560
+rect 23219 6500 23223 6556
+rect 23223 6500 23279 6556
+rect 23279 6500 23283 6556
+rect 23219 6496 23283 6500
+rect 23299 6556 23363 6560
+rect 23299 6500 23303 6556
+rect 23303 6500 23359 6556
+rect 23359 6500 23363 6556
+rect 23299 6496 23363 6500
+rect 25484 6556 25548 6560
+rect 25484 6500 25488 6556
+rect 25488 6500 25544 6556
+rect 25544 6500 25548 6556
+rect 25484 6496 25548 6500
+rect 25564 6556 25628 6560
+rect 25564 6500 25568 6556
+rect 25568 6500 25624 6556
+rect 25624 6500 25628 6556
+rect 25564 6496 25628 6500
+rect 25644 6556 25708 6560
+rect 25644 6500 25648 6556
+rect 25648 6500 25704 6556
+rect 25704 6500 25708 6556
+rect 25644 6496 25708 6500
+rect 25724 6556 25788 6560
+rect 25724 6500 25728 6556
+rect 25728 6500 25784 6556
+rect 25784 6500 25788 6556
+rect 25724 6496 25788 6500
+rect 25804 6556 25868 6560
+rect 25804 6500 25808 6556
+rect 25808 6500 25864 6556
+rect 25864 6500 25868 6556
+rect 25804 6496 25868 6500
+rect 25884 6556 25948 6560
+rect 25884 6500 25888 6556
+rect 25888 6500 25944 6556
+rect 25944 6500 25948 6556
+rect 25884 6496 25948 6500
+rect 25964 6556 26028 6560
+rect 25964 6500 25968 6556
+rect 25968 6500 26024 6556
+rect 26024 6500 26028 6556
+rect 25964 6496 26028 6500
+rect 26817 6344 26881 6408
+rect 26897 6344 26961 6408
+rect 26977 6344 27041 6408
+rect 27057 6404 27121 6408
+rect 27057 6348 27112 6404
+rect 27112 6348 27121 6404
+rect 27057 6344 27121 6348
+rect 27137 6344 27201 6408
+rect 27217 6344 27281 6408
+rect 27297 6344 27361 6408
+rect 29482 6404 29546 6408
+rect 29482 6348 29512 6404
+rect 29512 6348 29546 6404
+rect 29482 6344 29546 6348
+rect 29562 6344 29626 6408
+rect 29642 6344 29706 6408
+rect 29722 6344 29786 6408
+rect 29802 6344 29866 6408
+rect 29882 6344 29946 6408
+rect 29962 6344 30026 6408
+rect 32147 6344 32211 6408
+rect 32227 6344 32291 6408
+rect 32307 6404 32371 6408
+rect 32387 6404 32451 6408
+rect 32307 6348 32336 6404
+rect 32336 6348 32371 6404
+rect 32387 6348 32392 6404
+rect 32392 6348 32451 6404
+rect 32307 6344 32371 6348
+rect 32387 6344 32451 6348
+rect 32467 6344 32531 6408
+rect 32547 6344 32611 6408
+rect 32627 6344 32691 6408
+rect 34812 6344 34876 6408
+rect 34892 6344 34956 6408
+rect 34972 6344 35036 6408
+rect 35052 6344 35116 6408
+rect 35132 6344 35196 6408
+rect 35212 6344 35276 6408
+rect 35292 6404 35356 6408
+rect 35292 6348 35352 6404
+rect 35352 6348 35356 6404
+rect 35292 6344 35356 6348
+rect 37477 6344 37541 6408
+rect 37557 6344 37621 6408
+rect 37637 6344 37701 6408
+rect 37717 6404 37781 6408
+rect 37797 6404 37861 6408
+rect 37717 6348 37752 6404
+rect 37752 6348 37781 6404
+rect 37797 6348 37808 6404
+rect 37808 6348 37861 6404
+rect 37717 6344 37781 6348
+rect 37797 6344 37861 6348
+rect 37877 6344 37941 6408
+rect 37957 6344 38021 6408
+rect 2832 6012 2896 6016
+rect 2832 5956 2836 6012
+rect 2836 5956 2892 6012
+rect 2892 5956 2896 6012
+rect 2832 5952 2896 5956
+rect 2912 6012 2976 6016
+rect 2912 5956 2916 6012
+rect 2916 5956 2972 6012
+rect 2972 5956 2976 6012
+rect 2912 5952 2976 5956
+rect 2992 6012 3056 6016
+rect 2992 5956 2996 6012
+rect 2996 5956 3052 6012
+rect 3052 5956 3056 6012
+rect 2992 5952 3056 5956
+rect 3072 6012 3136 6016
+rect 3072 5956 3076 6012
+rect 3076 5956 3132 6012
+rect 3132 5956 3136 6012
+rect 3072 5952 3136 5956
+rect 3152 6012 3216 6016
+rect 3152 5956 3156 6012
+rect 3156 5956 3212 6012
+rect 3212 5956 3216 6012
+rect 3152 5952 3216 5956
+rect 3232 6012 3296 6016
+rect 3232 5956 3236 6012
+rect 3236 5956 3292 6012
+rect 3292 5956 3296 6012
+rect 3232 5952 3296 5956
+rect 3312 6012 3376 6016
+rect 3312 5956 3316 6012
+rect 3316 5956 3372 6012
+rect 3372 5956 3376 6012
+rect 3312 5952 3376 5956
+rect 5497 6012 5561 6016
+rect 5497 5956 5501 6012
+rect 5501 5956 5557 6012
+rect 5557 5956 5561 6012
+rect 5497 5952 5561 5956
+rect 5577 6012 5641 6016
+rect 5577 5956 5581 6012
+rect 5581 5956 5637 6012
+rect 5637 5956 5641 6012
+rect 5577 5952 5641 5956
+rect 5657 6012 5721 6016
+rect 5657 5956 5661 6012
+rect 5661 5956 5717 6012
+rect 5717 5956 5721 6012
+rect 5657 5952 5721 5956
+rect 5737 6012 5801 6016
+rect 5737 5956 5741 6012
+rect 5741 5956 5797 6012
+rect 5797 5956 5801 6012
+rect 5737 5952 5801 5956
+rect 5817 6012 5881 6016
+rect 5817 5956 5821 6012
+rect 5821 5956 5877 6012
+rect 5877 5956 5881 6012
+rect 5817 5952 5881 5956
+rect 5897 6012 5961 6016
+rect 5897 5956 5901 6012
+rect 5901 5956 5957 6012
+rect 5957 5956 5961 6012
+rect 5897 5952 5961 5956
+rect 5977 6012 6041 6016
+rect 5977 5956 5981 6012
+rect 5981 5956 6037 6012
+rect 6037 5956 6041 6012
+rect 5977 5952 6041 5956
+rect 8162 6012 8226 6016
+rect 8162 5956 8166 6012
+rect 8166 5956 8222 6012
+rect 8222 5956 8226 6012
+rect 8162 5952 8226 5956
+rect 8242 6012 8306 6016
+rect 8242 5956 8246 6012
+rect 8246 5956 8302 6012
+rect 8302 5956 8306 6012
+rect 8242 5952 8306 5956
+rect 8322 6012 8386 6016
+rect 8322 5956 8326 6012
+rect 8326 5956 8382 6012
+rect 8382 5956 8386 6012
+rect 8322 5952 8386 5956
+rect 8402 6012 8466 6016
+rect 8402 5956 8406 6012
+rect 8406 5956 8462 6012
+rect 8462 5956 8466 6012
+rect 8402 5952 8466 5956
+rect 8482 6012 8546 6016
+rect 8482 5956 8486 6012
+rect 8486 5956 8542 6012
+rect 8542 5956 8546 6012
+rect 8482 5952 8546 5956
+rect 8562 6012 8626 6016
+rect 8562 5956 8566 6012
+rect 8566 5956 8622 6012
+rect 8622 5956 8626 6012
+rect 8562 5952 8626 5956
+rect 8642 6012 8706 6016
+rect 8642 5956 8646 6012
+rect 8646 5956 8702 6012
+rect 8702 5956 8706 6012
+rect 8642 5952 8706 5956
+rect 10827 6012 10891 6016
+rect 10827 5956 10831 6012
+rect 10831 5956 10887 6012
+rect 10887 5956 10891 6012
+rect 10827 5952 10891 5956
+rect 10907 6012 10971 6016
+rect 10907 5956 10911 6012
+rect 10911 5956 10967 6012
+rect 10967 5956 10971 6012
+rect 10907 5952 10971 5956
+rect 10987 6012 11051 6016
+rect 10987 5956 10991 6012
+rect 10991 5956 11047 6012
+rect 11047 5956 11051 6012
+rect 10987 5952 11051 5956
+rect 11067 6012 11131 6016
+rect 11067 5956 11071 6012
+rect 11071 5956 11127 6012
+rect 11127 5956 11131 6012
+rect 11067 5952 11131 5956
+rect 11147 6012 11211 6016
+rect 11147 5956 11151 6012
+rect 11151 5956 11207 6012
+rect 11207 5956 11211 6012
+rect 11147 5952 11211 5956
+rect 11227 6012 11291 6016
+rect 11227 5956 11231 6012
+rect 11231 5956 11287 6012
+rect 11287 5956 11291 6012
+rect 11227 5952 11291 5956
+rect 11307 6012 11371 6016
+rect 11307 5956 11311 6012
+rect 11311 5956 11367 6012
+rect 11367 5956 11371 6012
+rect 11307 5952 11371 5956
+rect 13492 6012 13556 6016
+rect 13492 5956 13496 6012
+rect 13496 5956 13552 6012
+rect 13552 5956 13556 6012
+rect 13492 5952 13556 5956
+rect 13572 6012 13636 6016
+rect 13572 5956 13576 6012
+rect 13576 5956 13632 6012
+rect 13632 5956 13636 6012
+rect 13572 5952 13636 5956
+rect 13652 6012 13716 6016
+rect 13652 5956 13656 6012
+rect 13656 5956 13712 6012
+rect 13712 5956 13716 6012
+rect 13652 5952 13716 5956
+rect 13732 6012 13796 6016
+rect 13732 5956 13736 6012
+rect 13736 5956 13792 6012
+rect 13792 5956 13796 6012
+rect 13732 5952 13796 5956
+rect 13812 6012 13876 6016
+rect 13812 5956 13816 6012
+rect 13816 5956 13872 6012
+rect 13872 5956 13876 6012
+rect 13812 5952 13876 5956
+rect 13892 6012 13956 6016
+rect 13892 5956 13896 6012
+rect 13896 5956 13952 6012
+rect 13952 5956 13956 6012
+rect 13892 5952 13956 5956
+rect 13972 6012 14036 6016
+rect 13972 5956 13976 6012
+rect 13976 5956 14032 6012
+rect 14032 5956 14036 6012
+rect 13972 5952 14036 5956
+rect 16157 6012 16221 6016
+rect 16157 5956 16161 6012
+rect 16161 5956 16217 6012
+rect 16217 5956 16221 6012
+rect 16157 5952 16221 5956
+rect 16237 6012 16301 6016
+rect 16237 5956 16241 6012
+rect 16241 5956 16297 6012
+rect 16297 5956 16301 6012
+rect 16237 5952 16301 5956
+rect 16317 6012 16381 6016
+rect 16317 5956 16321 6012
+rect 16321 5956 16377 6012
+rect 16377 5956 16381 6012
+rect 16317 5952 16381 5956
+rect 16397 6012 16461 6016
+rect 16397 5956 16401 6012
+rect 16401 5956 16457 6012
+rect 16457 5956 16461 6012
+rect 16397 5952 16461 5956
+rect 16477 6012 16541 6016
+rect 16477 5956 16481 6012
+rect 16481 5956 16537 6012
+rect 16537 5956 16541 6012
+rect 16477 5952 16541 5956
+rect 16557 6012 16621 6016
+rect 16557 5956 16561 6012
+rect 16561 5956 16617 6012
+rect 16617 5956 16621 6012
+rect 16557 5952 16621 5956
+rect 16637 6012 16701 6016
+rect 16637 5956 16641 6012
+rect 16641 5956 16697 6012
+rect 16697 5956 16701 6012
+rect 16637 5952 16701 5956
+rect 18822 6012 18886 6016
+rect 18822 5956 18826 6012
+rect 18826 5956 18882 6012
+rect 18882 5956 18886 6012
+rect 18822 5952 18886 5956
+rect 18902 6012 18966 6016
+rect 18902 5956 18906 6012
+rect 18906 5956 18962 6012
+rect 18962 5956 18966 6012
+rect 18902 5952 18966 5956
+rect 18982 6012 19046 6016
+rect 18982 5956 18986 6012
+rect 18986 5956 19042 6012
+rect 19042 5956 19046 6012
+rect 18982 5952 19046 5956
+rect 19062 6012 19126 6016
+rect 19062 5956 19066 6012
+rect 19066 5956 19122 6012
+rect 19122 5956 19126 6012
+rect 19062 5952 19126 5956
+rect 19142 6012 19206 6016
+rect 19142 5956 19146 6012
+rect 19146 5956 19202 6012
+rect 19202 5956 19206 6012
+rect 19142 5952 19206 5956
+rect 19222 6012 19286 6016
+rect 19222 5956 19226 6012
+rect 19226 5956 19282 6012
+rect 19282 5956 19286 6012
+rect 19222 5952 19286 5956
+rect 19302 6012 19366 6016
+rect 19302 5956 19306 6012
+rect 19306 5956 19362 6012
+rect 19362 5956 19366 6012
+rect 19302 5952 19366 5956
+rect 21487 6012 21551 6016
+rect 21487 5956 21491 6012
+rect 21491 5956 21547 6012
+rect 21547 5956 21551 6012
+rect 21487 5952 21551 5956
+rect 21567 6012 21631 6016
+rect 21567 5956 21571 6012
+rect 21571 5956 21627 6012
+rect 21627 5956 21631 6012
+rect 21567 5952 21631 5956
+rect 21647 6012 21711 6016
+rect 21647 5956 21651 6012
+rect 21651 5956 21707 6012
+rect 21707 5956 21711 6012
+rect 21647 5952 21711 5956
+rect 21727 6012 21791 6016
+rect 21727 5956 21731 6012
+rect 21731 5956 21787 6012
+rect 21787 5956 21791 6012
+rect 21727 5952 21791 5956
+rect 21807 6012 21871 6016
+rect 21807 5956 21811 6012
+rect 21811 5956 21867 6012
+rect 21867 5956 21871 6012
+rect 21807 5952 21871 5956
+rect 21887 6012 21951 6016
+rect 21887 5956 21891 6012
+rect 21891 5956 21947 6012
+rect 21947 5956 21951 6012
+rect 21887 5952 21951 5956
+rect 21967 6012 22031 6016
+rect 21967 5956 21971 6012
+rect 21971 5956 22027 6012
+rect 22027 5956 22031 6012
+rect 21967 5952 22031 5956
+rect 24152 6012 24216 6016
+rect 24152 5956 24156 6012
+rect 24156 5956 24212 6012
+rect 24212 5956 24216 6012
+rect 24152 5952 24216 5956
+rect 24232 6012 24296 6016
+rect 24232 5956 24236 6012
+rect 24236 5956 24292 6012
+rect 24292 5956 24296 6012
+rect 24232 5952 24296 5956
+rect 24312 6012 24376 6016
+rect 24312 5956 24316 6012
+rect 24316 5956 24372 6012
+rect 24372 5956 24376 6012
+rect 24312 5952 24376 5956
+rect 24392 6012 24456 6016
+rect 24392 5956 24396 6012
+rect 24396 5956 24452 6012
+rect 24452 5956 24456 6012
+rect 24392 5952 24456 5956
+rect 24472 6012 24536 6016
+rect 24472 5956 24476 6012
+rect 24476 5956 24532 6012
+rect 24532 5956 24536 6012
+rect 24472 5952 24536 5956
+rect 24552 6012 24616 6016
+rect 24552 5956 24556 6012
+rect 24556 5956 24612 6012
+rect 24612 5956 24616 6012
+rect 24552 5952 24616 5956
+rect 24632 6012 24696 6016
+rect 24632 5956 24636 6012
+rect 24636 5956 24692 6012
+rect 24692 5956 24696 6012
+rect 24632 5952 24696 5956
+rect 28149 5994 28213 6058
+rect 28229 5994 28293 6058
+rect 28309 5994 28373 6058
+rect 28389 5994 28453 6058
+rect 28469 5994 28533 6058
+rect 28549 6054 28613 6058
+rect 28549 5998 28556 6054
+rect 28556 5998 28612 6054
+rect 28612 5998 28613 6054
+rect 28549 5994 28613 5998
+rect 28629 5994 28693 6058
+rect 30814 6054 30878 6058
+rect 30814 5998 30836 6054
+rect 30836 5998 30878 6054
+rect 30814 5994 30878 5998
+rect 30894 5994 30958 6058
+rect 30974 5994 31038 6058
+rect 31054 5994 31118 6058
+rect 31134 5994 31198 6058
+rect 31214 5994 31278 6058
+rect 31294 5994 31358 6058
+rect 33479 5994 33543 6058
+rect 33559 5994 33623 6058
+rect 33639 5994 33703 6058
+rect 33719 5994 33783 6058
+rect 33799 6054 33863 6058
+rect 33879 6054 33943 6058
+rect 33799 5998 33836 6054
+rect 33836 5998 33863 6054
+rect 33879 5998 33892 6054
+rect 33892 5998 33943 6054
+rect 33799 5994 33863 5998
+rect 33879 5994 33943 5998
+rect 33959 5994 34023 6058
+rect 36144 5994 36208 6058
+rect 36224 6054 36288 6058
+rect 36304 6054 36368 6058
+rect 36224 5998 36252 6054
+rect 36252 5998 36288 6054
+rect 36304 5998 36308 6054
+rect 36308 5998 36368 6054
+rect 36224 5994 36288 5998
+rect 36304 5994 36368 5998
+rect 36384 5994 36448 6058
+rect 36464 5994 36528 6058
+rect 36544 5994 36608 6058
+rect 36624 5994 36688 6058
+rect 26817 5644 26881 5708
+rect 26897 5644 26961 5708
+rect 26977 5644 27041 5708
+rect 27057 5704 27121 5708
+rect 27057 5648 27112 5704
+rect 27112 5648 27121 5704
+rect 27057 5644 27121 5648
+rect 27137 5644 27201 5708
+rect 27217 5644 27281 5708
+rect 27297 5644 27361 5708
+rect 29482 5704 29546 5708
+rect 29482 5648 29512 5704
+rect 29512 5648 29546 5704
+rect 29482 5644 29546 5648
+rect 29562 5644 29626 5708
+rect 29642 5644 29706 5708
+rect 29722 5644 29786 5708
+rect 29802 5644 29866 5708
+rect 29882 5644 29946 5708
+rect 29962 5644 30026 5708
+rect 32147 5644 32211 5708
+rect 32227 5644 32291 5708
+rect 32307 5704 32371 5708
+rect 32387 5704 32451 5708
+rect 32307 5648 32336 5704
+rect 32336 5648 32371 5704
+rect 32387 5648 32392 5704
+rect 32392 5648 32451 5704
+rect 32307 5644 32371 5648
+rect 32387 5644 32451 5648
+rect 32467 5644 32531 5708
+rect 32547 5644 32611 5708
+rect 32627 5644 32691 5708
+rect 34812 5644 34876 5708
+rect 34892 5644 34956 5708
+rect 34972 5644 35036 5708
+rect 35052 5644 35116 5708
+rect 35132 5644 35196 5708
+rect 35212 5644 35276 5708
+rect 35292 5704 35356 5708
+rect 35292 5648 35352 5704
+rect 35352 5648 35356 5704
+rect 35292 5644 35356 5648
+rect 37477 5644 37541 5708
+rect 37557 5644 37621 5708
+rect 37637 5644 37701 5708
+rect 37717 5704 37781 5708
+rect 37797 5704 37861 5708
+rect 37717 5648 37752 5704
+rect 37752 5648 37781 5704
+rect 37797 5648 37808 5704
+rect 37808 5648 37861 5704
+rect 37717 5644 37781 5648
+rect 37797 5644 37861 5648
+rect 37877 5644 37941 5708
+rect 37957 5644 38021 5708
+rect 4164 5468 4228 5472
+rect 4164 5412 4168 5468
+rect 4168 5412 4224 5468
+rect 4224 5412 4228 5468
+rect 4164 5408 4228 5412
+rect 4244 5468 4308 5472
+rect 4244 5412 4248 5468
+rect 4248 5412 4304 5468
+rect 4304 5412 4308 5468
+rect 4244 5408 4308 5412
+rect 4324 5468 4388 5472
+rect 4324 5412 4328 5468
+rect 4328 5412 4384 5468
+rect 4384 5412 4388 5468
+rect 4324 5408 4388 5412
+rect 4404 5468 4468 5472
+rect 4404 5412 4408 5468
+rect 4408 5412 4464 5468
+rect 4464 5412 4468 5468
+rect 4404 5408 4468 5412
+rect 4484 5468 4548 5472
+rect 4484 5412 4488 5468
+rect 4488 5412 4544 5468
+rect 4544 5412 4548 5468
+rect 4484 5408 4548 5412
+rect 4564 5468 4628 5472
+rect 4564 5412 4568 5468
+rect 4568 5412 4624 5468
+rect 4624 5412 4628 5468
+rect 4564 5408 4628 5412
+rect 4644 5468 4708 5472
+rect 4644 5412 4648 5468
+rect 4648 5412 4704 5468
+rect 4704 5412 4708 5468
+rect 4644 5408 4708 5412
+rect 6829 5468 6893 5472
+rect 6829 5412 6833 5468
+rect 6833 5412 6889 5468
+rect 6889 5412 6893 5468
+rect 6829 5408 6893 5412
+rect 6909 5468 6973 5472
+rect 6909 5412 6913 5468
+rect 6913 5412 6969 5468
+rect 6969 5412 6973 5468
+rect 6909 5408 6973 5412
+rect 6989 5468 7053 5472
+rect 6989 5412 6993 5468
+rect 6993 5412 7049 5468
+rect 7049 5412 7053 5468
+rect 6989 5408 7053 5412
+rect 7069 5468 7133 5472
+rect 7069 5412 7073 5468
+rect 7073 5412 7129 5468
+rect 7129 5412 7133 5468
+rect 7069 5408 7133 5412
+rect 7149 5468 7213 5472
+rect 7149 5412 7153 5468
+rect 7153 5412 7209 5468
+rect 7209 5412 7213 5468
+rect 7149 5408 7213 5412
+rect 7229 5468 7293 5472
+rect 7229 5412 7233 5468
+rect 7233 5412 7289 5468
+rect 7289 5412 7293 5468
+rect 7229 5408 7293 5412
+rect 7309 5468 7373 5472
+rect 7309 5412 7313 5468
+rect 7313 5412 7369 5468
+rect 7369 5412 7373 5468
+rect 7309 5408 7373 5412
+rect 9494 5468 9558 5472
+rect 9494 5412 9498 5468
+rect 9498 5412 9554 5468
+rect 9554 5412 9558 5468
+rect 9494 5408 9558 5412
+rect 9574 5468 9638 5472
+rect 9574 5412 9578 5468
+rect 9578 5412 9634 5468
+rect 9634 5412 9638 5468
+rect 9574 5408 9638 5412
+rect 9654 5468 9718 5472
+rect 9654 5412 9658 5468
+rect 9658 5412 9714 5468
+rect 9714 5412 9718 5468
+rect 9654 5408 9718 5412
+rect 9734 5468 9798 5472
+rect 9734 5412 9738 5468
+rect 9738 5412 9794 5468
+rect 9794 5412 9798 5468
+rect 9734 5408 9798 5412
+rect 9814 5468 9878 5472
+rect 9814 5412 9818 5468
+rect 9818 5412 9874 5468
+rect 9874 5412 9878 5468
+rect 9814 5408 9878 5412
+rect 9894 5468 9958 5472
+rect 9894 5412 9898 5468
+rect 9898 5412 9954 5468
+rect 9954 5412 9958 5468
+rect 9894 5408 9958 5412
+rect 9974 5468 10038 5472
+rect 9974 5412 9978 5468
+rect 9978 5412 10034 5468
+rect 10034 5412 10038 5468
+rect 9974 5408 10038 5412
+rect 12159 5468 12223 5472
+rect 12159 5412 12163 5468
+rect 12163 5412 12219 5468
+rect 12219 5412 12223 5468
+rect 12159 5408 12223 5412
+rect 12239 5468 12303 5472
+rect 12239 5412 12243 5468
+rect 12243 5412 12299 5468
+rect 12299 5412 12303 5468
+rect 12239 5408 12303 5412
+rect 12319 5468 12383 5472
+rect 12319 5412 12323 5468
+rect 12323 5412 12379 5468
+rect 12379 5412 12383 5468
+rect 12319 5408 12383 5412
+rect 12399 5468 12463 5472
+rect 12399 5412 12403 5468
+rect 12403 5412 12459 5468
+rect 12459 5412 12463 5468
+rect 12399 5408 12463 5412
+rect 12479 5468 12543 5472
+rect 12479 5412 12483 5468
+rect 12483 5412 12539 5468
+rect 12539 5412 12543 5468
+rect 12479 5408 12543 5412
+rect 12559 5468 12623 5472
+rect 12559 5412 12563 5468
+rect 12563 5412 12619 5468
+rect 12619 5412 12623 5468
+rect 12559 5408 12623 5412
+rect 12639 5468 12703 5472
+rect 12639 5412 12643 5468
+rect 12643 5412 12699 5468
+rect 12699 5412 12703 5468
+rect 12639 5408 12703 5412
+rect 14824 5468 14888 5472
+rect 14824 5412 14828 5468
+rect 14828 5412 14884 5468
+rect 14884 5412 14888 5468
+rect 14824 5408 14888 5412
+rect 14904 5468 14968 5472
+rect 14904 5412 14908 5468
+rect 14908 5412 14964 5468
+rect 14964 5412 14968 5468
+rect 14904 5408 14968 5412
+rect 14984 5468 15048 5472
+rect 14984 5412 14988 5468
+rect 14988 5412 15044 5468
+rect 15044 5412 15048 5468
+rect 14984 5408 15048 5412
+rect 15064 5468 15128 5472
+rect 15064 5412 15068 5468
+rect 15068 5412 15124 5468
+rect 15124 5412 15128 5468
+rect 15064 5408 15128 5412
+rect 15144 5468 15208 5472
+rect 15144 5412 15148 5468
+rect 15148 5412 15204 5468
+rect 15204 5412 15208 5468
+rect 15144 5408 15208 5412
+rect 15224 5468 15288 5472
+rect 15224 5412 15228 5468
+rect 15228 5412 15284 5468
+rect 15284 5412 15288 5468
+rect 15224 5408 15288 5412
+rect 15304 5468 15368 5472
+rect 15304 5412 15308 5468
+rect 15308 5412 15364 5468
+rect 15364 5412 15368 5468
+rect 15304 5408 15368 5412
+rect 17489 5468 17553 5472
+rect 17489 5412 17493 5468
+rect 17493 5412 17549 5468
+rect 17549 5412 17553 5468
+rect 17489 5408 17553 5412
+rect 17569 5468 17633 5472
+rect 17569 5412 17573 5468
+rect 17573 5412 17629 5468
+rect 17629 5412 17633 5468
+rect 17569 5408 17633 5412
+rect 17649 5468 17713 5472
+rect 17649 5412 17653 5468
+rect 17653 5412 17709 5468
+rect 17709 5412 17713 5468
+rect 17649 5408 17713 5412
+rect 17729 5468 17793 5472
+rect 17729 5412 17733 5468
+rect 17733 5412 17789 5468
+rect 17789 5412 17793 5468
+rect 17729 5408 17793 5412
+rect 17809 5468 17873 5472
+rect 17809 5412 17813 5468
+rect 17813 5412 17869 5468
+rect 17869 5412 17873 5468
+rect 17809 5408 17873 5412
+rect 17889 5468 17953 5472
+rect 17889 5412 17893 5468
+rect 17893 5412 17949 5468
+rect 17949 5412 17953 5468
+rect 17889 5408 17953 5412
+rect 17969 5468 18033 5472
+rect 17969 5412 17973 5468
+rect 17973 5412 18029 5468
+rect 18029 5412 18033 5468
+rect 17969 5408 18033 5412
+rect 20154 5468 20218 5472
+rect 20154 5412 20158 5468
+rect 20158 5412 20214 5468
+rect 20214 5412 20218 5468
+rect 20154 5408 20218 5412
+rect 20234 5468 20298 5472
+rect 20234 5412 20238 5468
+rect 20238 5412 20294 5468
+rect 20294 5412 20298 5468
+rect 20234 5408 20298 5412
+rect 20314 5468 20378 5472
+rect 20314 5412 20318 5468
+rect 20318 5412 20374 5468
+rect 20374 5412 20378 5468
+rect 20314 5408 20378 5412
+rect 20394 5468 20458 5472
+rect 20394 5412 20398 5468
+rect 20398 5412 20454 5468
+rect 20454 5412 20458 5468
+rect 20394 5408 20458 5412
+rect 20474 5468 20538 5472
+rect 20474 5412 20478 5468
+rect 20478 5412 20534 5468
+rect 20534 5412 20538 5468
+rect 20474 5408 20538 5412
+rect 20554 5468 20618 5472
+rect 20554 5412 20558 5468
+rect 20558 5412 20614 5468
+rect 20614 5412 20618 5468
+rect 20554 5408 20618 5412
+rect 20634 5468 20698 5472
+rect 20634 5412 20638 5468
+rect 20638 5412 20694 5468
+rect 20694 5412 20698 5468
+rect 20634 5408 20698 5412
+rect 22819 5468 22883 5472
+rect 22819 5412 22823 5468
+rect 22823 5412 22879 5468
+rect 22879 5412 22883 5468
+rect 22819 5408 22883 5412
+rect 22899 5468 22963 5472
+rect 22899 5412 22903 5468
+rect 22903 5412 22959 5468
+rect 22959 5412 22963 5468
+rect 22899 5408 22963 5412
+rect 22979 5468 23043 5472
+rect 22979 5412 22983 5468
+rect 22983 5412 23039 5468
+rect 23039 5412 23043 5468
+rect 22979 5408 23043 5412
+rect 23059 5468 23123 5472
+rect 23059 5412 23063 5468
+rect 23063 5412 23119 5468
+rect 23119 5412 23123 5468
+rect 23059 5408 23123 5412
+rect 23139 5468 23203 5472
+rect 23139 5412 23143 5468
+rect 23143 5412 23199 5468
+rect 23199 5412 23203 5468
+rect 23139 5408 23203 5412
+rect 23219 5468 23283 5472
+rect 23219 5412 23223 5468
+rect 23223 5412 23279 5468
+rect 23279 5412 23283 5468
+rect 23219 5408 23283 5412
+rect 23299 5468 23363 5472
+rect 23299 5412 23303 5468
+rect 23303 5412 23359 5468
+rect 23359 5412 23363 5468
+rect 23299 5408 23363 5412
+rect 25484 5468 25548 5472
+rect 25484 5412 25488 5468
+rect 25488 5412 25544 5468
+rect 25544 5412 25548 5468
+rect 25484 5408 25548 5412
+rect 25564 5468 25628 5472
+rect 25564 5412 25568 5468
+rect 25568 5412 25624 5468
+rect 25624 5412 25628 5468
+rect 25564 5408 25628 5412
+rect 25644 5468 25708 5472
+rect 25644 5412 25648 5468
+rect 25648 5412 25704 5468
+rect 25704 5412 25708 5468
+rect 25644 5408 25708 5412
+rect 25724 5468 25788 5472
+rect 25724 5412 25728 5468
+rect 25728 5412 25784 5468
+rect 25784 5412 25788 5468
+rect 25724 5408 25788 5412
+rect 25804 5468 25868 5472
+rect 25804 5412 25808 5468
+rect 25808 5412 25864 5468
+rect 25864 5412 25868 5468
+rect 25804 5408 25868 5412
+rect 25884 5468 25948 5472
+rect 25884 5412 25888 5468
+rect 25888 5412 25944 5468
+rect 25944 5412 25948 5468
+rect 25884 5408 25948 5412
+rect 25964 5468 26028 5472
+rect 25964 5412 25968 5468
+rect 25968 5412 26024 5468
+rect 26024 5412 26028 5468
+rect 25964 5408 26028 5412
+rect 2832 4924 2896 4928
+rect 2832 4868 2836 4924
+rect 2836 4868 2892 4924
+rect 2892 4868 2896 4924
+rect 2832 4864 2896 4868
+rect 2912 4924 2976 4928
+rect 2912 4868 2916 4924
+rect 2916 4868 2972 4924
+rect 2972 4868 2976 4924
+rect 2912 4864 2976 4868
+rect 2992 4924 3056 4928
+rect 2992 4868 2996 4924
+rect 2996 4868 3052 4924
+rect 3052 4868 3056 4924
+rect 2992 4864 3056 4868
+rect 3072 4924 3136 4928
+rect 3072 4868 3076 4924
+rect 3076 4868 3132 4924
+rect 3132 4868 3136 4924
+rect 3072 4864 3136 4868
+rect 3152 4924 3216 4928
+rect 3152 4868 3156 4924
+rect 3156 4868 3212 4924
+rect 3212 4868 3216 4924
+rect 3152 4864 3216 4868
+rect 3232 4924 3296 4928
+rect 3232 4868 3236 4924
+rect 3236 4868 3292 4924
+rect 3292 4868 3296 4924
+rect 3232 4864 3296 4868
+rect 3312 4924 3376 4928
+rect 3312 4868 3316 4924
+rect 3316 4868 3372 4924
+rect 3372 4868 3376 4924
+rect 3312 4864 3376 4868
+rect 5497 4924 5561 4928
+rect 5497 4868 5501 4924
+rect 5501 4868 5557 4924
+rect 5557 4868 5561 4924
+rect 5497 4864 5561 4868
+rect 5577 4924 5641 4928
+rect 5577 4868 5581 4924
+rect 5581 4868 5637 4924
+rect 5637 4868 5641 4924
+rect 5577 4864 5641 4868
+rect 5657 4924 5721 4928
+rect 5657 4868 5661 4924
+rect 5661 4868 5717 4924
+rect 5717 4868 5721 4924
+rect 5657 4864 5721 4868
+rect 5737 4924 5801 4928
+rect 5737 4868 5741 4924
+rect 5741 4868 5797 4924
+rect 5797 4868 5801 4924
+rect 5737 4864 5801 4868
+rect 5817 4924 5881 4928
+rect 5817 4868 5821 4924
+rect 5821 4868 5877 4924
+rect 5877 4868 5881 4924
+rect 5817 4864 5881 4868
+rect 5897 4924 5961 4928
+rect 5897 4868 5901 4924
+rect 5901 4868 5957 4924
+rect 5957 4868 5961 4924
+rect 5897 4864 5961 4868
+rect 5977 4924 6041 4928
+rect 5977 4868 5981 4924
+rect 5981 4868 6037 4924
+rect 6037 4868 6041 4924
+rect 5977 4864 6041 4868
+rect 8162 4924 8226 4928
+rect 8162 4868 8166 4924
+rect 8166 4868 8222 4924
+rect 8222 4868 8226 4924
+rect 8162 4864 8226 4868
+rect 8242 4924 8306 4928
+rect 8242 4868 8246 4924
+rect 8246 4868 8302 4924
+rect 8302 4868 8306 4924
+rect 8242 4864 8306 4868
+rect 8322 4924 8386 4928
+rect 8322 4868 8326 4924
+rect 8326 4868 8382 4924
+rect 8382 4868 8386 4924
+rect 8322 4864 8386 4868
+rect 8402 4924 8466 4928
+rect 8402 4868 8406 4924
+rect 8406 4868 8462 4924
+rect 8462 4868 8466 4924
+rect 8402 4864 8466 4868
+rect 8482 4924 8546 4928
+rect 8482 4868 8486 4924
+rect 8486 4868 8542 4924
+rect 8542 4868 8546 4924
+rect 8482 4864 8546 4868
+rect 8562 4924 8626 4928
+rect 8562 4868 8566 4924
+rect 8566 4868 8622 4924
+rect 8622 4868 8626 4924
+rect 8562 4864 8626 4868
+rect 8642 4924 8706 4928
+rect 8642 4868 8646 4924
+rect 8646 4868 8702 4924
+rect 8702 4868 8706 4924
+rect 8642 4864 8706 4868
+rect 10827 4924 10891 4928
+rect 10827 4868 10831 4924
+rect 10831 4868 10887 4924
+rect 10887 4868 10891 4924
+rect 10827 4864 10891 4868
+rect 10907 4924 10971 4928
+rect 10907 4868 10911 4924
+rect 10911 4868 10967 4924
+rect 10967 4868 10971 4924
+rect 10907 4864 10971 4868
+rect 10987 4924 11051 4928
+rect 10987 4868 10991 4924
+rect 10991 4868 11047 4924
+rect 11047 4868 11051 4924
+rect 10987 4864 11051 4868
+rect 11067 4924 11131 4928
+rect 11067 4868 11071 4924
+rect 11071 4868 11127 4924
+rect 11127 4868 11131 4924
+rect 11067 4864 11131 4868
+rect 11147 4924 11211 4928
+rect 11147 4868 11151 4924
+rect 11151 4868 11207 4924
+rect 11207 4868 11211 4924
+rect 11147 4864 11211 4868
+rect 11227 4924 11291 4928
+rect 11227 4868 11231 4924
+rect 11231 4868 11287 4924
+rect 11287 4868 11291 4924
+rect 11227 4864 11291 4868
+rect 11307 4924 11371 4928
+rect 11307 4868 11311 4924
+rect 11311 4868 11367 4924
+rect 11367 4868 11371 4924
+rect 11307 4864 11371 4868
+rect 13492 4924 13556 4928
+rect 13492 4868 13496 4924
+rect 13496 4868 13552 4924
+rect 13552 4868 13556 4924
+rect 13492 4864 13556 4868
+rect 13572 4924 13636 4928
+rect 13572 4868 13576 4924
+rect 13576 4868 13632 4924
+rect 13632 4868 13636 4924
+rect 13572 4864 13636 4868
+rect 13652 4924 13716 4928
+rect 13652 4868 13656 4924
+rect 13656 4868 13712 4924
+rect 13712 4868 13716 4924
+rect 13652 4864 13716 4868
+rect 13732 4924 13796 4928
+rect 13732 4868 13736 4924
+rect 13736 4868 13792 4924
+rect 13792 4868 13796 4924
+rect 13732 4864 13796 4868
+rect 13812 4924 13876 4928
+rect 13812 4868 13816 4924
+rect 13816 4868 13872 4924
+rect 13872 4868 13876 4924
+rect 13812 4864 13876 4868
+rect 13892 4924 13956 4928
+rect 13892 4868 13896 4924
+rect 13896 4868 13952 4924
+rect 13952 4868 13956 4924
+rect 13892 4864 13956 4868
+rect 13972 4924 14036 4928
+rect 13972 4868 13976 4924
+rect 13976 4868 14032 4924
+rect 14032 4868 14036 4924
+rect 13972 4864 14036 4868
+rect 16157 4924 16221 4928
+rect 16157 4868 16161 4924
+rect 16161 4868 16217 4924
+rect 16217 4868 16221 4924
+rect 16157 4864 16221 4868
+rect 16237 4924 16301 4928
+rect 16237 4868 16241 4924
+rect 16241 4868 16297 4924
+rect 16297 4868 16301 4924
+rect 16237 4864 16301 4868
+rect 16317 4924 16381 4928
+rect 16317 4868 16321 4924
+rect 16321 4868 16377 4924
+rect 16377 4868 16381 4924
+rect 16317 4864 16381 4868
+rect 16397 4924 16461 4928
+rect 16397 4868 16401 4924
+rect 16401 4868 16457 4924
+rect 16457 4868 16461 4924
+rect 16397 4864 16461 4868
+rect 16477 4924 16541 4928
+rect 16477 4868 16481 4924
+rect 16481 4868 16537 4924
+rect 16537 4868 16541 4924
+rect 16477 4864 16541 4868
+rect 16557 4924 16621 4928
+rect 16557 4868 16561 4924
+rect 16561 4868 16617 4924
+rect 16617 4868 16621 4924
+rect 16557 4864 16621 4868
+rect 16637 4924 16701 4928
+rect 16637 4868 16641 4924
+rect 16641 4868 16697 4924
+rect 16697 4868 16701 4924
+rect 16637 4864 16701 4868
+rect 18822 4712 18886 4776
+rect 18902 4712 18966 4776
+rect 18982 4712 19046 4776
+rect 19062 4712 19126 4776
+rect 19142 4712 19206 4776
+rect 19222 4772 19286 4776
+rect 19222 4716 19224 4772
+rect 19224 4716 19280 4772
+rect 19280 4716 19286 4772
+rect 19222 4712 19286 4716
+rect 19302 4712 19366 4776
+rect 24152 4712 24216 4776
+rect 24232 4712 24296 4776
+rect 24312 4712 24376 4776
+rect 24392 4712 24456 4776
+rect 24472 4712 24536 4776
+rect 24552 4712 24616 4776
+rect 24632 4772 24696 4776
+rect 24632 4716 24640 4772
+rect 24640 4716 24696 4772
+rect 24632 4712 24696 4716
+rect 26817 4712 26881 4776
+rect 26897 4712 26961 4776
+rect 26977 4712 27041 4776
+rect 27057 4772 27121 4776
+rect 27057 4716 27112 4772
+rect 27112 4716 27121 4772
+rect 27057 4712 27121 4716
+rect 27137 4712 27201 4776
+rect 27217 4712 27281 4776
+rect 27297 4712 27361 4776
+rect 29482 4772 29546 4776
+rect 29482 4716 29512 4772
+rect 29512 4716 29546 4772
+rect 29482 4712 29546 4716
+rect 29562 4712 29626 4776
+rect 29642 4712 29706 4776
+rect 29722 4712 29786 4776
+rect 29802 4712 29866 4776
+rect 29882 4712 29946 4776
+rect 29962 4712 30026 4776
+rect 32147 4712 32211 4776
+rect 32227 4712 32291 4776
+rect 32307 4772 32371 4776
+rect 32387 4772 32451 4776
+rect 32307 4716 32336 4772
+rect 32336 4716 32371 4772
+rect 32387 4716 32392 4772
+rect 32392 4716 32451 4772
+rect 32307 4712 32371 4716
+rect 32387 4712 32451 4716
+rect 32467 4712 32531 4776
+rect 32547 4712 32611 4776
+rect 32627 4712 32691 4776
+rect 34812 4712 34876 4776
+rect 34892 4712 34956 4776
+rect 34972 4712 35036 4776
+rect 35052 4712 35116 4776
+rect 35132 4712 35196 4776
+rect 35212 4712 35276 4776
+rect 35292 4772 35356 4776
+rect 35292 4716 35352 4772
+rect 35352 4716 35356 4772
+rect 35292 4712 35356 4716
+rect 37477 4712 37541 4776
+rect 37557 4712 37621 4776
+rect 37637 4712 37701 4776
+rect 37717 4772 37781 4776
+rect 37797 4772 37861 4776
+rect 37717 4716 37752 4772
+rect 37752 4716 37781 4772
+rect 37797 4716 37808 4772
+rect 37808 4716 37861 4772
+rect 37717 4712 37781 4716
+rect 37797 4712 37861 4716
+rect 37877 4712 37941 4776
+rect 37957 4712 38021 4776
+rect 20154 4422 20218 4426
+rect 4164 4380 4228 4384
+rect 4164 4324 4168 4380
+rect 4168 4324 4224 4380
+rect 4224 4324 4228 4380
+rect 4164 4320 4228 4324
+rect 4244 4380 4308 4384
+rect 4244 4324 4248 4380
+rect 4248 4324 4304 4380
+rect 4304 4324 4308 4380
+rect 4244 4320 4308 4324
+rect 4324 4380 4388 4384
+rect 4324 4324 4328 4380
+rect 4328 4324 4384 4380
+rect 4384 4324 4388 4380
+rect 4324 4320 4388 4324
+rect 4404 4380 4468 4384
+rect 4404 4324 4408 4380
+rect 4408 4324 4464 4380
+rect 4464 4324 4468 4380
+rect 4404 4320 4468 4324
+rect 4484 4380 4548 4384
+rect 4484 4324 4488 4380
+rect 4488 4324 4544 4380
+rect 4544 4324 4548 4380
+rect 4484 4320 4548 4324
+rect 4564 4380 4628 4384
+rect 4564 4324 4568 4380
+rect 4568 4324 4624 4380
+rect 4624 4324 4628 4380
+rect 4564 4320 4628 4324
+rect 4644 4380 4708 4384
+rect 4644 4324 4648 4380
+rect 4648 4324 4704 4380
+rect 4704 4324 4708 4380
+rect 4644 4320 4708 4324
+rect 6829 4380 6893 4384
+rect 6829 4324 6833 4380
+rect 6833 4324 6889 4380
+rect 6889 4324 6893 4380
+rect 6829 4320 6893 4324
+rect 6909 4380 6973 4384
+rect 6909 4324 6913 4380
+rect 6913 4324 6969 4380
+rect 6969 4324 6973 4380
+rect 6909 4320 6973 4324
+rect 6989 4380 7053 4384
+rect 6989 4324 6993 4380
+rect 6993 4324 7049 4380
+rect 7049 4324 7053 4380
+rect 6989 4320 7053 4324
+rect 7069 4380 7133 4384
+rect 7069 4324 7073 4380
+rect 7073 4324 7129 4380
+rect 7129 4324 7133 4380
+rect 7069 4320 7133 4324
+rect 7149 4380 7213 4384
+rect 7149 4324 7153 4380
+rect 7153 4324 7209 4380
+rect 7209 4324 7213 4380
+rect 7149 4320 7213 4324
+rect 7229 4380 7293 4384
+rect 7229 4324 7233 4380
+rect 7233 4324 7289 4380
+rect 7289 4324 7293 4380
+rect 7229 4320 7293 4324
+rect 7309 4380 7373 4384
+rect 7309 4324 7313 4380
+rect 7313 4324 7369 4380
+rect 7369 4324 7373 4380
+rect 7309 4320 7373 4324
+rect 9494 4380 9558 4384
+rect 9494 4324 9498 4380
+rect 9498 4324 9554 4380
+rect 9554 4324 9558 4380
+rect 9494 4320 9558 4324
+rect 9574 4380 9638 4384
+rect 9574 4324 9578 4380
+rect 9578 4324 9634 4380
+rect 9634 4324 9638 4380
+rect 9574 4320 9638 4324
+rect 9654 4380 9718 4384
+rect 9654 4324 9658 4380
+rect 9658 4324 9714 4380
+rect 9714 4324 9718 4380
+rect 9654 4320 9718 4324
+rect 9734 4380 9798 4384
+rect 9734 4324 9738 4380
+rect 9738 4324 9794 4380
+rect 9794 4324 9798 4380
+rect 9734 4320 9798 4324
+rect 9814 4380 9878 4384
+rect 9814 4324 9818 4380
+rect 9818 4324 9874 4380
+rect 9874 4324 9878 4380
+rect 9814 4320 9878 4324
+rect 9894 4380 9958 4384
+rect 9894 4324 9898 4380
+rect 9898 4324 9954 4380
+rect 9954 4324 9958 4380
+rect 9894 4320 9958 4324
+rect 9974 4380 10038 4384
+rect 9974 4324 9978 4380
+rect 9978 4324 10034 4380
+rect 10034 4324 10038 4380
+rect 9974 4320 10038 4324
+rect 12159 4380 12223 4384
+rect 12159 4324 12163 4380
+rect 12163 4324 12219 4380
+rect 12219 4324 12223 4380
+rect 12159 4320 12223 4324
+rect 12239 4380 12303 4384
+rect 12239 4324 12243 4380
+rect 12243 4324 12299 4380
+rect 12299 4324 12303 4380
+rect 12239 4320 12303 4324
+rect 12319 4380 12383 4384
+rect 12319 4324 12323 4380
+rect 12323 4324 12379 4380
+rect 12379 4324 12383 4380
+rect 12319 4320 12383 4324
+rect 12399 4380 12463 4384
+rect 12399 4324 12403 4380
+rect 12403 4324 12459 4380
+rect 12459 4324 12463 4380
+rect 12399 4320 12463 4324
+rect 12479 4380 12543 4384
+rect 12479 4324 12483 4380
+rect 12483 4324 12539 4380
+rect 12539 4324 12543 4380
+rect 12479 4320 12543 4324
+rect 12559 4380 12623 4384
+rect 12559 4324 12563 4380
+rect 12563 4324 12619 4380
+rect 12619 4324 12623 4380
+rect 12559 4320 12623 4324
+rect 12639 4380 12703 4384
+rect 12639 4324 12643 4380
+rect 12643 4324 12699 4380
+rect 12699 4324 12703 4380
+rect 12639 4320 12703 4324
+rect 14824 4380 14888 4384
+rect 14824 4324 14828 4380
+rect 14828 4324 14884 4380
+rect 14884 4324 14888 4380
+rect 14824 4320 14888 4324
+rect 14904 4380 14968 4384
+rect 14904 4324 14908 4380
+rect 14908 4324 14964 4380
+rect 14964 4324 14968 4380
+rect 14904 4320 14968 4324
+rect 14984 4380 15048 4384
+rect 14984 4324 14988 4380
+rect 14988 4324 15044 4380
+rect 15044 4324 15048 4380
+rect 14984 4320 15048 4324
+rect 15064 4380 15128 4384
+rect 15064 4324 15068 4380
+rect 15068 4324 15124 4380
+rect 15124 4324 15128 4380
+rect 15064 4320 15128 4324
+rect 15144 4380 15208 4384
+rect 15144 4324 15148 4380
+rect 15148 4324 15204 4380
+rect 15204 4324 15208 4380
+rect 15144 4320 15208 4324
+rect 15224 4380 15288 4384
+rect 15224 4324 15228 4380
+rect 15228 4324 15284 4380
+rect 15284 4324 15288 4380
+rect 15224 4320 15288 4324
+rect 15304 4380 15368 4384
+rect 15304 4324 15308 4380
+rect 15308 4324 15364 4380
+rect 15364 4324 15368 4380
+rect 15304 4320 15368 4324
+rect 20154 4366 20180 4422
+rect 20180 4366 20218 4422
+rect 20154 4362 20218 4366
+rect 20234 4362 20298 4426
+rect 20314 4362 20378 4426
+rect 20394 4362 20458 4426
+rect 20474 4362 20538 4426
+rect 20554 4362 20618 4426
+rect 20634 4362 20698 4426
+rect 22819 4362 22883 4426
+rect 22899 4362 22963 4426
+rect 22979 4362 23043 4426
+rect 23059 4362 23123 4426
+rect 23139 4422 23203 4426
+rect 23139 4366 23140 4422
+rect 23140 4366 23196 4422
+rect 23196 4366 23203 4422
+rect 23139 4362 23203 4366
+rect 23219 4362 23283 4426
+rect 23299 4362 23363 4426
+rect 25484 4422 25548 4426
+rect 25564 4422 25628 4426
+rect 25484 4366 25540 4422
+rect 25540 4366 25548 4422
+rect 25564 4366 25596 4422
+rect 25596 4366 25628 4422
+rect 25484 4362 25548 4366
+rect 25564 4362 25628 4366
+rect 25644 4362 25708 4426
+rect 25724 4362 25788 4426
+rect 25804 4362 25868 4426
+rect 25884 4362 25948 4426
+rect 25964 4362 26028 4426
+rect 28149 4362 28213 4426
+rect 28229 4362 28293 4426
+rect 28309 4362 28373 4426
+rect 28389 4362 28453 4426
+rect 28469 4362 28533 4426
+rect 28549 4422 28613 4426
+rect 28549 4366 28556 4422
+rect 28556 4366 28612 4422
+rect 28612 4366 28613 4422
+rect 28549 4362 28613 4366
+rect 28629 4362 28693 4426
+rect 30814 4422 30878 4426
+rect 30814 4366 30836 4422
+rect 30836 4366 30878 4422
+rect 30814 4362 30878 4366
+rect 30894 4362 30958 4426
+rect 30974 4362 31038 4426
+rect 31054 4362 31118 4426
+rect 31134 4362 31198 4426
+rect 31214 4362 31278 4426
+rect 31294 4362 31358 4426
+rect 33479 4362 33543 4426
+rect 33559 4362 33623 4426
+rect 33639 4362 33703 4426
+rect 33719 4362 33783 4426
+rect 33799 4422 33863 4426
+rect 33879 4422 33943 4426
+rect 33799 4366 33836 4422
+rect 33836 4366 33863 4422
+rect 33879 4366 33892 4422
+rect 33892 4366 33943 4422
+rect 33799 4362 33863 4366
+rect 33879 4362 33943 4366
+rect 33959 4362 34023 4426
+rect 36144 4362 36208 4426
+rect 36224 4422 36288 4426
+rect 36304 4422 36368 4426
+rect 36224 4366 36252 4422
+rect 36252 4366 36288 4422
+rect 36304 4366 36308 4422
+rect 36308 4366 36368 4422
+rect 36224 4362 36288 4366
+rect 36304 4362 36368 4366
+rect 36384 4362 36448 4426
+rect 36464 4362 36528 4426
+rect 36544 4362 36608 4426
+rect 36624 4362 36688 4426
+rect 18822 4012 18886 4076
+rect 18902 4012 18966 4076
+rect 18982 4012 19046 4076
+rect 19062 4012 19126 4076
+rect 19142 4012 19206 4076
+rect 19222 4072 19286 4076
+rect 19222 4016 19224 4072
+rect 19224 4016 19280 4072
+rect 19280 4016 19286 4072
+rect 19222 4012 19286 4016
+rect 19302 4012 19366 4076
+rect 24152 4012 24216 4076
+rect 24232 4012 24296 4076
+rect 24312 4012 24376 4076
+rect 24392 4012 24456 4076
+rect 24472 4012 24536 4076
+rect 24552 4012 24616 4076
+rect 24632 4072 24696 4076
+rect 24632 4016 24640 4072
+rect 24640 4016 24696 4072
+rect 24632 4012 24696 4016
+rect 26817 4012 26881 4076
+rect 26897 4012 26961 4076
+rect 26977 4012 27041 4076
+rect 27057 4072 27121 4076
+rect 27057 4016 27112 4072
+rect 27112 4016 27121 4072
+rect 27057 4012 27121 4016
+rect 27137 4012 27201 4076
+rect 27217 4012 27281 4076
+rect 27297 4012 27361 4076
+rect 29482 4072 29546 4076
+rect 29482 4016 29512 4072
+rect 29512 4016 29546 4072
+rect 29482 4012 29546 4016
+rect 29562 4012 29626 4076
+rect 29642 4012 29706 4076
+rect 29722 4012 29786 4076
+rect 29802 4012 29866 4076
+rect 29882 4012 29946 4076
+rect 29962 4012 30026 4076
+rect 32147 4012 32211 4076
+rect 32227 4012 32291 4076
+rect 32307 4072 32371 4076
+rect 32387 4072 32451 4076
+rect 32307 4016 32336 4072
+rect 32336 4016 32371 4072
+rect 32387 4016 32392 4072
+rect 32392 4016 32451 4072
+rect 32307 4012 32371 4016
+rect 32387 4012 32451 4016
+rect 32467 4012 32531 4076
+rect 32547 4012 32611 4076
+rect 32627 4012 32691 4076
+rect 34812 4012 34876 4076
+rect 34892 4012 34956 4076
+rect 34972 4012 35036 4076
+rect 35052 4012 35116 4076
+rect 35132 4012 35196 4076
+rect 35212 4012 35276 4076
+rect 35292 4072 35356 4076
+rect 35292 4016 35352 4072
+rect 35352 4016 35356 4072
+rect 35292 4012 35356 4016
+rect 37477 4012 37541 4076
+rect 37557 4012 37621 4076
+rect 37637 4012 37701 4076
+rect 37717 4072 37781 4076
+rect 37797 4072 37861 4076
+rect 37717 4016 37752 4072
+rect 37752 4016 37781 4072
+rect 37797 4016 37808 4072
+rect 37808 4016 37861 4072
+rect 37717 4012 37781 4016
+rect 37797 4012 37861 4016
+rect 37877 4012 37941 4076
+rect 37957 4012 38021 4076
+rect 2832 3836 2896 3840
+rect 2832 3780 2836 3836
+rect 2836 3780 2892 3836
+rect 2892 3780 2896 3836
+rect 2832 3776 2896 3780
+rect 2912 3836 2976 3840
+rect 2912 3780 2916 3836
+rect 2916 3780 2972 3836
+rect 2972 3780 2976 3836
+rect 2912 3776 2976 3780
+rect 2992 3836 3056 3840
+rect 2992 3780 2996 3836
+rect 2996 3780 3052 3836
+rect 3052 3780 3056 3836
+rect 2992 3776 3056 3780
+rect 3072 3836 3136 3840
+rect 3072 3780 3076 3836
+rect 3076 3780 3132 3836
+rect 3132 3780 3136 3836
+rect 3072 3776 3136 3780
+rect 3152 3836 3216 3840
+rect 3152 3780 3156 3836
+rect 3156 3780 3212 3836
+rect 3212 3780 3216 3836
+rect 3152 3776 3216 3780
+rect 3232 3836 3296 3840
+rect 3232 3780 3236 3836
+rect 3236 3780 3292 3836
+rect 3292 3780 3296 3836
+rect 3232 3776 3296 3780
+rect 3312 3836 3376 3840
+rect 3312 3780 3316 3836
+rect 3316 3780 3372 3836
+rect 3372 3780 3376 3836
+rect 3312 3776 3376 3780
+rect 5497 3836 5561 3840
+rect 5497 3780 5501 3836
+rect 5501 3780 5557 3836
+rect 5557 3780 5561 3836
+rect 5497 3776 5561 3780
+rect 5577 3836 5641 3840
+rect 5577 3780 5581 3836
+rect 5581 3780 5637 3836
+rect 5637 3780 5641 3836
+rect 5577 3776 5641 3780
+rect 5657 3836 5721 3840
+rect 5657 3780 5661 3836
+rect 5661 3780 5717 3836
+rect 5717 3780 5721 3836
+rect 5657 3776 5721 3780
+rect 5737 3836 5801 3840
+rect 5737 3780 5741 3836
+rect 5741 3780 5797 3836
+rect 5797 3780 5801 3836
+rect 5737 3776 5801 3780
+rect 5817 3836 5881 3840
+rect 5817 3780 5821 3836
+rect 5821 3780 5877 3836
+rect 5877 3780 5881 3836
+rect 5817 3776 5881 3780
+rect 5897 3836 5961 3840
+rect 5897 3780 5901 3836
+rect 5901 3780 5957 3836
+rect 5957 3780 5961 3836
+rect 5897 3776 5961 3780
+rect 5977 3836 6041 3840
+rect 5977 3780 5981 3836
+rect 5981 3780 6037 3836
+rect 6037 3780 6041 3836
+rect 5977 3776 6041 3780
+rect 8162 3836 8226 3840
+rect 8162 3780 8166 3836
+rect 8166 3780 8222 3836
+rect 8222 3780 8226 3836
+rect 8162 3776 8226 3780
+rect 8242 3836 8306 3840
+rect 8242 3780 8246 3836
+rect 8246 3780 8302 3836
+rect 8302 3780 8306 3836
+rect 8242 3776 8306 3780
+rect 8322 3836 8386 3840
+rect 8322 3780 8326 3836
+rect 8326 3780 8382 3836
+rect 8382 3780 8386 3836
+rect 8322 3776 8386 3780
+rect 8402 3836 8466 3840
+rect 8402 3780 8406 3836
+rect 8406 3780 8462 3836
+rect 8462 3780 8466 3836
+rect 8402 3776 8466 3780
+rect 8482 3836 8546 3840
+rect 8482 3780 8486 3836
+rect 8486 3780 8542 3836
+rect 8542 3780 8546 3836
+rect 8482 3776 8546 3780
+rect 8562 3836 8626 3840
+rect 8562 3780 8566 3836
+rect 8566 3780 8622 3836
+rect 8622 3780 8626 3836
+rect 8562 3776 8626 3780
+rect 8642 3836 8706 3840
+rect 8642 3780 8646 3836
+rect 8646 3780 8702 3836
+rect 8702 3780 8706 3836
+rect 8642 3776 8706 3780
+rect 10827 3836 10891 3840
+rect 10827 3780 10831 3836
+rect 10831 3780 10887 3836
+rect 10887 3780 10891 3836
+rect 10827 3776 10891 3780
+rect 10907 3836 10971 3840
+rect 10907 3780 10911 3836
+rect 10911 3780 10967 3836
+rect 10967 3780 10971 3836
+rect 10907 3776 10971 3780
+rect 10987 3836 11051 3840
+rect 10987 3780 10991 3836
+rect 10991 3780 11047 3836
+rect 11047 3780 11051 3836
+rect 10987 3776 11051 3780
+rect 11067 3836 11131 3840
+rect 11067 3780 11071 3836
+rect 11071 3780 11127 3836
+rect 11127 3780 11131 3836
+rect 11067 3776 11131 3780
+rect 11147 3836 11211 3840
+rect 11147 3780 11151 3836
+rect 11151 3780 11207 3836
+rect 11207 3780 11211 3836
+rect 11147 3776 11211 3780
+rect 11227 3836 11291 3840
+rect 11227 3780 11231 3836
+rect 11231 3780 11287 3836
+rect 11287 3780 11291 3836
+rect 11227 3776 11291 3780
+rect 11307 3836 11371 3840
+rect 11307 3780 11311 3836
+rect 11311 3780 11367 3836
+rect 11367 3780 11371 3836
+rect 11307 3776 11371 3780
+rect 13492 3836 13556 3840
+rect 13492 3780 13496 3836
+rect 13496 3780 13552 3836
+rect 13552 3780 13556 3836
+rect 13492 3776 13556 3780
+rect 13572 3836 13636 3840
+rect 13572 3780 13576 3836
+rect 13576 3780 13632 3836
+rect 13632 3780 13636 3836
+rect 13572 3776 13636 3780
+rect 13652 3836 13716 3840
+rect 13652 3780 13656 3836
+rect 13656 3780 13712 3836
+rect 13712 3780 13716 3836
+rect 13652 3776 13716 3780
+rect 13732 3836 13796 3840
+rect 13732 3780 13736 3836
+rect 13736 3780 13792 3836
+rect 13792 3780 13796 3836
+rect 13732 3776 13796 3780
+rect 13812 3836 13876 3840
+rect 13812 3780 13816 3836
+rect 13816 3780 13872 3836
+rect 13872 3780 13876 3836
+rect 13812 3776 13876 3780
+rect 13892 3836 13956 3840
+rect 13892 3780 13896 3836
+rect 13896 3780 13952 3836
+rect 13952 3780 13956 3836
+rect 13892 3776 13956 3780
+rect 13972 3836 14036 3840
+rect 13972 3780 13976 3836
+rect 13976 3780 14032 3836
+rect 14032 3780 14036 3836
+rect 13972 3776 14036 3780
+rect 16157 3836 16221 3840
+rect 16157 3780 16161 3836
+rect 16161 3780 16217 3836
+rect 16217 3780 16221 3836
+rect 16157 3776 16221 3780
+rect 16237 3836 16301 3840
+rect 16237 3780 16241 3836
+rect 16241 3780 16297 3836
+rect 16297 3780 16301 3836
+rect 16237 3776 16301 3780
+rect 16317 3836 16381 3840
+rect 16317 3780 16321 3836
+rect 16321 3780 16377 3836
+rect 16377 3780 16381 3836
+rect 16317 3776 16381 3780
+rect 16397 3836 16461 3840
+rect 16397 3780 16401 3836
+rect 16401 3780 16457 3836
+rect 16457 3780 16461 3836
+rect 16397 3776 16461 3780
+rect 16477 3836 16541 3840
+rect 16477 3780 16481 3836
+rect 16481 3780 16537 3836
+rect 16537 3780 16541 3836
+rect 16477 3776 16541 3780
+rect 16557 3836 16621 3840
+rect 16557 3780 16561 3836
+rect 16561 3780 16617 3836
+rect 16617 3780 16621 3836
+rect 16557 3776 16621 3780
+rect 16637 3836 16701 3840
+rect 16637 3780 16641 3836
+rect 16641 3780 16697 3836
+rect 16697 3780 16701 3836
+rect 16637 3776 16701 3780
+rect 4164 3292 4228 3296
+rect 4164 3236 4168 3292
+rect 4168 3236 4224 3292
+rect 4224 3236 4228 3292
+rect 4164 3232 4228 3236
+rect 4244 3292 4308 3296
+rect 4244 3236 4248 3292
+rect 4248 3236 4304 3292
+rect 4304 3236 4308 3292
+rect 4244 3232 4308 3236
+rect 4324 3292 4388 3296
+rect 4324 3236 4328 3292
+rect 4328 3236 4384 3292
+rect 4384 3236 4388 3292
+rect 4324 3232 4388 3236
+rect 4404 3292 4468 3296
+rect 4404 3236 4408 3292
+rect 4408 3236 4464 3292
+rect 4464 3236 4468 3292
+rect 4404 3232 4468 3236
+rect 4484 3292 4548 3296
+rect 4484 3236 4488 3292
+rect 4488 3236 4544 3292
+rect 4544 3236 4548 3292
+rect 4484 3232 4548 3236
+rect 4564 3292 4628 3296
+rect 4564 3236 4568 3292
+rect 4568 3236 4624 3292
+rect 4624 3236 4628 3292
+rect 4564 3232 4628 3236
+rect 4644 3292 4708 3296
+rect 4644 3236 4648 3292
+rect 4648 3236 4704 3292
+rect 4704 3236 4708 3292
+rect 4644 3232 4708 3236
+rect 5497 3080 5561 3144
+rect 5577 3080 5641 3144
+rect 5657 3080 5721 3144
+rect 5737 3080 5801 3144
+rect 5817 3080 5881 3144
+rect 5897 3080 5961 3144
+rect 5977 3140 6041 3144
+rect 5977 3084 6032 3140
+rect 6032 3084 6041 3140
+rect 5977 3080 6041 3084
+rect 8162 3080 8226 3144
+rect 8242 3080 8306 3144
+rect 8322 3140 8386 3144
+rect 8402 3140 8466 3144
+rect 8322 3084 8376 3140
+rect 8376 3084 8386 3140
+rect 8402 3084 8432 3140
+rect 8432 3084 8466 3140
+rect 8322 3080 8386 3084
+rect 8402 3080 8466 3084
+rect 8482 3080 8546 3144
+rect 8562 3080 8626 3144
+rect 8642 3080 8706 3144
+rect 10827 3140 10891 3144
+rect 10827 3084 10848 3140
+rect 10848 3084 10891 3140
+rect 10827 3080 10891 3084
+rect 10907 3080 10971 3144
+rect 10987 3080 11051 3144
+rect 11067 3080 11131 3144
+rect 11147 3080 11211 3144
+rect 11227 3080 11291 3144
+rect 11307 3080 11371 3144
+rect 16157 3140 16221 3144
+rect 16237 3140 16301 3144
+rect 16157 3084 16208 3140
+rect 16208 3084 16221 3140
+rect 16237 3084 16264 3140
+rect 16264 3084 16301 3140
+rect 16157 3080 16221 3084
+rect 16237 3080 16301 3084
+rect 16317 3080 16381 3144
+rect 16397 3080 16461 3144
+rect 16477 3080 16541 3144
+rect 16557 3080 16621 3144
+rect 16637 3080 16701 3144
+rect 18822 3080 18886 3144
+rect 18902 3080 18966 3144
+rect 18982 3080 19046 3144
+rect 19062 3080 19126 3144
+rect 19142 3080 19206 3144
+rect 19222 3140 19286 3144
+rect 19222 3084 19224 3140
+rect 19224 3084 19280 3140
+rect 19280 3084 19286 3140
+rect 19222 3080 19286 3084
+rect 19302 3080 19366 3144
+rect 24152 3080 24216 3144
+rect 24232 3080 24296 3144
+rect 24312 3080 24376 3144
+rect 24392 3080 24456 3144
+rect 24472 3080 24536 3144
+rect 24552 3080 24616 3144
+rect 24632 3140 24696 3144
+rect 24632 3084 24640 3140
+rect 24640 3084 24696 3140
+rect 24632 3080 24696 3084
+rect 26817 3080 26881 3144
+rect 26897 3080 26961 3144
+rect 26977 3080 27041 3144
+rect 27057 3140 27121 3144
+rect 27057 3084 27112 3140
+rect 27112 3084 27121 3140
+rect 27057 3080 27121 3084
+rect 27137 3080 27201 3144
+rect 27217 3080 27281 3144
+rect 27297 3080 27361 3144
+rect 29482 3140 29546 3144
+rect 29482 3084 29512 3140
+rect 29512 3084 29546 3140
+rect 29482 3080 29546 3084
+rect 29562 3080 29626 3144
+rect 29642 3080 29706 3144
+rect 29722 3080 29786 3144
+rect 29802 3080 29866 3144
+rect 29882 3080 29946 3144
+rect 29962 3080 30026 3144
+rect 32147 3080 32211 3144
+rect 32227 3080 32291 3144
+rect 32307 3140 32371 3144
+rect 32387 3140 32451 3144
+rect 32307 3084 32336 3140
+rect 32336 3084 32371 3140
+rect 32387 3084 32392 3140
+rect 32392 3084 32451 3140
+rect 32307 3080 32371 3084
+rect 32387 3080 32451 3084
+rect 32467 3080 32531 3144
+rect 32547 3080 32611 3144
+rect 32627 3080 32691 3144
+rect 34812 3080 34876 3144
+rect 34892 3080 34956 3144
+rect 34972 3080 35036 3144
+rect 35052 3080 35116 3144
+rect 35132 3080 35196 3144
+rect 35212 3080 35276 3144
+rect 35292 3140 35356 3144
+rect 35292 3084 35352 3140
+rect 35352 3084 35356 3140
+rect 35292 3080 35356 3084
+rect 37477 3080 37541 3144
+rect 37557 3080 37621 3144
+rect 37637 3080 37701 3144
+rect 37717 3140 37781 3144
+rect 37797 3140 37861 3144
+rect 37717 3084 37752 3140
+rect 37752 3084 37781 3140
+rect 37797 3084 37808 3140
+rect 37808 3084 37861 3140
+rect 37717 3080 37781 3084
+rect 37797 3080 37861 3084
+rect 37877 3080 37941 3144
+rect 37957 3080 38021 3144
+rect 6829 2790 6893 2794
+rect 6909 2790 6973 2794
+rect 2832 2748 2896 2752
+rect 2832 2692 2836 2748
+rect 2836 2692 2892 2748
+rect 2892 2692 2896 2748
+rect 2832 2688 2896 2692
+rect 2912 2748 2976 2752
+rect 2912 2692 2916 2748
+rect 2916 2692 2972 2748
+rect 2972 2692 2976 2748
+rect 2912 2688 2976 2692
+rect 2992 2748 3056 2752
+rect 2992 2692 2996 2748
+rect 2996 2692 3052 2748
+rect 3052 2692 3056 2748
+rect 2992 2688 3056 2692
+rect 3072 2748 3136 2752
+rect 3072 2692 3076 2748
+rect 3076 2692 3132 2748
+rect 3132 2692 3136 2748
+rect 3072 2688 3136 2692
+rect 3152 2748 3216 2752
+rect 3152 2692 3156 2748
+rect 3156 2692 3212 2748
+rect 3212 2692 3216 2748
+rect 3152 2688 3216 2692
+rect 3232 2748 3296 2752
+rect 3232 2692 3236 2748
+rect 3236 2692 3292 2748
+rect 3292 2692 3296 2748
+rect 3232 2688 3296 2692
+rect 3312 2748 3376 2752
+rect 3312 2692 3316 2748
+rect 3316 2692 3372 2748
+rect 3372 2692 3376 2748
+rect 3312 2688 3376 2692
+rect 6829 2734 6876 2790
+rect 6876 2734 6893 2790
+rect 6909 2734 6932 2790
+rect 6932 2734 6973 2790
+rect 6829 2730 6893 2734
+rect 6909 2730 6973 2734
+rect 6989 2730 7053 2794
+rect 7069 2730 7133 2794
+rect 7149 2730 7213 2794
+rect 7229 2730 7293 2794
+rect 7309 2730 7373 2794
+rect 12159 2730 12223 2794
+rect 12239 2790 12303 2794
+rect 12319 2790 12383 2794
+rect 12239 2734 12292 2790
+rect 12292 2734 12303 2790
+rect 12319 2734 12348 2790
+rect 12348 2734 12383 2790
+rect 12239 2730 12303 2734
+rect 12319 2730 12383 2734
+rect 12399 2730 12463 2794
+rect 12479 2730 12543 2794
+rect 12559 2730 12623 2794
+rect 12639 2730 12703 2794
+rect 14824 2730 14888 2794
+rect 14904 2730 14968 2794
+rect 14984 2730 15048 2794
+rect 15064 2730 15128 2794
+rect 15144 2730 15208 2794
+rect 15224 2730 15288 2794
+rect 15304 2790 15368 2794
+rect 15304 2734 15308 2790
+rect 15308 2734 15364 2790
+rect 15364 2734 15368 2790
+rect 15304 2730 15368 2734
+rect 20154 2790 20218 2794
+rect 20154 2734 20180 2790
+rect 20180 2734 20218 2790
+rect 20154 2730 20218 2734
+rect 20234 2730 20298 2794
+rect 20314 2730 20378 2794
+rect 20394 2730 20458 2794
+rect 20474 2730 20538 2794
+rect 20554 2730 20618 2794
+rect 20634 2730 20698 2794
+rect 22819 2730 22883 2794
+rect 22899 2730 22963 2794
+rect 22979 2730 23043 2794
+rect 23059 2730 23123 2794
+rect 23139 2790 23203 2794
+rect 23139 2734 23140 2790
+rect 23140 2734 23196 2790
+rect 23196 2734 23203 2790
+rect 23139 2730 23203 2734
+rect 23219 2730 23283 2794
+rect 23299 2730 23363 2794
+rect 25484 2790 25548 2794
+rect 25564 2790 25628 2794
+rect 25484 2734 25540 2790
+rect 25540 2734 25548 2790
+rect 25564 2734 25596 2790
+rect 25596 2734 25628 2790
+rect 25484 2730 25548 2734
+rect 25564 2730 25628 2734
+rect 25644 2730 25708 2794
+rect 25724 2730 25788 2794
+rect 25804 2730 25868 2794
+rect 25884 2730 25948 2794
+rect 25964 2730 26028 2794
+rect 28149 2730 28213 2794
+rect 28229 2730 28293 2794
+rect 28309 2730 28373 2794
+rect 28389 2730 28453 2794
+rect 28469 2730 28533 2794
+rect 28549 2790 28613 2794
+rect 28549 2734 28556 2790
+rect 28556 2734 28612 2790
+rect 28612 2734 28613 2790
+rect 28549 2730 28613 2734
+rect 28629 2730 28693 2794
+rect 30814 2790 30878 2794
+rect 30814 2734 30836 2790
+rect 30836 2734 30878 2790
+rect 30814 2730 30878 2734
+rect 30894 2730 30958 2794
+rect 30974 2730 31038 2794
+rect 31054 2730 31118 2794
+rect 31134 2730 31198 2794
+rect 31214 2730 31278 2794
+rect 31294 2730 31358 2794
+rect 33479 2730 33543 2794
+rect 33559 2730 33623 2794
+rect 33639 2730 33703 2794
+rect 33719 2730 33783 2794
+rect 33799 2790 33863 2794
+rect 33879 2790 33943 2794
+rect 33799 2734 33836 2790
+rect 33836 2734 33863 2790
+rect 33879 2734 33892 2790
+rect 33892 2734 33943 2790
+rect 33799 2730 33863 2734
+rect 33879 2730 33943 2734
+rect 33959 2730 34023 2794
+rect 36144 2730 36208 2794
+rect 36224 2790 36288 2794
+rect 36304 2790 36368 2794
+rect 36224 2734 36252 2790
+rect 36252 2734 36288 2790
+rect 36304 2734 36308 2790
+rect 36308 2734 36368 2790
+rect 36224 2730 36288 2734
+rect 36304 2730 36368 2734
+rect 36384 2730 36448 2794
+rect 36464 2730 36528 2794
+rect 36544 2730 36608 2794
+rect 36624 2730 36688 2794
+rect 5497 2380 5561 2444
+rect 5577 2380 5641 2444
+rect 5657 2380 5721 2444
+rect 5737 2380 5801 2444
+rect 5817 2380 5881 2444
+rect 5897 2380 5961 2444
+rect 5977 2440 6041 2444
+rect 5977 2384 6032 2440
+rect 6032 2384 6041 2440
+rect 5977 2380 6041 2384
+rect 8162 2380 8226 2444
+rect 8242 2380 8306 2444
+rect 8322 2440 8386 2444
+rect 8402 2440 8466 2444
+rect 8322 2384 8376 2440
+rect 8376 2384 8386 2440
+rect 8402 2384 8432 2440
+rect 8432 2384 8466 2440
+rect 8322 2380 8386 2384
+rect 8402 2380 8466 2384
+rect 8482 2380 8546 2444
+rect 8562 2380 8626 2444
+rect 8642 2380 8706 2444
+rect 10827 2440 10891 2444
+rect 10827 2384 10848 2440
+rect 10848 2384 10891 2440
+rect 10827 2380 10891 2384
+rect 10907 2380 10971 2444
+rect 10987 2380 11051 2444
+rect 11067 2380 11131 2444
+rect 11147 2380 11211 2444
+rect 11227 2380 11291 2444
+rect 11307 2380 11371 2444
+rect 16157 2440 16221 2444
+rect 16237 2440 16301 2444
+rect 16157 2384 16208 2440
+rect 16208 2384 16221 2440
+rect 16237 2384 16264 2440
+rect 16264 2384 16301 2440
+rect 16157 2380 16221 2384
+rect 16237 2380 16301 2384
+rect 16317 2380 16381 2444
+rect 16397 2380 16461 2444
+rect 16477 2380 16541 2444
+rect 16557 2380 16621 2444
+rect 16637 2380 16701 2444
+rect 18822 2380 18886 2444
+rect 18902 2380 18966 2444
+rect 18982 2380 19046 2444
+rect 19062 2380 19126 2444
+rect 19142 2380 19206 2444
+rect 19222 2440 19286 2444
+rect 19222 2384 19224 2440
+rect 19224 2384 19280 2440
+rect 19280 2384 19286 2440
+rect 19222 2380 19286 2384
+rect 19302 2380 19366 2444
+rect 24152 2380 24216 2444
+rect 24232 2380 24296 2444
+rect 24312 2380 24376 2444
+rect 24392 2380 24456 2444
+rect 24472 2380 24536 2444
+rect 24552 2380 24616 2444
+rect 24632 2440 24696 2444
+rect 24632 2384 24640 2440
+rect 24640 2384 24696 2440
+rect 24632 2380 24696 2384
+rect 26817 2380 26881 2444
+rect 26897 2380 26961 2444
+rect 26977 2380 27041 2444
+rect 27057 2440 27121 2444
+rect 27057 2384 27112 2440
+rect 27112 2384 27121 2440
+rect 27057 2380 27121 2384
+rect 27137 2380 27201 2444
+rect 27217 2380 27281 2444
+rect 27297 2380 27361 2444
+rect 29482 2440 29546 2444
+rect 29482 2384 29512 2440
+rect 29512 2384 29546 2440
+rect 29482 2380 29546 2384
+rect 29562 2380 29626 2444
+rect 29642 2380 29706 2444
+rect 29722 2380 29786 2444
+rect 29802 2380 29866 2444
+rect 29882 2380 29946 2444
+rect 29962 2380 30026 2444
+rect 32147 2380 32211 2444
+rect 32227 2380 32291 2444
+rect 32307 2440 32371 2444
+rect 32387 2440 32451 2444
+rect 32307 2384 32336 2440
+rect 32336 2384 32371 2440
+rect 32387 2384 32392 2440
+rect 32392 2384 32451 2440
+rect 32307 2380 32371 2384
+rect 32387 2380 32451 2384
+rect 32467 2380 32531 2444
+rect 32547 2380 32611 2444
+rect 32627 2380 32691 2444
+rect 34812 2380 34876 2444
+rect 34892 2380 34956 2444
+rect 34972 2380 35036 2444
+rect 35052 2380 35116 2444
+rect 35132 2380 35196 2444
+rect 35212 2380 35276 2444
+rect 35292 2440 35356 2444
+rect 35292 2384 35352 2440
+rect 35352 2384 35356 2440
+rect 35292 2380 35356 2384
+rect 37477 2380 37541 2444
+rect 37557 2380 37621 2444
+rect 37637 2380 37701 2444
+rect 37717 2440 37781 2444
+rect 37797 2440 37861 2444
+rect 37717 2384 37752 2440
+rect 37752 2384 37781 2440
+rect 37797 2384 37808 2440
+rect 37808 2384 37861 2440
+rect 37717 2380 37781 2384
+rect 37797 2380 37861 2384
+rect 37877 2380 37941 2444
+rect 37957 2380 38021 2444
+rect 4164 2204 4228 2208
+rect 4164 2148 4168 2204
+rect 4168 2148 4224 2204
+rect 4224 2148 4228 2204
+rect 4164 2144 4228 2148
+rect 4244 2204 4308 2208
+rect 4244 2148 4248 2204
+rect 4248 2148 4304 2204
+rect 4304 2148 4308 2204
+rect 4244 2144 4308 2148
+rect 4324 2204 4388 2208
+rect 4324 2148 4328 2204
+rect 4328 2148 4384 2204
+rect 4384 2148 4388 2204
+rect 4324 2144 4388 2148
+rect 4404 2204 4468 2208
+rect 4404 2148 4408 2204
+rect 4408 2148 4464 2204
+rect 4464 2148 4468 2204
+rect 4404 2144 4468 2148
+rect 4484 2204 4548 2208
+rect 4484 2148 4488 2204
+rect 4488 2148 4544 2204
+rect 4544 2148 4548 2204
+rect 4484 2144 4548 2148
+rect 4564 2204 4628 2208
+rect 4564 2148 4568 2204
+rect 4568 2148 4624 2204
+rect 4624 2148 4628 2204
+rect 4564 2144 4628 2148
+rect 4644 2204 4708 2208
+rect 4644 2148 4648 2204
+rect 4648 2148 4704 2204
+rect 4704 2148 4708 2204
+rect 4644 2144 4708 2148
+<< metal4 >>
+rect 2804 7104 3404 7664
+rect 2804 7040 2832 7104
+rect 2896 7040 2912 7104
+rect 2976 7040 2992 7104
+rect 3056 7040 3072 7104
+rect 3136 7040 3152 7104
+rect 3216 7040 3232 7104
+rect 3296 7040 3312 7104
+rect 3376 7040 3404 7104
+rect 2804 6016 3404 7040
+rect 2804 5952 2832 6016
+rect 2896 5952 2912 6016
+rect 2976 5952 2992 6016
+rect 3056 5952 3072 6016
+rect 3136 5952 3152 6016
+rect 3216 5952 3232 6016
+rect 3296 5952 3312 6016
+rect 3376 5952 3404 6016
+rect 2804 4928 3404 5952
+rect 2804 4864 2832 4928
+rect 2896 4864 2912 4928
+rect 2976 4864 2992 4928
+rect 3056 4864 3072 4928
+rect 3136 4864 3152 4928
+rect 3216 4864 3232 4928
+rect 3296 4864 3312 4928
+rect 3376 4864 3404 4928
+rect 2804 3840 3404 4864
+rect 2804 3776 2832 3840
+rect 2896 3776 2912 3840
+rect 2976 3776 2992 3840
+rect 3056 3776 3072 3840
+rect 3136 3776 3152 3840
+rect 3216 3776 3232 3840
+rect 3296 3776 3312 3840
+rect 3376 3776 3404 3840
+rect 2804 2752 3404 3776
+rect 2804 2688 2832 2752
+rect 2896 2688 2912 2752
+rect 2976 2688 2992 2752
+rect 3056 2688 3072 2752
+rect 3136 2688 3152 2752
+rect 3216 2688 3232 2752
+rect 3296 2688 3312 2752
+rect 3376 2688 3404 2752
+rect 2804 2128 3404 2688
+rect 4136 7648 4736 7664
+rect 4136 7584 4164 7648
+rect 4228 7584 4244 7648
+rect 4308 7584 4324 7648
+rect 4388 7584 4404 7648
+rect 4468 7584 4484 7648
+rect 4548 7584 4564 7648
+rect 4628 7584 4644 7648
+rect 4708 7584 4736 7648
+rect 4136 6560 4736 7584
+rect 4136 6496 4164 6560
+rect 4228 6496 4244 6560
+rect 4308 6496 4324 6560
+rect 4388 6496 4404 6560
+rect 4468 6496 4484 6560
+rect 4548 6496 4564 6560
+rect 4628 6496 4644 6560
+rect 4708 6496 4736 6560
+rect 4136 5472 4736 6496
+rect 4136 5408 4164 5472
+rect 4228 5408 4244 5472
+rect 4308 5408 4324 5472
+rect 4388 5408 4404 5472
+rect 4468 5408 4484 5472
+rect 4548 5408 4564 5472
+rect 4628 5408 4644 5472
+rect 4708 5408 4736 5472
+rect 4136 4384 4736 5408
+rect 4136 4320 4164 4384
+rect 4228 4320 4244 4384
+rect 4308 4320 4324 4384
+rect 4388 4320 4404 4384
+rect 4468 4320 4484 4384
+rect 4548 4320 4564 4384
+rect 4628 4320 4644 4384
+rect 4708 4320 4736 4384
+rect 4136 3296 4736 4320
+rect 4136 3232 4164 3296
+rect 4228 3232 4244 3296
+rect 4308 3232 4324 3296
+rect 4388 3232 4404 3296
+rect 4468 3232 4484 3296
+rect 4548 3232 4564 3296
+rect 4628 3232 4644 3296
+rect 4708 3232 4736 3296
+rect 4136 2208 4736 3232
+rect 4136 2144 4164 2208
+rect 4228 2144 4244 2208
+rect 4308 2144 4324 2208
+rect 4388 2144 4404 2208
+rect 4468 2144 4484 2208
+rect 4548 2144 4564 2208
+rect 4628 2144 4644 2208
+rect 4708 2144 4736 2208
+rect 5469 7104 6069 7664
+rect 5469 7040 5497 7104
+rect 5561 7040 5577 7104
+rect 5641 7040 5657 7104
+rect 5721 7040 5737 7104
+rect 5801 7040 5817 7104
+rect 5881 7040 5897 7104
+rect 5961 7040 5977 7104
+rect 6041 7040 6069 7104
+rect 5469 6016 6069 7040
+rect 5469 5952 5497 6016
+rect 5561 5952 5577 6016
+rect 5641 5952 5657 6016
+rect 5721 5952 5737 6016
+rect 5801 5952 5817 6016
+rect 5881 5952 5897 6016
+rect 5961 5952 5977 6016
+rect 6041 5952 6069 6016
+rect 5469 4928 6069 5952
+rect 5469 4864 5497 4928
+rect 5561 4864 5577 4928
+rect 5641 4864 5657 4928
+rect 5721 4864 5737 4928
+rect 5801 4864 5817 4928
+rect 5881 4864 5897 4928
+rect 5961 4864 5977 4928
+rect 6041 4864 6069 4928
+rect 5469 3840 6069 4864
+rect 5469 3776 5497 3840
+rect 5561 3776 5577 3840
+rect 5641 3776 5657 3840
+rect 5721 3776 5737 3840
+rect 5801 3776 5817 3840
+rect 5881 3776 5897 3840
+rect 5961 3776 5977 3840
+rect 6041 3776 6069 3840
+rect 5469 3144 6069 3776
+rect 5469 3080 5497 3144
+rect 5561 3080 5577 3144
+rect 5641 3080 5657 3144
+rect 5721 3080 5737 3144
+rect 5801 3080 5817 3144
+rect 5881 3080 5897 3144
+rect 5961 3080 5977 3144
+rect 6041 3080 6069 3144
+rect 5469 2444 6069 3080
+rect 5469 2380 5497 2444
+rect 5561 2380 5577 2444
+rect 5641 2380 5657 2444
+rect 5721 2380 5737 2444
+rect 5801 2380 5817 2444
+rect 5881 2380 5897 2444
+rect 5961 2380 5977 2444
+rect 6041 2380 6069 2444
+rect 5469 2176 6069 2380
+rect 6801 7648 7401 7664
+rect 6801 7584 6829 7648
+rect 6893 7584 6909 7648
+rect 6973 7584 6989 7648
+rect 7053 7584 7069 7648
+rect 7133 7584 7149 7648
+rect 7213 7584 7229 7648
+rect 7293 7584 7309 7648
+rect 7373 7584 7401 7648
+rect 6801 6560 7401 7584
+rect 6801 6496 6829 6560
+rect 6893 6496 6909 6560
+rect 6973 6496 6989 6560
+rect 7053 6496 7069 6560
+rect 7133 6496 7149 6560
+rect 7213 6496 7229 6560
+rect 7293 6496 7309 6560
+rect 7373 6496 7401 6560
+rect 6801 5472 7401 6496
+rect 6801 5408 6829 5472
+rect 6893 5408 6909 5472
+rect 6973 5408 6989 5472
+rect 7053 5408 7069 5472
+rect 7133 5408 7149 5472
+rect 7213 5408 7229 5472
+rect 7293 5408 7309 5472
+rect 7373 5408 7401 5472
+rect 6801 4384 7401 5408
+rect 6801 4320 6829 4384
+rect 6893 4320 6909 4384
+rect 6973 4320 6989 4384
+rect 7053 4320 7069 4384
+rect 7133 4320 7149 4384
+rect 7213 4320 7229 4384
+rect 7293 4320 7309 4384
+rect 7373 4320 7401 4384
+rect 6801 2794 7401 4320
+rect 6801 2730 6829 2794
+rect 6893 2730 6909 2794
+rect 6973 2730 6989 2794
+rect 7053 2730 7069 2794
+rect 7133 2730 7149 2794
+rect 7213 2730 7229 2794
+rect 7293 2730 7309 2794
+rect 7373 2730 7401 2794
+rect 6801 2176 7401 2730
+rect 8134 7104 8734 7664
+rect 8134 7040 8162 7104
+rect 8226 7040 8242 7104
+rect 8306 7040 8322 7104
+rect 8386 7040 8402 7104
+rect 8466 7040 8482 7104
+rect 8546 7040 8562 7104
+rect 8626 7040 8642 7104
+rect 8706 7040 8734 7104
+rect 8134 6016 8734 7040
+rect 8134 5952 8162 6016
+rect 8226 5952 8242 6016
+rect 8306 5952 8322 6016
+rect 8386 5952 8402 6016
+rect 8466 5952 8482 6016
+rect 8546 5952 8562 6016
+rect 8626 5952 8642 6016
+rect 8706 5952 8734 6016
+rect 8134 4928 8734 5952
+rect 8134 4864 8162 4928
+rect 8226 4864 8242 4928
+rect 8306 4864 8322 4928
+rect 8386 4864 8402 4928
+rect 8466 4864 8482 4928
+rect 8546 4864 8562 4928
+rect 8626 4864 8642 4928
+rect 8706 4864 8734 4928
+rect 8134 3840 8734 4864
+rect 8134 3776 8162 3840
+rect 8226 3776 8242 3840
+rect 8306 3776 8322 3840
+rect 8386 3776 8402 3840
+rect 8466 3776 8482 3840
+rect 8546 3776 8562 3840
+rect 8626 3776 8642 3840
+rect 8706 3776 8734 3840
+rect 8134 3144 8734 3776
+rect 8134 3080 8162 3144
+rect 8226 3080 8242 3144
+rect 8306 3080 8322 3144
+rect 8386 3080 8402 3144
+rect 8466 3080 8482 3144
+rect 8546 3080 8562 3144
+rect 8626 3080 8642 3144
+rect 8706 3080 8734 3144
+rect 8134 2444 8734 3080
+rect 8134 2380 8162 2444
+rect 8226 2380 8242 2444
+rect 8306 2380 8322 2444
+rect 8386 2380 8402 2444
+rect 8466 2380 8482 2444
+rect 8546 2380 8562 2444
+rect 8626 2380 8642 2444
+rect 8706 2380 8734 2444
+rect 8134 2176 8734 2380
+rect 9466 7648 10066 7664
+rect 9466 7584 9494 7648
+rect 9558 7584 9574 7648
+rect 9638 7584 9654 7648
+rect 9718 7584 9734 7648
+rect 9798 7584 9814 7648
+rect 9878 7584 9894 7648
+rect 9958 7584 9974 7648
+rect 10038 7584 10066 7648
+rect 9466 6560 10066 7584
+rect 9466 6496 9494 6560
+rect 9558 6496 9574 6560
+rect 9638 6496 9654 6560
+rect 9718 6496 9734 6560
+rect 9798 6496 9814 6560
+rect 9878 6496 9894 6560
+rect 9958 6496 9974 6560
+rect 10038 6496 10066 6560
+rect 9466 5472 10066 6496
+rect 9466 5408 9494 5472
+rect 9558 5408 9574 5472
+rect 9638 5408 9654 5472
+rect 9718 5408 9734 5472
+rect 9798 5408 9814 5472
+rect 9878 5408 9894 5472
+rect 9958 5408 9974 5472
+rect 10038 5408 10066 5472
+rect 9466 4384 10066 5408
+rect 9466 4320 9494 4384
+rect 9558 4320 9574 4384
+rect 9638 4320 9654 4384
+rect 9718 4320 9734 4384
+rect 9798 4320 9814 4384
+rect 9878 4320 9894 4384
+rect 9958 4320 9974 4384
+rect 10038 4320 10066 4384
+rect 9466 2176 10066 4320
+rect 10799 7104 11399 7664
+rect 10799 7040 10827 7104
+rect 10891 7040 10907 7104
+rect 10971 7040 10987 7104
+rect 11051 7040 11067 7104
+rect 11131 7040 11147 7104
+rect 11211 7040 11227 7104
+rect 11291 7040 11307 7104
+rect 11371 7040 11399 7104
+rect 10799 6016 11399 7040
+rect 10799 5952 10827 6016
+rect 10891 5952 10907 6016
+rect 10971 5952 10987 6016
+rect 11051 5952 11067 6016
+rect 11131 5952 11147 6016
+rect 11211 5952 11227 6016
+rect 11291 5952 11307 6016
+rect 11371 5952 11399 6016
+rect 10799 4928 11399 5952
+rect 10799 4864 10827 4928
+rect 10891 4864 10907 4928
+rect 10971 4864 10987 4928
+rect 11051 4864 11067 4928
+rect 11131 4864 11147 4928
+rect 11211 4864 11227 4928
+rect 11291 4864 11307 4928
+rect 11371 4864 11399 4928
+rect 10799 3840 11399 4864
+rect 10799 3776 10827 3840
+rect 10891 3776 10907 3840
+rect 10971 3776 10987 3840
+rect 11051 3776 11067 3840
+rect 11131 3776 11147 3840
+rect 11211 3776 11227 3840
+rect 11291 3776 11307 3840
+rect 11371 3776 11399 3840
+rect 10799 3144 11399 3776
+rect 10799 3080 10827 3144
+rect 10891 3080 10907 3144
+rect 10971 3080 10987 3144
+rect 11051 3080 11067 3144
+rect 11131 3080 11147 3144
+rect 11211 3080 11227 3144
+rect 11291 3080 11307 3144
+rect 11371 3080 11399 3144
+rect 10799 2444 11399 3080
+rect 10799 2380 10827 2444
+rect 10891 2380 10907 2444
+rect 10971 2380 10987 2444
+rect 11051 2380 11067 2444
+rect 11131 2380 11147 2444
+rect 11211 2380 11227 2444
+rect 11291 2380 11307 2444
+rect 11371 2380 11399 2444
+rect 10799 2176 11399 2380
+rect 12131 7648 12731 7664
+rect 12131 7584 12159 7648
+rect 12223 7584 12239 7648
+rect 12303 7584 12319 7648
+rect 12383 7584 12399 7648
+rect 12463 7584 12479 7648
+rect 12543 7584 12559 7648
+rect 12623 7584 12639 7648
+rect 12703 7584 12731 7648
+rect 12131 6560 12731 7584
+rect 12131 6496 12159 6560
+rect 12223 6496 12239 6560
+rect 12303 6496 12319 6560
+rect 12383 6496 12399 6560
+rect 12463 6496 12479 6560
+rect 12543 6496 12559 6560
+rect 12623 6496 12639 6560
+rect 12703 6496 12731 6560
+rect 12131 5472 12731 6496
+rect 12131 5408 12159 5472
+rect 12223 5408 12239 5472
+rect 12303 5408 12319 5472
+rect 12383 5408 12399 5472
+rect 12463 5408 12479 5472
+rect 12543 5408 12559 5472
+rect 12623 5408 12639 5472
+rect 12703 5408 12731 5472
+rect 12131 4384 12731 5408
+rect 12131 4320 12159 4384
+rect 12223 4320 12239 4384
+rect 12303 4320 12319 4384
+rect 12383 4320 12399 4384
+rect 12463 4320 12479 4384
+rect 12543 4320 12559 4384
+rect 12623 4320 12639 4384
+rect 12703 4320 12731 4384
+rect 12131 2794 12731 4320
+rect 12131 2730 12159 2794
+rect 12223 2730 12239 2794
+rect 12303 2730 12319 2794
+rect 12383 2730 12399 2794
+rect 12463 2730 12479 2794
+rect 12543 2730 12559 2794
+rect 12623 2730 12639 2794
+rect 12703 2730 12731 2794
+rect 12131 2176 12731 2730
+rect 13464 7104 14064 7664
+rect 13464 7040 13492 7104
+rect 13556 7040 13572 7104
+rect 13636 7040 13652 7104
+rect 13716 7040 13732 7104
+rect 13796 7040 13812 7104
+rect 13876 7040 13892 7104
+rect 13956 7040 13972 7104
+rect 14036 7040 14064 7104
+rect 13464 6016 14064 7040
+rect 13464 5952 13492 6016
+rect 13556 5952 13572 6016
+rect 13636 5952 13652 6016
+rect 13716 5952 13732 6016
+rect 13796 5952 13812 6016
+rect 13876 5952 13892 6016
+rect 13956 5952 13972 6016
+rect 14036 5952 14064 6016
+rect 13464 4928 14064 5952
+rect 13464 4864 13492 4928
+rect 13556 4864 13572 4928
+rect 13636 4864 13652 4928
+rect 13716 4864 13732 4928
+rect 13796 4864 13812 4928
+rect 13876 4864 13892 4928
+rect 13956 4864 13972 4928
+rect 14036 4864 14064 4928
+rect 13464 3840 14064 4864
+rect 13464 3776 13492 3840
+rect 13556 3776 13572 3840
+rect 13636 3776 13652 3840
+rect 13716 3776 13732 3840
+rect 13796 3776 13812 3840
+rect 13876 3776 13892 3840
+rect 13956 3776 13972 3840
+rect 14036 3776 14064 3840
+rect 13464 2176 14064 3776
+rect 14796 7648 15396 7664
+rect 14796 7584 14824 7648
+rect 14888 7584 14904 7648
+rect 14968 7584 14984 7648
+rect 15048 7584 15064 7648
+rect 15128 7584 15144 7648
+rect 15208 7584 15224 7648
+rect 15288 7584 15304 7648
+rect 15368 7584 15396 7648
+rect 14796 6560 15396 7584
+rect 14796 6496 14824 6560
+rect 14888 6496 14904 6560
+rect 14968 6496 14984 6560
+rect 15048 6496 15064 6560
+rect 15128 6496 15144 6560
+rect 15208 6496 15224 6560
+rect 15288 6496 15304 6560
+rect 15368 6496 15396 6560
+rect 14796 5472 15396 6496
+rect 14796 5408 14824 5472
+rect 14888 5408 14904 5472
+rect 14968 5408 14984 5472
+rect 15048 5408 15064 5472
+rect 15128 5408 15144 5472
+rect 15208 5408 15224 5472
+rect 15288 5408 15304 5472
+rect 15368 5408 15396 5472
+rect 14796 4384 15396 5408
+rect 14796 4320 14824 4384
+rect 14888 4320 14904 4384
+rect 14968 4320 14984 4384
+rect 15048 4320 15064 4384
+rect 15128 4320 15144 4384
+rect 15208 4320 15224 4384
+rect 15288 4320 15304 4384
+rect 15368 4320 15396 4384
+rect 14796 2794 15396 4320
+rect 14796 2730 14824 2794
+rect 14888 2730 14904 2794
+rect 14968 2730 14984 2794
+rect 15048 2730 15064 2794
+rect 15128 2730 15144 2794
+rect 15208 2730 15224 2794
+rect 15288 2730 15304 2794
+rect 15368 2730 15396 2794
+rect 14796 2176 15396 2730
+rect 16129 7104 16729 7664
+rect 16129 7040 16157 7104
+rect 16221 7040 16237 7104
+rect 16301 7040 16317 7104
+rect 16381 7040 16397 7104
+rect 16461 7040 16477 7104
+rect 16541 7040 16557 7104
+rect 16621 7040 16637 7104
+rect 16701 7040 16729 7104
+rect 16129 6016 16729 7040
+rect 16129 5952 16157 6016
+rect 16221 5952 16237 6016
+rect 16301 5952 16317 6016
+rect 16381 5952 16397 6016
+rect 16461 5952 16477 6016
+rect 16541 5952 16557 6016
+rect 16621 5952 16637 6016
+rect 16701 5952 16729 6016
+rect 16129 4928 16729 5952
+rect 16129 4864 16157 4928
+rect 16221 4864 16237 4928
+rect 16301 4864 16317 4928
+rect 16381 4864 16397 4928
+rect 16461 4864 16477 4928
+rect 16541 4864 16557 4928
+rect 16621 4864 16637 4928
+rect 16701 4864 16729 4928
+rect 16129 3840 16729 4864
+rect 16129 3776 16157 3840
+rect 16221 3776 16237 3840
+rect 16301 3776 16317 3840
+rect 16381 3776 16397 3840
+rect 16461 3776 16477 3840
+rect 16541 3776 16557 3840
+rect 16621 3776 16637 3840
+rect 16701 3776 16729 3840
+rect 16129 3144 16729 3776
+rect 16129 3080 16157 3144
+rect 16221 3080 16237 3144
+rect 16301 3080 16317 3144
+rect 16381 3080 16397 3144
+rect 16461 3080 16477 3144
+rect 16541 3080 16557 3144
+rect 16621 3080 16637 3144
+rect 16701 3080 16729 3144
+rect 16129 2444 16729 3080
+rect 16129 2380 16157 2444
+rect 16221 2380 16237 2444
+rect 16301 2380 16317 2444
+rect 16381 2380 16397 2444
+rect 16461 2380 16477 2444
+rect 16541 2380 16557 2444
+rect 16621 2380 16637 2444
+rect 16701 2380 16729 2444
+rect 16129 2176 16729 2380
+rect 17461 7648 18061 7664
+rect 17461 7584 17489 7648
+rect 17553 7584 17569 7648
+rect 17633 7584 17649 7648
+rect 17713 7584 17729 7648
+rect 17793 7584 17809 7648
+rect 17873 7584 17889 7648
+rect 17953 7584 17969 7648
+rect 18033 7584 18061 7648
+rect 17461 6560 18061 7584
+rect 17461 6496 17489 6560
+rect 17553 6496 17569 6560
+rect 17633 6496 17649 6560
+rect 17713 6496 17729 6560
+rect 17793 6496 17809 6560
+rect 17873 6496 17889 6560
+rect 17953 6496 17969 6560
+rect 18033 6496 18061 6560
+rect 17461 5472 18061 6496
+rect 17461 5408 17489 5472
+rect 17553 5408 17569 5472
+rect 17633 5408 17649 5472
+rect 17713 5408 17729 5472
+rect 17793 5408 17809 5472
+rect 17873 5408 17889 5472
+rect 17953 5408 17969 5472
+rect 18033 5408 18061 5472
+rect 17461 2176 18061 5408
+rect 18794 7104 19394 7664
+rect 18794 7040 18822 7104
+rect 18886 7040 18902 7104
+rect 18966 7040 18982 7104
+rect 19046 7040 19062 7104
+rect 19126 7040 19142 7104
+rect 19206 7040 19222 7104
+rect 19286 7040 19302 7104
+rect 19366 7040 19394 7104
+rect 18794 6016 19394 7040
+rect 18794 5952 18822 6016
+rect 18886 5952 18902 6016
+rect 18966 5952 18982 6016
+rect 19046 5952 19062 6016
+rect 19126 5952 19142 6016
+rect 19206 5952 19222 6016
+rect 19286 5952 19302 6016
+rect 19366 5952 19394 6016
+rect 18794 4776 19394 5952
+rect 18794 4712 18822 4776
+rect 18886 4712 18902 4776
+rect 18966 4712 18982 4776
+rect 19046 4712 19062 4776
+rect 19126 4712 19142 4776
+rect 19206 4712 19222 4776
+rect 19286 4712 19302 4776
+rect 19366 4712 19394 4776
+rect 18794 4076 19394 4712
+rect 18794 4012 18822 4076
+rect 18886 4012 18902 4076
+rect 18966 4012 18982 4076
+rect 19046 4012 19062 4076
+rect 19126 4012 19142 4076
+rect 19206 4012 19222 4076
+rect 19286 4012 19302 4076
+rect 19366 4012 19394 4076
+rect 18794 3144 19394 4012
+rect 18794 3080 18822 3144
+rect 18886 3080 18902 3144
+rect 18966 3080 18982 3144
+rect 19046 3080 19062 3144
+rect 19126 3080 19142 3144
+rect 19206 3080 19222 3144
+rect 19286 3080 19302 3144
+rect 19366 3080 19394 3144
+rect 18794 2444 19394 3080
+rect 18794 2380 18822 2444
+rect 18886 2380 18902 2444
+rect 18966 2380 18982 2444
+rect 19046 2380 19062 2444
+rect 19126 2380 19142 2444
+rect 19206 2380 19222 2444
+rect 19286 2380 19302 2444
+rect 19366 2380 19394 2444
+rect 18794 2176 19394 2380
+rect 20126 7648 20726 7664
+rect 20126 7584 20154 7648
+rect 20218 7584 20234 7648
+rect 20298 7584 20314 7648
+rect 20378 7584 20394 7648
+rect 20458 7584 20474 7648
+rect 20538 7584 20554 7648
+rect 20618 7584 20634 7648
+rect 20698 7584 20726 7648
+rect 20126 6560 20726 7584
+rect 20126 6496 20154 6560
+rect 20218 6496 20234 6560
+rect 20298 6496 20314 6560
+rect 20378 6496 20394 6560
+rect 20458 6496 20474 6560
+rect 20538 6496 20554 6560
+rect 20618 6496 20634 6560
+rect 20698 6496 20726 6560
+rect 20126 5472 20726 6496
+rect 20126 5408 20154 5472
+rect 20218 5408 20234 5472
+rect 20298 5408 20314 5472
+rect 20378 5408 20394 5472
+rect 20458 5408 20474 5472
+rect 20538 5408 20554 5472
+rect 20618 5408 20634 5472
+rect 20698 5408 20726 5472
+rect 20126 4426 20726 5408
+rect 20126 4362 20154 4426
+rect 20218 4362 20234 4426
+rect 20298 4362 20314 4426
+rect 20378 4362 20394 4426
+rect 20458 4362 20474 4426
+rect 20538 4362 20554 4426
+rect 20618 4362 20634 4426
+rect 20698 4362 20726 4426
+rect 20126 2794 20726 4362
+rect 20126 2730 20154 2794
+rect 20218 2730 20234 2794
+rect 20298 2730 20314 2794
+rect 20378 2730 20394 2794
+rect 20458 2730 20474 2794
+rect 20538 2730 20554 2794
+rect 20618 2730 20634 2794
+rect 20698 2730 20726 2794
+rect 20126 2176 20726 2730
+rect 21459 7104 22059 7664
+rect 21459 7040 21487 7104
+rect 21551 7040 21567 7104
+rect 21631 7040 21647 7104
+rect 21711 7040 21727 7104
+rect 21791 7040 21807 7104
+rect 21871 7040 21887 7104
+rect 21951 7040 21967 7104
+rect 22031 7040 22059 7104
+rect 21459 6016 22059 7040
+rect 21459 5952 21487 6016
+rect 21551 5952 21567 6016
+rect 21631 5952 21647 6016
+rect 21711 5952 21727 6016
+rect 21791 5952 21807 6016
+rect 21871 5952 21887 6016
+rect 21951 5952 21967 6016
+rect 22031 5952 22059 6016
+rect 21459 2176 22059 5952
+rect 22791 7648 23391 7664
+rect 22791 7584 22819 7648
+rect 22883 7584 22899 7648
+rect 22963 7584 22979 7648
+rect 23043 7584 23059 7648
+rect 23123 7584 23139 7648
+rect 23203 7584 23219 7648
+rect 23283 7584 23299 7648
+rect 23363 7584 23391 7648
+rect 22791 6560 23391 7584
+rect 22791 6496 22819 6560
+rect 22883 6496 22899 6560
+rect 22963 6496 22979 6560
+rect 23043 6496 23059 6560
+rect 23123 6496 23139 6560
+rect 23203 6496 23219 6560
+rect 23283 6496 23299 6560
+rect 23363 6496 23391 6560
+rect 22791 5472 23391 6496
+rect 22791 5408 22819 5472
+rect 22883 5408 22899 5472
+rect 22963 5408 22979 5472
+rect 23043 5408 23059 5472
+rect 23123 5408 23139 5472
+rect 23203 5408 23219 5472
+rect 23283 5408 23299 5472
+rect 23363 5408 23391 5472
+rect 22791 4426 23391 5408
+rect 22791 4362 22819 4426
+rect 22883 4362 22899 4426
+rect 22963 4362 22979 4426
+rect 23043 4362 23059 4426
+rect 23123 4362 23139 4426
+rect 23203 4362 23219 4426
+rect 23283 4362 23299 4426
+rect 23363 4362 23391 4426
+rect 22791 2794 23391 4362
+rect 22791 2730 22819 2794
+rect 22883 2730 22899 2794
+rect 22963 2730 22979 2794
+rect 23043 2730 23059 2794
+rect 23123 2730 23139 2794
+rect 23203 2730 23219 2794
+rect 23283 2730 23299 2794
+rect 23363 2730 23391 2794
+rect 22791 2176 23391 2730
+rect 24124 7104 24724 7664
+rect 24124 7040 24152 7104
+rect 24216 7040 24232 7104
+rect 24296 7040 24312 7104
+rect 24376 7040 24392 7104
+rect 24456 7040 24472 7104
+rect 24536 7040 24552 7104
+rect 24616 7040 24632 7104
+rect 24696 7040 24724 7104
+rect 24124 6016 24724 7040
+rect 24124 5952 24152 6016
+rect 24216 5952 24232 6016
+rect 24296 5952 24312 6016
+rect 24376 5952 24392 6016
+rect 24456 5952 24472 6016
+rect 24536 5952 24552 6016
+rect 24616 5952 24632 6016
+rect 24696 5952 24724 6016
+rect 24124 4776 24724 5952
+rect 24124 4712 24152 4776
+rect 24216 4712 24232 4776
+rect 24296 4712 24312 4776
+rect 24376 4712 24392 4776
+rect 24456 4712 24472 4776
+rect 24536 4712 24552 4776
+rect 24616 4712 24632 4776
+rect 24696 4712 24724 4776
+rect 24124 4076 24724 4712
+rect 24124 4012 24152 4076
+rect 24216 4012 24232 4076
+rect 24296 4012 24312 4076
+rect 24376 4012 24392 4076
+rect 24456 4012 24472 4076
+rect 24536 4012 24552 4076
+rect 24616 4012 24632 4076
+rect 24696 4012 24724 4076
+rect 24124 3144 24724 4012
+rect 24124 3080 24152 3144
+rect 24216 3080 24232 3144
+rect 24296 3080 24312 3144
+rect 24376 3080 24392 3144
+rect 24456 3080 24472 3144
+rect 24536 3080 24552 3144
+rect 24616 3080 24632 3144
+rect 24696 3080 24724 3144
+rect 24124 2444 24724 3080
+rect 24124 2380 24152 2444
+rect 24216 2380 24232 2444
+rect 24296 2380 24312 2444
+rect 24376 2380 24392 2444
+rect 24456 2380 24472 2444
+rect 24536 2380 24552 2444
+rect 24616 2380 24632 2444
+rect 24696 2380 24724 2444
+rect 24124 2176 24724 2380
+rect 25456 7648 26056 7664
+rect 25456 7584 25484 7648
+rect 25548 7584 25564 7648
+rect 25628 7584 25644 7648
+rect 25708 7584 25724 7648
+rect 25788 7584 25804 7648
+rect 25868 7584 25884 7648
+rect 25948 7584 25964 7648
+rect 26028 7584 26056 7648
+rect 25456 6560 26056 7584
+rect 25456 6496 25484 6560
+rect 25548 6496 25564 6560
+rect 25628 6496 25644 6560
+rect 25708 6496 25724 6560
+rect 25788 6496 25804 6560
+rect 25868 6496 25884 6560
+rect 25948 6496 25964 6560
+rect 26028 6496 26056 6560
+rect 25456 5472 26056 6496
+rect 25456 5408 25484 5472
+rect 25548 5408 25564 5472
+rect 25628 5408 25644 5472
+rect 25708 5408 25724 5472
+rect 25788 5408 25804 5472
+rect 25868 5408 25884 5472
+rect 25948 5408 25964 5472
+rect 26028 5408 26056 5472
+rect 25456 4426 26056 5408
+rect 25456 4362 25484 4426
+rect 25548 4362 25564 4426
+rect 25628 4362 25644 4426
+rect 25708 4362 25724 4426
+rect 25788 4362 25804 4426
+rect 25868 4362 25884 4426
+rect 25948 4362 25964 4426
+rect 26028 4362 26056 4426
+rect 25456 2794 26056 4362
+rect 25456 2730 25484 2794
+rect 25548 2730 25564 2794
+rect 25628 2730 25644 2794
+rect 25708 2730 25724 2794
+rect 25788 2730 25804 2794
+rect 25868 2730 25884 2794
+rect 25948 2730 25964 2794
+rect 26028 2730 26056 2794
+rect 25456 2176 26056 2730
+rect 26789 7104 27389 7664
+rect 26789 7040 26817 7104
+rect 26881 7040 26897 7104
+rect 26961 7040 26977 7104
+rect 27041 7040 27057 7104
+rect 27121 7040 27137 7104
+rect 27201 7040 27217 7104
+rect 27281 7040 27297 7104
+rect 27361 7040 27389 7104
+rect 26789 6408 27389 7040
+rect 26789 6344 26817 6408
+rect 26881 6344 26897 6408
+rect 26961 6344 26977 6408
+rect 27041 6344 27057 6408
+rect 27121 6344 27137 6408
+rect 27201 6344 27217 6408
+rect 27281 6344 27297 6408
+rect 27361 6344 27389 6408
+rect 26789 5708 27389 6344
+rect 26789 5644 26817 5708
+rect 26881 5644 26897 5708
+rect 26961 5644 26977 5708
+rect 27041 5644 27057 5708
+rect 27121 5644 27137 5708
+rect 27201 5644 27217 5708
+rect 27281 5644 27297 5708
+rect 27361 5644 27389 5708
+rect 26789 4776 27389 5644
+rect 26789 4712 26817 4776
+rect 26881 4712 26897 4776
+rect 26961 4712 26977 4776
+rect 27041 4712 27057 4776
+rect 27121 4712 27137 4776
+rect 27201 4712 27217 4776
+rect 27281 4712 27297 4776
+rect 27361 4712 27389 4776
+rect 26789 4076 27389 4712
+rect 26789 4012 26817 4076
+rect 26881 4012 26897 4076
+rect 26961 4012 26977 4076
+rect 27041 4012 27057 4076
+rect 27121 4012 27137 4076
+rect 27201 4012 27217 4076
+rect 27281 4012 27297 4076
+rect 27361 4012 27389 4076
+rect 26789 3144 27389 4012
+rect 26789 3080 26817 3144
+rect 26881 3080 26897 3144
+rect 26961 3080 26977 3144
+rect 27041 3080 27057 3144
+rect 27121 3080 27137 3144
+rect 27201 3080 27217 3144
+rect 27281 3080 27297 3144
+rect 27361 3080 27389 3144
+rect 26789 2444 27389 3080
+rect 26789 2380 26817 2444
+rect 26881 2380 26897 2444
+rect 26961 2380 26977 2444
+rect 27041 2380 27057 2444
+rect 27121 2380 27137 2444
+rect 27201 2380 27217 2444
+rect 27281 2380 27297 2444
+rect 27361 2380 27389 2444
+rect 26789 2176 27389 2380
+rect 28121 7648 28721 7664
+rect 28121 7584 28149 7648
+rect 28213 7584 28229 7648
+rect 28293 7584 28309 7648
+rect 28373 7584 28389 7648
+rect 28453 7584 28469 7648
+rect 28533 7584 28549 7648
+rect 28613 7584 28629 7648
+rect 28693 7584 28721 7648
+rect 28121 6058 28721 7584
+rect 28121 5994 28149 6058
+rect 28213 5994 28229 6058
+rect 28293 5994 28309 6058
+rect 28373 5994 28389 6058
+rect 28453 5994 28469 6058
+rect 28533 5994 28549 6058
+rect 28613 5994 28629 6058
+rect 28693 5994 28721 6058
+rect 28121 4426 28721 5994
+rect 28121 4362 28149 4426
+rect 28213 4362 28229 4426
+rect 28293 4362 28309 4426
+rect 28373 4362 28389 4426
+rect 28453 4362 28469 4426
+rect 28533 4362 28549 4426
+rect 28613 4362 28629 4426
+rect 28693 4362 28721 4426
+rect 28121 2794 28721 4362
+rect 28121 2730 28149 2794
+rect 28213 2730 28229 2794
+rect 28293 2730 28309 2794
+rect 28373 2730 28389 2794
+rect 28453 2730 28469 2794
+rect 28533 2730 28549 2794
+rect 28613 2730 28629 2794
+rect 28693 2730 28721 2794
+rect 28121 2176 28721 2730
+rect 29454 7104 30054 7664
+rect 29454 7040 29482 7104
+rect 29546 7040 29562 7104
+rect 29626 7040 29642 7104
+rect 29706 7040 29722 7104
+rect 29786 7040 29802 7104
+rect 29866 7040 29882 7104
+rect 29946 7040 29962 7104
+rect 30026 7040 30054 7104
+rect 29454 6408 30054 7040
+rect 29454 6344 29482 6408
+rect 29546 6344 29562 6408
+rect 29626 6344 29642 6408
+rect 29706 6344 29722 6408
+rect 29786 6344 29802 6408
+rect 29866 6344 29882 6408
+rect 29946 6344 29962 6408
+rect 30026 6344 30054 6408
+rect 29454 5708 30054 6344
+rect 29454 5644 29482 5708
+rect 29546 5644 29562 5708
+rect 29626 5644 29642 5708
+rect 29706 5644 29722 5708
+rect 29786 5644 29802 5708
+rect 29866 5644 29882 5708
+rect 29946 5644 29962 5708
+rect 30026 5644 30054 5708
+rect 29454 4776 30054 5644
+rect 29454 4712 29482 4776
+rect 29546 4712 29562 4776
+rect 29626 4712 29642 4776
+rect 29706 4712 29722 4776
+rect 29786 4712 29802 4776
+rect 29866 4712 29882 4776
+rect 29946 4712 29962 4776
+rect 30026 4712 30054 4776
+rect 29454 4076 30054 4712
+rect 29454 4012 29482 4076
+rect 29546 4012 29562 4076
+rect 29626 4012 29642 4076
+rect 29706 4012 29722 4076
+rect 29786 4012 29802 4076
+rect 29866 4012 29882 4076
+rect 29946 4012 29962 4076
+rect 30026 4012 30054 4076
+rect 29454 3144 30054 4012
+rect 29454 3080 29482 3144
+rect 29546 3080 29562 3144
+rect 29626 3080 29642 3144
+rect 29706 3080 29722 3144
+rect 29786 3080 29802 3144
+rect 29866 3080 29882 3144
+rect 29946 3080 29962 3144
+rect 30026 3080 30054 3144
+rect 29454 2444 30054 3080
+rect 29454 2380 29482 2444
+rect 29546 2380 29562 2444
+rect 29626 2380 29642 2444
+rect 29706 2380 29722 2444
+rect 29786 2380 29802 2444
+rect 29866 2380 29882 2444
+rect 29946 2380 29962 2444
+rect 30026 2380 30054 2444
+rect 29454 2176 30054 2380
+rect 30786 7648 31386 7664
+rect 30786 7584 30814 7648
+rect 30878 7584 30894 7648
+rect 30958 7584 30974 7648
+rect 31038 7584 31054 7648
+rect 31118 7584 31134 7648
+rect 31198 7584 31214 7648
+rect 31278 7584 31294 7648
+rect 31358 7584 31386 7648
+rect 30786 6058 31386 7584
+rect 30786 5994 30814 6058
+rect 30878 5994 30894 6058
+rect 30958 5994 30974 6058
+rect 31038 5994 31054 6058
+rect 31118 5994 31134 6058
+rect 31198 5994 31214 6058
+rect 31278 5994 31294 6058
+rect 31358 5994 31386 6058
+rect 30786 4426 31386 5994
+rect 30786 4362 30814 4426
+rect 30878 4362 30894 4426
+rect 30958 4362 30974 4426
+rect 31038 4362 31054 4426
+rect 31118 4362 31134 4426
+rect 31198 4362 31214 4426
+rect 31278 4362 31294 4426
+rect 31358 4362 31386 4426
+rect 30786 2794 31386 4362
+rect 30786 2730 30814 2794
+rect 30878 2730 30894 2794
+rect 30958 2730 30974 2794
+rect 31038 2730 31054 2794
+rect 31118 2730 31134 2794
+rect 31198 2730 31214 2794
+rect 31278 2730 31294 2794
+rect 31358 2730 31386 2794
+rect 30786 2176 31386 2730
+rect 32119 7104 32719 7664
+rect 32119 7040 32147 7104
+rect 32211 7040 32227 7104
+rect 32291 7040 32307 7104
+rect 32371 7040 32387 7104
+rect 32451 7040 32467 7104
+rect 32531 7040 32547 7104
+rect 32611 7040 32627 7104
+rect 32691 7040 32719 7104
+rect 32119 6408 32719 7040
+rect 32119 6344 32147 6408
+rect 32211 6344 32227 6408
+rect 32291 6344 32307 6408
+rect 32371 6344 32387 6408
+rect 32451 6344 32467 6408
+rect 32531 6344 32547 6408
+rect 32611 6344 32627 6408
+rect 32691 6344 32719 6408
+rect 32119 5708 32719 6344
+rect 32119 5644 32147 5708
+rect 32211 5644 32227 5708
+rect 32291 5644 32307 5708
+rect 32371 5644 32387 5708
+rect 32451 5644 32467 5708
+rect 32531 5644 32547 5708
+rect 32611 5644 32627 5708
+rect 32691 5644 32719 5708
+rect 32119 4776 32719 5644
+rect 32119 4712 32147 4776
+rect 32211 4712 32227 4776
+rect 32291 4712 32307 4776
+rect 32371 4712 32387 4776
+rect 32451 4712 32467 4776
+rect 32531 4712 32547 4776
+rect 32611 4712 32627 4776
+rect 32691 4712 32719 4776
+rect 32119 4076 32719 4712
+rect 32119 4012 32147 4076
+rect 32211 4012 32227 4076
+rect 32291 4012 32307 4076
+rect 32371 4012 32387 4076
+rect 32451 4012 32467 4076
+rect 32531 4012 32547 4076
+rect 32611 4012 32627 4076
+rect 32691 4012 32719 4076
+rect 32119 3144 32719 4012
+rect 32119 3080 32147 3144
+rect 32211 3080 32227 3144
+rect 32291 3080 32307 3144
+rect 32371 3080 32387 3144
+rect 32451 3080 32467 3144
+rect 32531 3080 32547 3144
+rect 32611 3080 32627 3144
+rect 32691 3080 32719 3144
+rect 32119 2444 32719 3080
+rect 32119 2380 32147 2444
+rect 32211 2380 32227 2444
+rect 32291 2380 32307 2444
+rect 32371 2380 32387 2444
+rect 32451 2380 32467 2444
+rect 32531 2380 32547 2444
+rect 32611 2380 32627 2444
+rect 32691 2380 32719 2444
+rect 32119 2176 32719 2380
+rect 33451 7648 34051 7664
+rect 33451 7584 33479 7648
+rect 33543 7584 33559 7648
+rect 33623 7584 33639 7648
+rect 33703 7584 33719 7648
+rect 33783 7584 33799 7648
+rect 33863 7584 33879 7648
+rect 33943 7584 33959 7648
+rect 34023 7584 34051 7648
+rect 33451 6058 34051 7584
+rect 33451 5994 33479 6058
+rect 33543 5994 33559 6058
+rect 33623 5994 33639 6058
+rect 33703 5994 33719 6058
+rect 33783 5994 33799 6058
+rect 33863 5994 33879 6058
+rect 33943 5994 33959 6058
+rect 34023 5994 34051 6058
+rect 33451 4426 34051 5994
+rect 33451 4362 33479 4426
+rect 33543 4362 33559 4426
+rect 33623 4362 33639 4426
+rect 33703 4362 33719 4426
+rect 33783 4362 33799 4426
+rect 33863 4362 33879 4426
+rect 33943 4362 33959 4426
+rect 34023 4362 34051 4426
+rect 33451 2794 34051 4362
+rect 33451 2730 33479 2794
+rect 33543 2730 33559 2794
+rect 33623 2730 33639 2794
+rect 33703 2730 33719 2794
+rect 33783 2730 33799 2794
+rect 33863 2730 33879 2794
+rect 33943 2730 33959 2794
+rect 34023 2730 34051 2794
+rect 33451 2176 34051 2730
+rect 34784 7104 35384 7664
+rect 34784 7040 34812 7104
+rect 34876 7040 34892 7104
+rect 34956 7040 34972 7104
+rect 35036 7040 35052 7104
+rect 35116 7040 35132 7104
+rect 35196 7040 35212 7104
+rect 35276 7040 35292 7104
+rect 35356 7040 35384 7104
+rect 34784 6408 35384 7040
+rect 34784 6344 34812 6408
+rect 34876 6344 34892 6408
+rect 34956 6344 34972 6408
+rect 35036 6344 35052 6408
+rect 35116 6344 35132 6408
+rect 35196 6344 35212 6408
+rect 35276 6344 35292 6408
+rect 35356 6344 35384 6408
+rect 34784 5708 35384 6344
+rect 34784 5644 34812 5708
+rect 34876 5644 34892 5708
+rect 34956 5644 34972 5708
+rect 35036 5644 35052 5708
+rect 35116 5644 35132 5708
+rect 35196 5644 35212 5708
+rect 35276 5644 35292 5708
+rect 35356 5644 35384 5708
+rect 34784 4776 35384 5644
+rect 34784 4712 34812 4776
+rect 34876 4712 34892 4776
+rect 34956 4712 34972 4776
+rect 35036 4712 35052 4776
+rect 35116 4712 35132 4776
+rect 35196 4712 35212 4776
+rect 35276 4712 35292 4776
+rect 35356 4712 35384 4776
+rect 34784 4076 35384 4712
+rect 34784 4012 34812 4076
+rect 34876 4012 34892 4076
+rect 34956 4012 34972 4076
+rect 35036 4012 35052 4076
+rect 35116 4012 35132 4076
+rect 35196 4012 35212 4076
+rect 35276 4012 35292 4076
+rect 35356 4012 35384 4076
+rect 34784 3144 35384 4012
+rect 34784 3080 34812 3144
+rect 34876 3080 34892 3144
+rect 34956 3080 34972 3144
+rect 35036 3080 35052 3144
+rect 35116 3080 35132 3144
+rect 35196 3080 35212 3144
+rect 35276 3080 35292 3144
+rect 35356 3080 35384 3144
+rect 34784 2444 35384 3080
+rect 34784 2380 34812 2444
+rect 34876 2380 34892 2444
+rect 34956 2380 34972 2444
+rect 35036 2380 35052 2444
+rect 35116 2380 35132 2444
+rect 35196 2380 35212 2444
+rect 35276 2380 35292 2444
+rect 35356 2380 35384 2444
+rect 34784 2176 35384 2380
+rect 36116 7648 36716 7664
+rect 36116 7584 36144 7648
+rect 36208 7584 36224 7648
+rect 36288 7584 36304 7648
+rect 36368 7584 36384 7648
+rect 36448 7584 36464 7648
+rect 36528 7584 36544 7648
+rect 36608 7584 36624 7648
+rect 36688 7584 36716 7648
+rect 36116 6058 36716 7584
+rect 36116 5994 36144 6058
+rect 36208 5994 36224 6058
+rect 36288 5994 36304 6058
+rect 36368 5994 36384 6058
+rect 36448 5994 36464 6058
+rect 36528 5994 36544 6058
+rect 36608 5994 36624 6058
+rect 36688 5994 36716 6058
+rect 36116 4426 36716 5994
+rect 36116 4362 36144 4426
+rect 36208 4362 36224 4426
+rect 36288 4362 36304 4426
+rect 36368 4362 36384 4426
+rect 36448 4362 36464 4426
+rect 36528 4362 36544 4426
+rect 36608 4362 36624 4426
+rect 36688 4362 36716 4426
+rect 36116 2794 36716 4362
+rect 36116 2730 36144 2794
+rect 36208 2730 36224 2794
+rect 36288 2730 36304 2794
+rect 36368 2730 36384 2794
+rect 36448 2730 36464 2794
+rect 36528 2730 36544 2794
+rect 36608 2730 36624 2794
+rect 36688 2730 36716 2794
+rect 36116 2176 36716 2730
+rect 37449 7104 38049 7664
+rect 37449 7040 37477 7104
+rect 37541 7040 37557 7104
+rect 37621 7040 37637 7104
+rect 37701 7040 37717 7104
+rect 37781 7040 37797 7104
+rect 37861 7040 37877 7104
+rect 37941 7040 37957 7104
+rect 38021 7040 38049 7104
+rect 37449 6408 38049 7040
+rect 37449 6344 37477 6408
+rect 37541 6344 37557 6408
+rect 37621 6344 37637 6408
+rect 37701 6344 37717 6408
+rect 37781 6344 37797 6408
+rect 37861 6344 37877 6408
+rect 37941 6344 37957 6408
+rect 38021 6344 38049 6408
+rect 37449 5708 38049 6344
+rect 37449 5644 37477 5708
+rect 37541 5644 37557 5708
+rect 37621 5644 37637 5708
+rect 37701 5644 37717 5708
+rect 37781 5644 37797 5708
+rect 37861 5644 37877 5708
+rect 37941 5644 37957 5708
+rect 38021 5644 38049 5708
+rect 37449 4776 38049 5644
+rect 37449 4712 37477 4776
+rect 37541 4712 37557 4776
+rect 37621 4712 37637 4776
+rect 37701 4712 37717 4776
+rect 37781 4712 37797 4776
+rect 37861 4712 37877 4776
+rect 37941 4712 37957 4776
+rect 38021 4712 38049 4776
+rect 37449 4076 38049 4712
+rect 37449 4012 37477 4076
+rect 37541 4012 37557 4076
+rect 37621 4012 37637 4076
+rect 37701 4012 37717 4076
+rect 37781 4012 37797 4076
+rect 37861 4012 37877 4076
+rect 37941 4012 37957 4076
+rect 38021 4012 38049 4076
+rect 37449 3144 38049 4012
+rect 37449 3080 37477 3144
+rect 37541 3080 37557 3144
+rect 37621 3080 37637 3144
+rect 37701 3080 37717 3144
+rect 37781 3080 37797 3144
+rect 37861 3080 37877 3144
+rect 37941 3080 37957 3144
+rect 38021 3080 38049 3144
+rect 37449 2444 38049 3080
+rect 37449 2380 37477 2444
+rect 37541 2380 37557 2444
+rect 37621 2380 37637 2444
+rect 37701 2380 37717 2444
+rect 37781 2380 37797 2444
+rect 37861 2380 37877 2444
+rect 37941 2380 37957 2444
+rect 38021 2380 38049 2444
+rect 37449 2176 38049 2380
+rect 4136 2128 4736 2144
+use sky130_fd_sc_hd__decap_12  FILLER_0_3
+timestamp 1654316057
+transform 1 0 1380 0 1 2176
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_15
+timestamp 1654316057
+transform 1 0 2484 0 1 2176
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_0_27
+timestamp 1654316057
+transform 1 0 3588 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_29
+timestamp 1654316057
+transform 1 0 3772 0 1 2176
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_0_41
+timestamp 1654316057
+transform 1 0 4876 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_3
+timestamp 1654316057
+transform 1 0 1380 0 -1 3264
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_1_15
+timestamp 1654316057
+transform 1 0 2484 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_21
+timestamp 1654316057
+transform 1 0 3036 0 -1 3264
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_32
+timestamp 1654316057
+transform 1 0 4048 0 -1 3264
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_1_40
+timestamp 1654316057
+transform 1 0 4784 0 -1 3264
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_3
+timestamp 1654316057
+transform 1 0 1380 0 1 3264
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_15
+timestamp 1654316057
+transform 1 0 2484 0 1 3264
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_2_27
+timestamp 1654316057
+transform 1 0 3588 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_29
+timestamp 1654316057
+transform 1 0 3772 0 1 3264
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_2_41
+timestamp 1654316057
+transform 1 0 4876 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_3
+timestamp 1654316057
+transform 1 0 1380 0 -1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_15
+timestamp 1654316057
+transform 1 0 2484 0 -1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_27
+timestamp 1654316057
+transform 1 0 3588 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_29
+timestamp 1654316057
+transform 1 0 3772 0 -1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_3_41
+timestamp 1654316057
+transform 1 0 4876 0 -1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_45
+timestamp 1654316057
+transform 1 0 5244 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_3_65
+timestamp 1654316057
+transform 1 0 7084 0 -1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4  FILLER_3_121
+timestamp 1654316057
+transform 1 0 12236 0 -1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_125
+timestamp 1654316057
+transform 1 0 12604 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_167
+timestamp 1654316057
+transform 1 0 16468 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_169
+timestamp 1654316057
+transform 1 0 16652 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_3
+timestamp 1654316057
+transform 1 0 1380 0 1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_15
+timestamp 1654316057
+transform 1 0 2484 0 1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_27
+timestamp 1654316057
+transform 1 0 3588 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_29
+timestamp 1654316057
+transform 1 0 3772 0 1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_41
+timestamp 1654316057
+transform 1 0 4876 0 1 4352
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_73
+timestamp 1654316057
+transform 1 0 7820 0 1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_108
+timestamp 1654316057
+transform 1 0 11040 0 1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_131
+timestamp 1654316057
+transform 1 0 13156 0 1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_154
+timestamp 1654316057
+transform 1 0 15272 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_178
+timestamp 1654316057
+transform 1 0 17480 0 1 4352
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_3
+timestamp 1654316057
+transform 1 0 1380 0 -1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_15
+timestamp 1654316057
+transform 1 0 2484 0 -1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_27
+timestamp 1654316057
+transform 1 0 3588 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_29
+timestamp 1654316057
+transform 1 0 3772 0 -1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_41
+timestamp 1654316057
+transform 1 0 4876 0 -1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_5_53
+timestamp 1654316057
+transform 1 0 5980 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_57
+timestamp 1654316057
+transform 1 0 6348 0 -1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_69
+timestamp 1654316057
+transform 1 0 7452 0 -1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_5_81
+timestamp 1654316057
+transform 1 0 8556 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_4  FILLER_5_113
+timestamp 1654316057
+transform 1 0 11500 0 -1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_131
+timestamp 1654316057
+transform 1 0 13156 0 -1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_139
+timestamp 1654316057
+transform 1 0 13892 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_141
+timestamp 1654316057
+transform 1 0 14076 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_179
+timestamp 1654316057
+transform 1 0 17572 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_3
+timestamp 1654316057
+transform 1 0 1380 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_15
+timestamp 1654316057
+transform 1 0 2484 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_27
+timestamp 1654316057
+transform 1 0 3588 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_29
+timestamp 1654316057
+transform 1 0 3772 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_41
+timestamp 1654316057
+transform 1 0 4876 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_53
+timestamp 1654316057
+transform 1 0 5980 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_57
+timestamp 1654316057
+transform 1 0 6348 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_69
+timestamp 1654316057
+transform 1 0 7452 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_81
+timestamp 1654316057
+transform 1 0 8556 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_85
+timestamp 1654316057
+transform 1 0 8924 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_97
+timestamp 1654316057
+transform 1 0 10028 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_6_106
+timestamp 1654316057
+transform 1 0 10856 0 1 5440
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_113
+timestamp 1654316057
+transform 1 0 11500 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_125
+timestamp 1654316057
+transform 1 0 12604 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_137
+timestamp 1654316057
+transform 1 0 13708 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_141
+timestamp 1654316057
+transform 1 0 14076 0 1 5440
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_153
+timestamp 1654316057
+transform 1 0 15180 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_6_162
+timestamp 1654316057
+transform 1 0 16008 0 1 5440
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_177
+timestamp 1654316057
+transform 1 0 17388 0 1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_186
+timestamp 1654316057
+transform 1 0 18216 0 1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_197
+timestamp 1654316057
+transform 1 0 19228 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_223
+timestamp 1654316057
+transform 1 0 21620 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_6_225
+timestamp 1654316057
+transform 1 0 21804 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_250
+timestamp 1654316057
+transform 1 0 24104 0 1 5440
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_3
+timestamp 1654316057
+transform 1 0 1380 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_15
+timestamp 1654316057
+transform 1 0 2484 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_27
+timestamp 1654316057
+transform 1 0 3588 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_39
+timestamp 1654316057
+transform 1 0 4692 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_7_51
+timestamp 1654316057
+transform 1 0 5796 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_55
+timestamp 1654316057
+transform 1 0 6164 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_57
+timestamp 1654316057
+transform 1 0 6348 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_69
+timestamp 1654316057
+transform 1 0 7452 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_81
+timestamp 1654316057
+transform 1 0 8556 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_93
+timestamp 1654316057
+transform 1 0 9660 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_7_105
+timestamp 1654316057
+transform 1 0 10764 0 -1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_111
+timestamp 1654316057
+transform 1 0 11316 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_113
+timestamp 1654316057
+transform 1 0 11500 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_125
+timestamp 1654316057
+transform 1 0 12604 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_137
+timestamp 1654316057
+transform 1 0 13708 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_149
+timestamp 1654316057
+transform 1 0 14812 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_7_161
+timestamp 1654316057
+transform 1 0 15916 0 -1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_167
+timestamp 1654316057
+transform 1 0 16468 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_7_169
+timestamp 1654316057
+transform 1 0 16652 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_173
+timestamp 1654316057
+transform 1 0 17020 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_177
+timestamp 1654316057
+transform 1 0 17388 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_189
+timestamp 1654316057
+transform 1 0 18492 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_201
+timestamp 1654316057
+transform 1 0 19596 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_213
+timestamp 1654316057
+transform 1 0 20700 0 -1 6528
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_221
+timestamp 1654316057
+transform 1 0 21436 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_7_233
+timestamp 1654316057
+transform 1 0 22540 0 -1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_7_245
+timestamp 1654316057
+transform 1 0 23644 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_249
+timestamp 1654316057
+transform 1 0 24012 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_254
+timestamp 1654316057
+transform 1 0 24472 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_262
+timestamp 1654316057
+transform 1 0 25208 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_270
+timestamp 1654316057
+transform 1 0 25944 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_3
+timestamp 1654316057
+transform 1 0 1380 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_15
+timestamp 1654316057
+transform 1 0 2484 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_27
+timestamp 1654316057
+transform 1 0 3588 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_29
+timestamp 1654316057
+transform 1 0 3772 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_41
+timestamp 1654316057
+transform 1 0 4876 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_53
+timestamp 1654316057
+transform 1 0 5980 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_65
+timestamp 1654316057
+transform 1 0 7084 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_8_77
+timestamp 1654316057
+transform 1 0 8188 0 1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_83
+timestamp 1654316057
+transform 1 0 8740 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_85
+timestamp 1654316057
+transform 1 0 8924 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_97
+timestamp 1654316057
+transform 1 0 10028 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_109
+timestamp 1654316057
+transform 1 0 11132 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_121
+timestamp 1654316057
+transform 1 0 12236 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_8_133
+timestamp 1654316057
+transform 1 0 13340 0 1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_139
+timestamp 1654316057
+transform 1 0 13892 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_141
+timestamp 1654316057
+transform 1 0 14076 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_153
+timestamp 1654316057
+transform 1 0 15180 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_165
+timestamp 1654316057
+transform 1 0 16284 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_177
+timestamp 1654316057
+transform 1 0 17388 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_8_189
+timestamp 1654316057
+transform 1 0 18492 0 1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_195
+timestamp 1654316057
+transform 1 0 19044 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_197
+timestamp 1654316057
+transform 1 0 19228 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_209
+timestamp 1654316057
+transform 1 0 20332 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_221
+timestamp 1654316057
+transform 1 0 21436 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_233
+timestamp 1654316057
+transform 1 0 22540 0 1 6528
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_8_245
+timestamp 1654316057
+transform 1 0 23644 0 1 6528
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_251
+timestamp 1654316057
+transform 1 0 24196 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_253
+timestamp 1654316057
+transform 1 0 24380 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_257
+timestamp 1654316057
+transform 1 0 24748 0 1 6528
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_8_265
+timestamp 1654316057
+transform 1 0 25484 0 1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_3
+timestamp 1654316057
+transform 1 0 1380 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_15
+timestamp 1654316057
+transform 1 0 2484 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_9_27
+timestamp 1654316057
+transform 1 0 3588 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_29
+timestamp 1654316057
+transform 1 0 3772 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_41
+timestamp 1654316057
+transform 1 0 4876 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_53
+timestamp 1654316057
+transform 1 0 5980 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_57
+timestamp 1654316057
+transform 1 0 6348 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_69
+timestamp 1654316057
+transform 1 0 7452 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_81
+timestamp 1654316057
+transform 1 0 8556 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_85
+timestamp 1654316057
+transform 1 0 8924 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_97
+timestamp 1654316057
+transform 1 0 10028 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_109
+timestamp 1654316057
+transform 1 0 11132 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_113
+timestamp 1654316057
+transform 1 0 11500 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_125
+timestamp 1654316057
+transform 1 0 12604 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_137
+timestamp 1654316057
+transform 1 0 13708 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_141
+timestamp 1654316057
+transform 1 0 14076 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_153
+timestamp 1654316057
+transform 1 0 15180 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_165
+timestamp 1654316057
+transform 1 0 16284 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_169
+timestamp 1654316057
+transform 1 0 16652 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_181
+timestamp 1654316057
+transform 1 0 17756 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_193
+timestamp 1654316057
+transform 1 0 18860 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_197
+timestamp 1654316057
+transform 1 0 19228 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_209
+timestamp 1654316057
+transform 1 0 20332 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_221
+timestamp 1654316057
+transform 1 0 21436 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_225
+timestamp 1654316057
+transform 1 0 21804 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_237
+timestamp 1654316057
+transform 1 0 22908 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_249
+timestamp 1654316057
+transform 1 0 24012 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_253
+timestamp 1654316057
+transform 1 0 24380 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_265
+timestamp 1654316057
+transform 1 0 25484 0 -1 7616
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_277
+timestamp 1654316057
+transform 1 0 26588 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_9_289
+timestamp 1654316057
+transform 1 0 27692 0 -1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_2  FILLER_9_306
+timestamp 1654316057
+transform 1 0 29256 0 -1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_1  FILLER_9_309
+timestamp 1654316057
+transform 1 0 29532 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_9_335
+timestamp 1654316057
+transform 1 0 31924 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_9_337
+timestamp 1654316057
+transform 1 0 32108 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_9_341
+timestamp 1654316057
+transform 1 0 32476 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_9_386
+timestamp 1654316057
+transform 1 0 36616 0 -1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__fill_2  FILLER_9_393
+timestamp 1654316057
+transform 1 0 37260 0 -1 7616
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  PHY_0
+timestamp 1654316057
+transform 1 0 1104 0 1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_1
+timestamp 1654316057
+transform -1 0 5244 0 1 2176
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_2
+timestamp 1654316057
+transform 1 0 1104 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_3
+timestamp 1654316057
+transform -1 0 5244 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_4
+timestamp 1654316057
+transform 1 0 1104 0 1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_5
+timestamp 1654316057
+transform -1 0 5244 0 1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_6
+timestamp 1654316057
+transform 1 0 1104 0 -1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_7
+timestamp 1654316057
+transform -1 0 17940 0 -1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_8
+timestamp 1654316057
+transform 1 0 1104 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_9
+timestamp 1654316057
+transform -1 0 17940 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_10
+timestamp 1654316057
+transform 1 0 1104 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_11
+timestamp 1654316057
+transform -1 0 17940 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_12
+timestamp 1654316057
+transform 1 0 1104 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_13
+timestamp 1654316057
+transform -1 0 26312 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_14
+timestamp 1654316057
+transform 1 0 1104 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_15
+timestamp 1654316057
+transform -1 0 26312 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_16
+timestamp 1654316057
+transform 1 0 1104 0 1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_17
+timestamp 1654316057
+transform -1 0 26312 0 1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_18
+timestamp 1654316057
+transform 1 0 1104 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_19
+timestamp 1654316057
+transform -1 0 38824 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_20
+timestamp 1654316057
+transform 1 0 3680 0 1 2176
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_21
+timestamp 1654316057
+transform 1 0 3680 0 1 3264
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_22
+timestamp 1654316057
+transform 1 0 3680 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_23
+timestamp 1654316057
+transform 1 0 6256 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_24
+timestamp 1654316057
+transform 1 0 8832 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_25
+timestamp 1654316057
+transform 1 0 11408 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_26
+timestamp 1654316057
+transform 1 0 13984 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_27
+timestamp 1654316057
+transform 1 0 16560 0 -1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_28
+timestamp 1654316057
+transform 1 0 3680 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_29
+timestamp 1654316057
+transform 1 0 8832 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_30
+timestamp 1654316057
+transform 1 0 13984 0 1 4352
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_31
+timestamp 1654316057
+transform 1 0 3680 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_32
+timestamp 1654316057
+transform 1 0 6256 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_33
+timestamp 1654316057
+transform 1 0 8832 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_34
+timestamp 1654316057
+transform 1 0 11408 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_35
+timestamp 1654316057
+transform 1 0 13984 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_36
+timestamp 1654316057
+transform 1 0 16560 0 -1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_37
+timestamp 1654316057
+transform 1 0 3680 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_38
+timestamp 1654316057
+transform 1 0 6256 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_39
+timestamp 1654316057
+transform 1 0 8832 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_40
+timestamp 1654316057
+transform 1 0 11408 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_41
+timestamp 1654316057
+transform 1 0 13984 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_42
+timestamp 1654316057
+transform 1 0 16560 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_43
+timestamp 1654316057
+transform 1 0 19136 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_44
+timestamp 1654316057
+transform 1 0 21712 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_45
+timestamp 1654316057
+transform 1 0 24288 0 1 5440
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_46
+timestamp 1654316057
+transform 1 0 6256 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_47
+timestamp 1654316057
+transform 1 0 11408 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_48
+timestamp 1654316057
+transform 1 0 16560 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_49
+timestamp 1654316057
+transform 1 0 21712 0 -1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_50
+timestamp 1654316057
+transform 1 0 3680 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_51
+timestamp 1654316057
+transform 1 0 8832 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_52
+timestamp 1654316057
+transform 1 0 13984 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_53
+timestamp 1654316057
+transform 1 0 19136 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_54
+timestamp 1654316057
+transform 1 0 24288 0 1 6528
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_55
+timestamp 1654316057
+transform 1 0 3680 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_56
+timestamp 1654316057
+transform 1 0 6256 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_57
+timestamp 1654316057
+transform 1 0 8832 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_58
+timestamp 1654316057
+transform 1 0 11408 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_59
+timestamp 1654316057
+transform 1 0 13984 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_60
+timestamp 1654316057
+transform 1 0 16560 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_61
+timestamp 1654316057
+transform 1 0 19136 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_62
+timestamp 1654316057
+transform 1 0 21712 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_63
+timestamp 1654316057
+transform 1 0 24288 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_64
+timestamp 1654316057
+transform 1 0 26864 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_65
+timestamp 1654316057
+transform 1 0 29440 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_66
+timestamp 1654316057
+transform 1 0 32016 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_67
+timestamp 1654316057
+transform 1 0 34592 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  TAP_68
+timestamp 1654316057
+transform 1 0 37168 0 -1 7616
+box -38 -48 130 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _082_
+timestamp 1654316057
+transform -1 0 20516 0 1 5440
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_2  _083_
+timestamp 1654316057
+transform -1 0 27324 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _084_
+timestamp 1654316057
+transform -1 0 12328 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_2  _085_
+timestamp 1654316057
+transform 1 0 24380 0 1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__or4b_1  _086_
+timestamp 1654316057
+transform 1 0 18400 0 1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _087_
+timestamp 1654316057
+transform -1 0 19596 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _088_
+timestamp 1654316057
+transform -1 0 17664 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _089_
+timestamp 1654316057
+transform 1 0 16284 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__or4b_1  _090_
+timestamp 1654316057
+transform 1 0 16652 0 1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _091_
+timestamp 1654316057
+transform -1 0 17480 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2  _092_
+timestamp 1654316057
+transform 1 0 17848 0 1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  _093_
+timestamp 1654316057
+transform 1 0 15364 0 -1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  _094_
+timestamp 1654316057
+transform -1 0 11408 0 -1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  _095_
+timestamp 1654316057
+transform -1 0 13984 0 -1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__or4bb_1  _096_
+timestamp 1654316057
+transform 1 0 23276 0 1 5440
+box -38 -48 866 592
+use sky130_fd_sc_hd__clkbuf_1  _097_
+timestamp 1654316057
+transform -1 0 24840 0 -1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__or4b_1  _098_
+timestamp 1654316057
+transform -1 0 16008 0 1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _099_
+timestamp 1654316057
+transform 1 0 10764 0 -1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2  _100_
+timestamp 1654316057
+transform 1 0 11868 0 -1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__or4bb_1  _101_
+timestamp 1654316057
+transform 1 0 8004 0 1 4352
+box -38 -48 866 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _102_
+timestamp 1654316057
+transform 1 0 8924 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__or4bb_1  _103_
+timestamp 1654316057
+transform 1 0 15732 0 -1 5440
+box -38 -48 866 592
+use sky130_fd_sc_hd__clkbuf_1  _104_
+timestamp 1654316057
+transform -1 0 17848 0 1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__clkbuf_2  _105_
+timestamp 1654316057
+transform 1 0 24104 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  _106_
+timestamp 1654316057
+transform 1 0 27324 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__buf_2  _107_
+timestamp 1654316057
+transform 1 0 22264 0 1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__buf_2  _108_
+timestamp 1654316057
+transform 1 0 24840 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__nand4b_4  _109_
+timestamp 1654316057
+transform 1 0 29624 0 -1 7616
+box -38 -48 1786 592
+use sky130_fd_sc_hd__or4b_2  _110_
+timestamp 1654316057
+transform 1 0 20516 0 1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _111_
+timestamp 1654316057
+transform -1 0 32844 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _112_
+timestamp 1654316057
+transform -1 0 10764 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__or4bb_1  _113_
+timestamp 1654316057
+transform 1 0 12328 0 1 4352
+box -38 -48 866 592
+use sky130_fd_sc_hd__clkbuf_1  _114_
+timestamp 1654316057
+transform 1 0 14996 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__or4bb_1  _115_
+timestamp 1654316057
+transform 1 0 25208 0 1 5440
+box -38 -48 866 592
+use sky130_fd_sc_hd__clkbuf_1  _116_
+timestamp 1654316057
+transform -1 0 28152 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__nand4b_1  _117_
+timestamp 1654316057
+transform 1 0 28612 0 -1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__or4bb_1  _118_
+timestamp 1654316057
+transform -1 0 10672 0 -1 5440
+box -38 -48 866 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _119_
+timestamp 1654316057
+transform -1 0 7820 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__buf_2  _120_
+timestamp 1654316057
+transform 1 0 21252 0 1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  _121_
+timestamp 1654316057
+transform 1 0 21896 0 1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__clkbuf_2  _122_
+timestamp 1654316057
+transform -1 0 8556 0 -1 5440
+box -38 -48 406 592
+use sky130_fd_sc_hd__buf_2  _123_
+timestamp 1654316057
+transform 1 0 21804 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__nand4b_1  _124_
+timestamp 1654316057
+transform 1 0 35972 0 -1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__clkbuf_2  _125_
+timestamp 1654316057
+transform 1 0 22172 0 -1 6528
+box -38 -48 406 592
+use sky130_fd_sc_hd__nand4b_1  _126_
+timestamp 1654316057
+transform 1 0 34684 0 -1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__nand4_1  _127_
+timestamp 1654316057
+transform 1 0 24748 0 1 5440
+box -38 -48 498 592
+use sky130_fd_sc_hd__or4_1  _128_
+timestamp 1654316057
+transform 1 0 14076 0 -1 4352
+box -38 -48 590 592
+use sky130_fd_sc_hd__clkbuf_1  _129_
+timestamp 1654316057
+transform -1 0 24748 0 1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor4_1  _130_
+timestamp 1654316057
+transform -1 0 28612 0 -1 7616
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor4b_1  _131_
+timestamp 1654316057
+transform -1 0 25944 0 -1 6528
+box -38 -48 682 592
+use sky130_fd_sc_hd__nor4b_1  _132_
+timestamp 1654316057
+transform 1 0 22632 0 1 5440
+box -38 -48 682 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _133_
+timestamp 1654316057
+transform -1 0 16284 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _134_
+timestamp 1654316057
+transform -1 0 13616 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_1  _135_
+timestamp 1654316057
+transform 1 0 10764 0 1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__and4bb_1  _136_
+timestamp 1654316057
+transform 1 0 5980 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _137_
+timestamp 1654316057
+transform 1 0 12236 0 -1 5440
+box -38 -48 958 592
+use sky130_fd_sc_hd__nor4b_4  _138_
+timestamp 1654316057
+transform -1 0 34592 0 -1 7616
+box -38 -48 1786 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _139_
+timestamp 1654316057
+transform -1 0 15548 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _140_
+timestamp 1654316057
+transform -1 0 9844 0 -1 5440
+box -38 -48 958 592
+use sky130_fd_sc_hd__and4bb_1  _141_
+timestamp 1654316057
+transform -1 0 9844 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_1  _142_
+timestamp 1654316057
+transform -1 0 8832 0 -1 4352
+box -38 -48 314 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _143_
+timestamp 1654316057
+transform 1 0 15548 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__and4bb_1  _144_
+timestamp 1654316057
+transform -1 0 14996 0 1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_1  _145_
+timestamp 1654316057
+transform -1 0 14444 0 -1 5440
+box -38 -48 314 592
+use sky130_fd_sc_hd__and4b_1  _146_
+timestamp 1654316057
+transform -1 0 7084 0 -1 4352
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _147_
+timestamp 1654316057
+transform -1 0 4048 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor4b_1  _148_
+timestamp 1654316057
+transform -1 0 35972 0 -1 7616
+box -38 -48 682 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _149_
+timestamp 1654316057
+transform 1 0 16652 0 -1 5440
+box -38 -48 958 592
+use sky130_fd_sc_hd__and4bb_1  _150_
+timestamp 1654316057
+transform -1 0 6256 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_1  _151_
+timestamp 1654316057
+transform -1 0 3036 0 -1 3264
+box -38 -48 314 592
+use sky130_fd_sc_hd__and4bb_1  _152_
+timestamp 1654316057
+transform 1 0 14444 0 -1 5440
+box -38 -48 958 592
+use sky130_fd_sc_hd__clkbuf_1  _153_
+timestamp 1654316057
+transform -1 0 31648 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__and4b_1  _154_
+timestamp 1654316057
+transform -1 0 12236 0 -1 4352
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_2  _155_
+timestamp 1654316057
+transform 1 0 7268 0 -1 4352
+box -38 -48 406 592
+use sky130_fd_sc_hd__and4bb_1  _156_
+timestamp 1654316057
+transform 1 0 7636 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__dlymetal6s2s_1  _157_
+timestamp 1654316057
+transform 1 0 9844 0 -1 4352
+box -38 -48 958 592
+use sky130_fd_sc_hd__and4b_1  _158_
+timestamp 1654316057
+transform 1 0 10672 0 -1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _159_
+timestamp 1654316057
+transform -1 0 26036 0 1 6528
+box -38 -48 314 592
+use sky130_fd_sc_hd__and4b_1  _160_
+timestamp 1654316057
+transform 1 0 10120 0 1 5440
+box -38 -48 774 592
+use sky130_fd_sc_hd__clkbuf_1  _161_
+timestamp 1654316057
+transform -1 0 31924 0 -1 7616
+box -38 -48 314 592
+use sky130_fd_sc_hd__and4_1  _162_
+timestamp 1654316057
+transform 1 0 13340 0 1 4352
+box -38 -48 682 592
+use sky130_fd_sc_hd__clkbuf_1  _163_
+timestamp 1654316057
+transform -1 0 17388 0 -1 6528
+box -38 -48 314 592
+use sky130_sc_ams__tgate_4  chan\[0\].tgate_inst
+timestamp 1654316057
+transform 1 0 31464 0 1 4244
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[1\].tgate_inst
+timestamp 1654316057
+transform 1 0 27384 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[2\].tgate_inst
+timestamp 1654316057
+transform 1 0 18952 0 1 4244
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[3\].tgate_inst
+timestamp 1654316057
+transform 1 0 27384 0 1 5876
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[4\].tgate_inst
+timestamp 1654316057
+transform 1 0 10520 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[5\].tgate_inst
+timestamp 1654316057
+transform 1 0 23168 0 1 4244
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[6\].tgate_inst
+timestamp 1654316057
+transform 1 0 23168 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[7\].tgate_inst
+timestamp 1654316057
+transform 1 0 6304 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[8\].tgate_inst
+timestamp 1654316057
+transform 1 0 35680 0 1 4244
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[9\].tgate_inst
+timestamp 1654316057
+transform 1 0 14736 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[10\].tgate_inst
+timestamp 1654316057
+transform 1 0 31464 0 1 5876
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[11\].tgate_inst
+timestamp 1654316057
+transform 1 0 31464 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[12\].tgate_inst
+timestamp 1654316057
+transform 1 0 27384 0 1 4244
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[13\].tgate_inst
+timestamp 1654316057
+transform 1 0 35680 0 1 2612
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[14\].tgate_inst
+timestamp 1654316057
+transform 1 0 35680 0 1 5876
+box -38 -56 954 596
+use sky130_sc_ams__tgate_4  chan\[15\].tgate_inst
+timestamp 1654316057
+transform 1 0 18952 0 1 2612
+box -38 -56 954 596
+use sky130_fd_sc_hd__buf_2  input1
+timestamp 1654316057
+transform -1 0 38548 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__buf_2  input2
+timestamp 1654316057
+transform -1 0 38180 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__buf_2  input3
+timestamp 1654316057
+transform -1 0 37812 0 -1 7616
+box -38 -48 406 592
+use sky130_fd_sc_hd__buf_2  input4
+timestamp 1654316057
+transform -1 0 37168 0 -1 7616
+box -38 -48 406 592
+<< labels >>
+rlabel metal4 s 4136 2128 4736 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 6801 2176 7401 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 9466 2176 10066 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 12131 2176 12731 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 14796 2176 15396 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 17461 2176 18061 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 20126 2176 20726 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 22791 2176 23391 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 25456 2176 26056 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 28121 2176 28721 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 30786 2176 31386 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 33451 2176 34051 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 36116 2176 36716 7664 4 VGND
+port 1 nsew
+rlabel metal4 s 2804 2128 3404 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 5469 2176 6069 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 8134 2176 8734 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 10799 2176 11399 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 13464 2176 14064 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 16129 2176 16729 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 18794 2176 19394 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 21459 2176 22059 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 24124 2176 24724 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 26789 2176 27389 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 29454 2176 30054 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 32119 2176 32719 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 34784 2176 35384 7664 4 VPWR
+port 2 nsew
+rlabel metal4 s 37449 2176 38049 7664 4 VPWR
+port 2 nsew
+rlabel metal2 s 1214 0 1270 800 4 a[0]
+port 3 nsew
+rlabel metal2 s 26146 0 26202 800 4 a[10]
+port 4 nsew
+rlabel metal2 s 28722 0 28778 800 4 a[11]
+port 5 nsew
+rlabel metal2 s 31206 0 31262 800 4 a[12]
+port 6 nsew
+rlabel metal2 s 33690 0 33746 800 4 a[13]
+port 7 nsew
+rlabel metal2 s 36174 0 36230 800 4 a[14]
+port 8 nsew
+rlabel metal2 s 38658 0 38714 800 4 a[15]
+port 9 nsew
+rlabel metal2 s 3698 0 3754 800 4 a[1]
+port 10 nsew
+rlabel metal2 s 6182 0 6238 800 4 a[2]
+port 11 nsew
+rlabel metal2 s 8666 0 8722 800 4 a[3]
+port 12 nsew
+rlabel metal2 s 11150 0 11206 800 4 a[4]
+port 13 nsew
+rlabel metal2 s 13634 0 13690 800 4 a[5]
+port 14 nsew
+rlabel metal2 s 16210 0 16266 800 4 a[6]
+port 15 nsew
+rlabel metal2 s 18694 0 18750 800 4 a[7]
+port 16 nsew
+rlabel metal2 s 21178 0 21234 800 4 a[8]
+port 17 nsew
+rlabel metal2 s 23662 0 23718 800 4 a[9]
+port 18 nsew
+rlabel metal2 s 19982 9200 20038 10000 4 com
+port 19 nsew
+rlabel metal3 s 39200 1232 40000 1352 4 sel[0]
+port 20 nsew
+rlabel metal3 s 39200 3680 40000 3800 4 sel[1]
+port 21 nsew
+rlabel metal3 s 39200 6264 40000 6384 4 sel[2]
+port 22 nsew
+rlabel metal3 s 39200 8712 40000 8832 4 sel[3]
+port 23 nsew
+<< properties >>
+string FIXED_BBOX 0 0 40000 10000
+<< end >>
diff --git a/mag/example_por.mag b/mag/example_por.mag
index 1b8c9ba..76d1d78 100644
--- a/mag/example_por.mag
+++ b/mag/example_por.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1620310959
 << nwell >>
diff --git a/mag/hv_tgate.mag b/mag/hv_tgate.mag
new file mode 100644
index 0000000..2d1e2dd
--- /dev/null
+++ b/mag/hv_tgate.mag
@@ -0,0 +1,335 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1648694146
+<< nwell >>
+rect -5090 374 22294 1062
+rect -5090 350 42 374
+rect 62 350 22294 374
+rect -5090 306 22294 350
+<< pwell >>
+rect -5090 282 666 284
+rect -5090 -414 22154 282
+rect -5090 -416 666 -414
+rect -5090 -470 -98 -468
+<< mvpsubdiff >>
+rect -5058 -316 22056 -300
+rect -5058 -392 -5000 -316
+rect 22032 -392 22056 -316
+rect -5058 -408 22056 -392
+<< mvnsubdiff >>
+rect -5024 978 22228 996
+rect -5024 903 -4981 978
+rect -5024 902 60 903
+rect 22200 902 22228 978
+rect -5024 888 22228 902
+<< mvpsubdiffcont >>
+rect -5000 -392 22032 -316
+<< mvnsubdiffcont >>
+rect -4981 903 22200 978
+rect 60 902 22200 903
+<< locali >>
+rect -5024 978 22228 994
+rect -5024 902 -4982 978
+rect 22200 902 22228 978
+rect -5024 888 22228 902
+rect 44 886 22228 888
+rect -5016 -392 -5000 -316
+rect 22032 -392 22048 -316
+<< viali >>
+rect -4982 903 -4981 978
+rect -4981 903 22200 978
+rect -4982 902 60 903
+rect 60 902 22200 903
+rect -5000 -392 22032 -316
+<< metal1 >>
+rect -5090 978 22228 1046
+rect -5090 902 -5024 978
+rect -5090 886 22228 902
+rect -4990 582 -2990 886
+rect -2492 582 -492 886
+rect -412 796 22390 806
+rect -412 530 -386 796
+rect -5088 520 -5026 530
+rect -5088 438 -5084 520
+rect -5030 438 -5026 520
+rect -5088 430 -5026 438
+rect -2950 514 -2754 530
+rect -2950 446 -2840 514
+rect -2776 446 -2754 514
+rect -2950 430 -2754 446
+rect -2590 514 -2532 530
+rect -2590 446 -2584 514
+rect -2590 430 -2532 446
+rect -452 438 -386 530
+rect -308 646 22390 796
+rect -308 438 30 646
+rect 60 612 2080 618
+rect 60 542 66 612
+rect 2074 542 2080 612
+rect 60 536 2080 542
+rect -452 430 30 438
+rect 2110 434 2266 646
+rect 2296 612 4316 618
+rect 2296 542 2302 612
+rect 4310 542 4316 612
+rect 2296 536 4316 542
+rect 4346 434 4502 646
+rect 4532 612 6552 618
+rect 4532 542 4538 612
+rect 6546 542 6552 612
+rect 4532 536 6552 542
+rect 6582 434 6738 646
+rect 6768 612 8788 618
+rect 6768 542 6774 612
+rect 8782 542 8788 612
+rect 6768 536 8788 542
+rect 8818 434 8974 646
+rect 9004 612 11024 618
+rect 9004 542 9010 612
+rect 11018 542 11024 612
+rect 9004 536 11024 542
+rect 11054 434 11210 646
+rect 11240 612 13260 618
+rect 11240 542 11246 612
+rect 13254 542 13260 612
+rect 11240 536 13260 542
+rect 13290 434 13446 646
+rect 13476 612 15496 618
+rect 13476 542 13482 612
+rect 15490 542 15496 612
+rect 13476 536 15496 542
+rect 15526 434 15682 646
+rect 15712 612 17732 618
+rect 15712 542 15718 612
+rect 17726 542 17732 612
+rect 15712 536 17732 542
+rect 17762 434 17918 646
+rect 17948 612 19968 618
+rect 17948 542 17954 612
+rect 19962 542 19968 612
+rect 17948 536 19968 542
+rect 19998 434 20154 646
+rect 20184 612 22204 618
+rect 20184 542 20190 612
+rect 22198 542 22204 612
+rect 20184 536 22204 542
+rect 22234 434 22390 646
+rect -4964 336 -2990 378
+rect -4964 250 -4402 336
+rect -3934 250 -2990 336
+rect -4964 210 -2990 250
+rect -2854 346 -2754 430
+rect 22020 378 22390 384
+rect -2492 350 42 378
+rect -2492 346 56 350
+rect -2854 246 56 346
+rect -2492 219 56 246
+rect -2492 210 -504 219
+rect -5052 150 -4996 158
+rect -5052 58 -4996 66
+rect -2932 150 -2876 158
+rect -2932 58 -2876 66
+rect -2592 150 -2536 158
+rect -2592 58 -2536 66
+rect -472 150 -416 158
+rect -472 58 -416 66
+rect -4964 -310 -2964 6
+rect -2504 -310 -504 6
+rect -98 -92 56 219
+rect 88 210 22390 378
+rect 84 42 2092 46
+rect 84 -30 90 42
+rect 2088 -30 2092 42
+rect 84 -36 2092 -30
+rect 2120 -92 2274 154
+rect 2302 42 4310 46
+rect 2302 -30 2308 42
+rect 4306 -30 4310 42
+rect 2302 -36 4310 -30
+rect 4338 -92 4492 154
+rect 4520 42 6528 46
+rect 4520 -30 4526 42
+rect 6524 -30 6528 42
+rect 4520 -36 6528 -30
+rect 6556 -92 6710 154
+rect 6738 42 8746 46
+rect 6738 -30 6744 42
+rect 8742 -30 8746 42
+rect 6738 -36 8746 -30
+rect 8774 -92 8928 154
+rect 8956 42 10964 46
+rect 8956 -30 8962 42
+rect 10960 -30 10964 42
+rect 8956 -36 10964 -30
+rect 10992 -92 11146 154
+rect 11174 42 13182 46
+rect 11174 -30 11180 42
+rect 13178 -30 13182 42
+rect 11174 -36 13182 -30
+rect 13210 -92 13364 154
+rect 13392 42 15400 46
+rect 13392 -30 13398 42
+rect 15396 -30 15400 42
+rect 13392 -36 15400 -30
+rect 15428 -92 15582 154
+rect 15610 42 17618 46
+rect 15610 -30 15616 42
+rect 17614 -30 17618 42
+rect 15610 -36 17618 -30
+rect 17646 -92 17800 154
+rect 17828 42 19836 46
+rect 17828 -30 17834 42
+rect 19832 -30 19836 42
+rect 17828 -36 19836 -30
+rect 19864 -92 20018 154
+rect 20046 42 22054 46
+rect 20046 -30 20052 42
+rect 22050 -30 22054 42
+rect 20046 -36 22054 -30
+rect 22082 -92 22236 154
+rect -98 -252 22236 -92
+rect -5090 -316 22054 -310
+rect -5090 -392 -5000 -316
+rect 22032 -342 22054 -316
+rect -5090 -446 750 -392
+rect -5090 -470 22054 -446
+<< via1 >>
+rect -5024 902 -4982 978
+rect -4982 902 22200 978
+rect 22200 902 22228 978
+rect -5084 438 -5030 520
+rect -2840 446 -2776 514
+rect -2584 446 -2532 514
+rect -386 438 -308 796
+rect 66 542 2074 612
+rect 2302 542 4310 612
+rect 4538 542 6546 612
+rect 6774 542 8782 612
+rect 9010 542 11018 612
+rect 11246 542 13254 612
+rect 13482 542 15490 612
+rect 15718 542 17726 612
+rect 17954 542 19962 612
+rect 20190 542 22198 612
+rect -4402 250 -3934 336
+rect -5052 66 -4996 150
+rect -2932 66 -2876 150
+rect -2592 66 -2536 150
+rect -472 66 -416 150
+rect 90 -30 2088 42
+rect 2308 -30 4306 42
+rect 4526 -30 6524 42
+rect 6744 -30 8742 42
+rect 8962 -30 10960 42
+rect 11180 -30 13178 42
+rect 13398 -30 15396 42
+rect 15616 -30 17614 42
+rect 17834 -30 19832 42
+rect 20052 -30 22050 42
+rect 750 -392 22032 -342
+rect 22032 -392 22054 -342
+rect 750 -446 22054 -392
+<< metal2 >>
+rect -5090 978 22228 1046
+rect -5090 902 -5024 978
+rect -5090 886 22228 902
+rect -2694 796 -300 806
+rect -5090 520 -2770 530
+rect -5090 438 -5084 520
+rect -5030 514 -2770 520
+rect -5030 446 -2840 514
+rect -2776 446 -2770 514
+rect -5030 438 -2770 446
+rect -5090 430 -2770 438
+rect -2694 514 -386 796
+rect -2694 446 -2584 514
+rect -2532 446 -386 514
+rect -2694 438 -386 446
+rect -308 438 -300 796
+rect -2694 418 -300 438
+rect -126 612 22206 736
+rect -126 542 66 612
+rect 2074 542 2302 612
+rect 4310 542 4538 612
+rect 6546 542 6774 612
+rect 8782 542 9010 612
+rect 11018 542 11246 612
+rect 13254 542 13482 612
+rect 15490 542 15718 612
+rect 17726 542 17954 612
+rect 19962 542 20190 612
+rect 22198 542 22206 612
+rect -2694 384 -2492 418
+rect -4434 336 -2492 384
+rect -4434 250 -4402 336
+rect -3934 250 -2492 336
+rect -4434 204 -2492 250
+rect -5090 150 -2870 156
+rect -5090 66 -5052 150
+rect -4996 66 -2932 150
+rect -2876 66 -2870 150
+rect -5090 62 -2870 66
+rect -2938 60 -2870 62
+rect -2640 150 -410 156
+rect -2640 66 -2592 150
+rect -2536 66 -472 150
+rect -416 66 -410 150
+rect -2640 60 -410 66
+rect -2640 6 -2536 60
+rect -5090 -88 -2536 6
+rect -126 42 22206 542
+rect -126 -30 90 42
+rect 2088 -30 2308 42
+rect 4306 -30 4526 42
+rect 6524 -30 6744 42
+rect 8742 -30 8962 42
+rect 10960 -30 11180 42
+rect 13178 -30 13398 42
+rect 15396 -30 15616 42
+rect 17614 -30 17834 42
+rect 19832 -30 20052 42
+rect 22050 -30 22206 42
+rect -126 -128 22206 -30
+rect -5090 -152 22206 -128
+rect -5090 -416 660 -152
+rect 750 -342 22054 -300
+rect 750 -470 22054 -446
+use sky130_fd_pr__nfet_g5v0d10v5_P8PKF4  sky130_fd_pr__nfet_g5v0d10v5_P8PKF4_0
+timestamp 1648480518
+transform 0 1 11069 -1 0 108
+box -108 -11069 108 11069
+use sky130_fd_pr__nfet_g5v0d10v5_QCNVDG  sky130_fd_pr__nfet_g5v0d10v5_QCNVDG_0
+timestamp 1648647171
+transform 0 1 -1504 -1 0 108
+box -108 -1088 108 1088
+use sky130_fd_pr__nfet_g5v0d10v5_QCNVDG  sky130_fd_pr__nfet_g5v0d10v5_QCNVDG_1
+timestamp 1648647171
+transform 0 1 -3964 -1 0 108
+box -108 -1088 108 1088
+use sky130_fd_pr__pfet_g5v0d10v5_FGV9HZ  sky130_fd_pr__pfet_g5v0d10v5_FGV9HZ_0
+timestamp 1648480518
+transform 0 1 11132 -1 0 480
+box -174 -11162 174 11162
+use sky130_fd_pr__pfet_g5v0d10v5_WE88DU  sky130_fd_pr__pfet_g5v0d10v5_WE88DU_0
+timestamp 1648647696
+transform 0 1 -3990 -1 0 480
+box -174 -1100 174 1100
+use sky130_fd_pr__pfet_g5v0d10v5_WE88DU  sky130_fd_pr__pfet_g5v0d10v5_WE88DU_1
+timestamp 1648647696
+transform 0 1 -1492 -1 0 480
+box -174 -1100 174 1100
+<< labels >>
+rlabel metal1 -5080 -464 -5040 -426 1 VGND
+port 1 n ground default
+rlabel metal2 -5076 -238 -5036 -200 1 A
+port 2 n
+rlabel metal2 -5076 -58 -5036 -20 1 S_NOT
+port 3 n
+rlabel metal2 -5076 94 -5064 110 1 S
+port 4 n
+rlabel metal1 -5082 942 -5054 982 1 VHVPWR
+port 5 n power default
+rlabel metal1 22308 246 22382 322 1 B
+port 6 n
+<< end >>
diff --git a/mag/reram.mag b/mag/reram.mag
new file mode 100644
index 0000000..e34a325
--- /dev/null
+++ b/mag/reram.mag
@@ -0,0 +1,16 @@
+magic
+tech sky130B
+timestamp 1644250323
+<< metal1 >>
+rect -16 -13 16 13
+<< reram >>
+rect -13 -13 13 13
+<< metal2 >>
+rect -13 13 13 16
+rect -13 -16 13 -13
+<< labels >>
+flabel metal2 s -13 -16 13 16 0 FreeSans 280 0 0 0 TE
+port 1 nsew
+flabel metal1 s -16 -13 16 13 0 FreeSans 280 0 0 0 BE
+port 2 nsew
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_DHXKC7.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_DHXKC7.mag
new file mode 100644
index 0000000..7597233
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_DHXKC7.mag
@@ -0,0 +1,98 @@
+magic
+tech sky130B
+timestamp 1648515310
+<< pwell >>
+rect -139 -150 139 150
+<< mvnmos >>
+rect -25 -21 25 21
+<< mvndiff >>
+rect -54 15 -25 21
+rect -54 -15 -48 15
+rect -31 -15 -25 15
+rect -54 -21 -25 -15
+rect 25 15 54 21
+rect 25 -15 31 15
+rect 48 -15 54 15
+rect 25 -21 54 -15
+<< mvndiffc >>
+rect -48 -15 -31 15
+rect 31 -15 48 15
+<< mvpsubdiff >>
+rect -121 126 121 132
+rect -121 109 -67 126
+rect 67 109 121 126
+rect -121 103 121 109
+rect -121 78 -92 103
+rect -121 -78 -115 78
+rect -98 -78 -92 78
+rect 92 78 121 103
+rect -121 -103 -92 -78
+rect 92 -78 98 78
+rect 115 -78 121 78
+rect 92 -103 121 -78
+rect -121 -109 121 -103
+rect -121 -126 -67 -109
+rect 67 -126 121 -109
+rect -121 -132 121 -126
+<< mvpsubdiffcont >>
+rect -67 109 67 126
+rect -115 -78 -98 78
+rect 98 -78 115 78
+rect -67 -126 67 -109
+<< poly >>
+rect -25 57 25 65
+rect -25 40 -17 57
+rect 17 40 25 57
+rect -25 21 25 40
+rect -25 -40 25 -21
+rect -25 -57 -17 -40
+rect 17 -57 25 -40
+rect -25 -65 25 -57
+<< polycont >>
+rect -17 40 17 57
+rect -17 -57 17 -40
+<< locali >>
+rect -115 109 -67 126
+rect 67 109 115 126
+rect -115 78 -98 109
+rect 98 78 115 109
+rect -25 40 -17 57
+rect 17 40 25 57
+rect -48 15 -31 23
+rect -48 -23 -31 -15
+rect 31 15 48 23
+rect 31 -23 48 -15
+rect -25 -57 -17 -40
+rect 17 -57 25 -40
+rect -115 -109 -98 -78
+rect 98 -109 115 -78
+rect -115 -126 -67 -109
+rect 67 -126 115 -109
+<< viali >>
+rect -17 40 17 57
+rect -48 -15 -31 15
+rect 31 -15 48 15
+rect -17 -57 17 -40
+<< metal1 >>
+rect -23 57 23 60
+rect -23 40 -17 57
+rect 17 40 23 57
+rect -23 37 23 40
+rect -51 15 -28 21
+rect -51 -15 -48 15
+rect -31 -15 -28 15
+rect -51 -21 -28 -15
+rect 28 15 51 21
+rect 28 -15 31 15
+rect 48 -15 51 15
+rect 28 -21 51 -15
+rect -23 -40 23 -37
+rect -23 -57 -17 -40
+rect 17 -57 23 -40
+rect -23 -60 23 -57
+<< properties >>
+string gencell sky130_fd_pr__nfet_g5v0d10v5
+string FIXED_BBOX -106 -117 106 117
+string parameters w 0.420 l 0.50 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_P8PKF4.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_P8PKF4.mag
new file mode 100644
index 0000000..d9be91c
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_P8PKF4.mag
@@ -0,0 +1,507 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1648480518
+<< mvnmos >>
+rect -50 8981 50 10981
+rect -50 6763 50 8763
+rect -50 4545 50 6545
+rect -50 2327 50 4327
+rect -50 109 50 2109
+rect -50 -2109 50 -109
+rect -50 -4327 50 -2327
+rect -50 -6545 50 -4545
+rect -50 -8763 50 -6763
+rect -50 -10981 50 -8981
+<< mvndiff >>
+rect -108 10969 -50 10981
+rect -108 8993 -96 10969
+rect -62 8993 -50 10969
+rect -108 8981 -50 8993
+rect 50 10969 108 10981
+rect 50 8993 62 10969
+rect 96 8993 108 10969
+rect 50 8981 108 8993
+rect -108 8751 -50 8763
+rect -108 6775 -96 8751
+rect -62 6775 -50 8751
+rect -108 6763 -50 6775
+rect 50 8751 108 8763
+rect 50 6775 62 8751
+rect 96 6775 108 8751
+rect 50 6763 108 6775
+rect -108 6533 -50 6545
+rect -108 4557 -96 6533
+rect -62 4557 -50 6533
+rect -108 4545 -50 4557
+rect 50 6533 108 6545
+rect 50 4557 62 6533
+rect 96 4557 108 6533
+rect 50 4545 108 4557
+rect -108 4315 -50 4327
+rect -108 2339 -96 4315
+rect -62 2339 -50 4315
+rect -108 2327 -50 2339
+rect 50 4315 108 4327
+rect 50 2339 62 4315
+rect 96 2339 108 4315
+rect 50 2327 108 2339
+rect -108 2097 -50 2109
+rect -108 121 -96 2097
+rect -62 121 -50 2097
+rect -108 109 -50 121
+rect 50 2097 108 2109
+rect 50 121 62 2097
+rect 96 121 108 2097
+rect 50 109 108 121
+rect -108 -121 -50 -109
+rect -108 -2097 -96 -121
+rect -62 -2097 -50 -121
+rect -108 -2109 -50 -2097
+rect 50 -121 108 -109
+rect 50 -2097 62 -121
+rect 96 -2097 108 -121
+rect 50 -2109 108 -2097
+rect -108 -2339 -50 -2327
+rect -108 -4315 -96 -2339
+rect -62 -4315 -50 -2339
+rect -108 -4327 -50 -4315
+rect 50 -2339 108 -2327
+rect 50 -4315 62 -2339
+rect 96 -4315 108 -2339
+rect 50 -4327 108 -4315
+rect -108 -4557 -50 -4545
+rect -108 -6533 -96 -4557
+rect -62 -6533 -50 -4557
+rect -108 -6545 -50 -6533
+rect 50 -4557 108 -4545
+rect 50 -6533 62 -4557
+rect 96 -6533 108 -4557
+rect 50 -6545 108 -6533
+rect -108 -6775 -50 -6763
+rect -108 -8751 -96 -6775
+rect -62 -8751 -50 -6775
+rect -108 -8763 -50 -8751
+rect 50 -6775 108 -6763
+rect 50 -8751 62 -6775
+rect 96 -8751 108 -6775
+rect 50 -8763 108 -8751
+rect -108 -8993 -50 -8981
+rect -108 -10969 -96 -8993
+rect -62 -10969 -50 -8993
+rect -108 -10981 -50 -10969
+rect 50 -8993 108 -8981
+rect 50 -10969 62 -8993
+rect 96 -10969 108 -8993
+rect 50 -10981 108 -10969
+<< mvndiffc >>
+rect -96 8993 -62 10969
+rect 62 8993 96 10969
+rect -96 6775 -62 8751
+rect 62 6775 96 8751
+rect -96 4557 -62 6533
+rect 62 4557 96 6533
+rect -96 2339 -62 4315
+rect 62 2339 96 4315
+rect -96 121 -62 2097
+rect 62 121 96 2097
+rect -96 -2097 -62 -121
+rect 62 -2097 96 -121
+rect -96 -4315 -62 -2339
+rect 62 -4315 96 -2339
+rect -96 -6533 -62 -4557
+rect 62 -6533 96 -4557
+rect -96 -8751 -62 -6775
+rect 62 -8751 96 -6775
+rect -96 -10969 -62 -8993
+rect 62 -10969 96 -8993
+<< poly >>
+rect -50 11053 50 11069
+rect -50 11019 -34 11053
+rect 34 11019 50 11053
+rect -50 10981 50 11019
+rect -50 8943 50 8981
+rect -50 8909 -34 8943
+rect 34 8909 50 8943
+rect -50 8893 50 8909
+rect -50 8835 50 8851
+rect -50 8801 -34 8835
+rect 34 8801 50 8835
+rect -50 8763 50 8801
+rect -50 6725 50 6763
+rect -50 6691 -34 6725
+rect 34 6691 50 6725
+rect -50 6675 50 6691
+rect -50 6617 50 6633
+rect -50 6583 -34 6617
+rect 34 6583 50 6617
+rect -50 6545 50 6583
+rect -50 4507 50 4545
+rect -50 4473 -34 4507
+rect 34 4473 50 4507
+rect -50 4457 50 4473
+rect -50 4399 50 4415
+rect -50 4365 -34 4399
+rect 34 4365 50 4399
+rect -50 4327 50 4365
+rect -50 2289 50 2327
+rect -50 2255 -34 2289
+rect 34 2255 50 2289
+rect -50 2239 50 2255
+rect -50 2181 50 2197
+rect -50 2147 -34 2181
+rect 34 2147 50 2181
+rect -50 2109 50 2147
+rect -50 71 50 109
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 21 50 37
+rect -50 -37 50 -21
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -50 -109 50 -71
+rect -50 -2147 50 -2109
+rect -50 -2181 -34 -2147
+rect 34 -2181 50 -2147
+rect -50 -2197 50 -2181
+rect -50 -2255 50 -2239
+rect -50 -2289 -34 -2255
+rect 34 -2289 50 -2255
+rect -50 -2327 50 -2289
+rect -50 -4365 50 -4327
+rect -50 -4399 -34 -4365
+rect 34 -4399 50 -4365
+rect -50 -4415 50 -4399
+rect -50 -4473 50 -4457
+rect -50 -4507 -34 -4473
+rect 34 -4507 50 -4473
+rect -50 -4545 50 -4507
+rect -50 -6583 50 -6545
+rect -50 -6617 -34 -6583
+rect 34 -6617 50 -6583
+rect -50 -6633 50 -6617
+rect -50 -6691 50 -6675
+rect -50 -6725 -34 -6691
+rect 34 -6725 50 -6691
+rect -50 -6763 50 -6725
+rect -50 -8801 50 -8763
+rect -50 -8835 -34 -8801
+rect 34 -8835 50 -8801
+rect -50 -8851 50 -8835
+rect -50 -8909 50 -8893
+rect -50 -8943 -34 -8909
+rect 34 -8943 50 -8909
+rect -50 -8981 50 -8943
+rect -50 -11019 50 -10981
+rect -50 -11053 -34 -11019
+rect 34 -11053 50 -11019
+rect -50 -11069 50 -11053
+<< polycont >>
+rect -34 11019 34 11053
+rect -34 8909 34 8943
+rect -34 8801 34 8835
+rect -34 6691 34 6725
+rect -34 6583 34 6617
+rect -34 4473 34 4507
+rect -34 4365 34 4399
+rect -34 2255 34 2289
+rect -34 2147 34 2181
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -34 -2181 34 -2147
+rect -34 -2289 34 -2255
+rect -34 -4399 34 -4365
+rect -34 -4507 34 -4473
+rect -34 -6617 34 -6583
+rect -34 -6725 34 -6691
+rect -34 -8835 34 -8801
+rect -34 -8943 34 -8909
+rect -34 -11053 34 -11019
+<< locali >>
+rect -50 11019 -34 11053
+rect 34 11019 50 11053
+rect -96 10969 -62 10985
+rect -96 8977 -62 8993
+rect 62 10969 96 10985
+rect 62 8977 96 8993
+rect -50 8909 -34 8943
+rect 34 8909 50 8943
+rect -50 8801 -34 8835
+rect 34 8801 50 8835
+rect -96 8751 -62 8767
+rect -96 6759 -62 6775
+rect 62 8751 96 8767
+rect 62 6759 96 6775
+rect -50 6691 -34 6725
+rect 34 6691 50 6725
+rect -50 6583 -34 6617
+rect 34 6583 50 6617
+rect -96 6533 -62 6549
+rect -96 4541 -62 4557
+rect 62 6533 96 6549
+rect 62 4541 96 4557
+rect -50 4473 -34 4507
+rect 34 4473 50 4507
+rect -50 4365 -34 4399
+rect 34 4365 50 4399
+rect -96 4315 -62 4331
+rect -96 2323 -62 2339
+rect 62 4315 96 4331
+rect 62 2323 96 2339
+rect -50 2255 -34 2289
+rect 34 2255 50 2289
+rect -50 2147 -34 2181
+rect 34 2147 50 2181
+rect -96 2097 -62 2113
+rect -96 105 -62 121
+rect 62 2097 96 2113
+rect 62 105 96 121
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -96 -121 -62 -105
+rect -96 -2113 -62 -2097
+rect 62 -121 96 -105
+rect 62 -2113 96 -2097
+rect -50 -2181 -34 -2147
+rect 34 -2181 50 -2147
+rect -50 -2289 -34 -2255
+rect 34 -2289 50 -2255
+rect -96 -2339 -62 -2323
+rect -96 -4331 -62 -4315
+rect 62 -2339 96 -2323
+rect 62 -4331 96 -4315
+rect -50 -4399 -34 -4365
+rect 34 -4399 50 -4365
+rect -50 -4507 -34 -4473
+rect 34 -4507 50 -4473
+rect -96 -4557 -62 -4541
+rect -96 -6549 -62 -6533
+rect 62 -4557 96 -4541
+rect 62 -6549 96 -6533
+rect -50 -6617 -34 -6583
+rect 34 -6617 50 -6583
+rect -50 -6725 -34 -6691
+rect 34 -6725 50 -6691
+rect -96 -6775 -62 -6759
+rect -96 -8767 -62 -8751
+rect 62 -6775 96 -6759
+rect 62 -8767 96 -8751
+rect -50 -8835 -34 -8801
+rect 34 -8835 50 -8801
+rect -50 -8943 -34 -8909
+rect 34 -8943 50 -8909
+rect -96 -8993 -62 -8977
+rect -96 -10985 -62 -10969
+rect 62 -8993 96 -8977
+rect 62 -10985 96 -10969
+rect -50 -11053 -34 -11019
+rect 34 -11053 50 -11019
+<< viali >>
+rect -34 11019 34 11053
+rect -96 8993 -62 10969
+rect 62 8993 96 10969
+rect -34 8909 34 8943
+rect -34 8801 34 8835
+rect -96 6775 -62 8751
+rect 62 6775 96 8751
+rect -34 6691 34 6725
+rect -34 6583 34 6617
+rect -96 4557 -62 6533
+rect 62 4557 96 6533
+rect -34 4473 34 4507
+rect -34 4365 34 4399
+rect -96 2339 -62 4315
+rect 62 2339 96 4315
+rect -34 2255 34 2289
+rect -34 2147 34 2181
+rect -96 121 -62 2097
+rect 62 121 96 2097
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -96 -2097 -62 -121
+rect 62 -2097 96 -121
+rect -34 -2181 34 -2147
+rect -34 -2289 34 -2255
+rect -96 -4315 -62 -2339
+rect 62 -4315 96 -2339
+rect -34 -4399 34 -4365
+rect -34 -4507 34 -4473
+rect -96 -6533 -62 -4557
+rect 62 -6533 96 -4557
+rect -34 -6617 34 -6583
+rect -34 -6725 34 -6691
+rect -96 -8751 -62 -6775
+rect 62 -8751 96 -6775
+rect -34 -8835 34 -8801
+rect -34 -8943 34 -8909
+rect -96 -10969 -62 -8993
+rect 62 -10969 96 -8993
+rect -34 -11053 34 -11019
+<< metal1 >>
+rect -46 11053 46 11059
+rect -46 11019 -34 11053
+rect 34 11019 46 11053
+rect -46 11013 46 11019
+rect -102 10969 -56 10981
+rect -102 8993 -96 10969
+rect -62 8993 -56 10969
+rect -102 8981 -56 8993
+rect 56 10969 102 10981
+rect 56 8993 62 10969
+rect 96 8993 102 10969
+rect 56 8981 102 8993
+rect -46 8943 46 8949
+rect -46 8909 -34 8943
+rect 34 8909 46 8943
+rect -46 8903 46 8909
+rect -46 8835 46 8841
+rect -46 8801 -34 8835
+rect 34 8801 46 8835
+rect -46 8795 46 8801
+rect -102 8751 -56 8763
+rect -102 6775 -96 8751
+rect -62 6775 -56 8751
+rect -102 6763 -56 6775
+rect 56 8751 102 8763
+rect 56 6775 62 8751
+rect 96 6775 102 8751
+rect 56 6763 102 6775
+rect -46 6725 46 6731
+rect -46 6691 -34 6725
+rect 34 6691 46 6725
+rect -46 6685 46 6691
+rect -46 6617 46 6623
+rect -46 6583 -34 6617
+rect 34 6583 46 6617
+rect -46 6577 46 6583
+rect -102 6533 -56 6545
+rect -102 4557 -96 6533
+rect -62 4557 -56 6533
+rect -102 4545 -56 4557
+rect 56 6533 102 6545
+rect 56 4557 62 6533
+rect 96 4557 102 6533
+rect 56 4545 102 4557
+rect -46 4507 46 4513
+rect -46 4473 -34 4507
+rect 34 4473 46 4507
+rect -46 4467 46 4473
+rect -46 4399 46 4405
+rect -46 4365 -34 4399
+rect 34 4365 46 4399
+rect -46 4359 46 4365
+rect -102 4315 -56 4327
+rect -102 2339 -96 4315
+rect -62 2339 -56 4315
+rect -102 2327 -56 2339
+rect 56 4315 102 4327
+rect 56 2339 62 4315
+rect 96 2339 102 4315
+rect 56 2327 102 2339
+rect -46 2289 46 2295
+rect -46 2255 -34 2289
+rect 34 2255 46 2289
+rect -46 2249 46 2255
+rect -46 2181 46 2187
+rect -46 2147 -34 2181
+rect 34 2147 46 2181
+rect -46 2141 46 2147
+rect -102 2097 -56 2109
+rect -102 121 -96 2097
+rect -62 121 -56 2097
+rect -102 109 -56 121
+rect 56 2097 102 2109
+rect 56 121 62 2097
+rect 96 121 102 2097
+rect 56 109 102 121
+rect -46 71 46 77
+rect -46 37 -34 71
+rect 34 37 46 71
+rect -46 31 46 37
+rect -46 -37 46 -31
+rect -46 -71 -34 -37
+rect 34 -71 46 -37
+rect -46 -77 46 -71
+rect -102 -121 -56 -109
+rect -102 -2097 -96 -121
+rect -62 -2097 -56 -121
+rect -102 -2109 -56 -2097
+rect 56 -121 102 -109
+rect 56 -2097 62 -121
+rect 96 -2097 102 -121
+rect 56 -2109 102 -2097
+rect -46 -2147 46 -2141
+rect -46 -2181 -34 -2147
+rect 34 -2181 46 -2147
+rect -46 -2187 46 -2181
+rect -46 -2255 46 -2249
+rect -46 -2289 -34 -2255
+rect 34 -2289 46 -2255
+rect -46 -2295 46 -2289
+rect -102 -2339 -56 -2327
+rect -102 -4315 -96 -2339
+rect -62 -4315 -56 -2339
+rect -102 -4327 -56 -4315
+rect 56 -2339 102 -2327
+rect 56 -4315 62 -2339
+rect 96 -4315 102 -2339
+rect 56 -4327 102 -4315
+rect -46 -4365 46 -4359
+rect -46 -4399 -34 -4365
+rect 34 -4399 46 -4365
+rect -46 -4405 46 -4399
+rect -46 -4473 46 -4467
+rect -46 -4507 -34 -4473
+rect 34 -4507 46 -4473
+rect -46 -4513 46 -4507
+rect -102 -4557 -56 -4545
+rect -102 -6533 -96 -4557
+rect -62 -6533 -56 -4557
+rect -102 -6545 -56 -6533
+rect 56 -4557 102 -4545
+rect 56 -6533 62 -4557
+rect 96 -6533 102 -4557
+rect 56 -6545 102 -6533
+rect -46 -6583 46 -6577
+rect -46 -6617 -34 -6583
+rect 34 -6617 46 -6583
+rect -46 -6623 46 -6617
+rect -46 -6691 46 -6685
+rect -46 -6725 -34 -6691
+rect 34 -6725 46 -6691
+rect -46 -6731 46 -6725
+rect -102 -6775 -56 -6763
+rect -102 -8751 -96 -6775
+rect -62 -8751 -56 -6775
+rect -102 -8763 -56 -8751
+rect 56 -6775 102 -6763
+rect 56 -8751 62 -6775
+rect 96 -8751 102 -6775
+rect 56 -8763 102 -8751
+rect -46 -8801 46 -8795
+rect -46 -8835 -34 -8801
+rect 34 -8835 46 -8801
+rect -46 -8841 46 -8835
+rect -46 -8909 46 -8903
+rect -46 -8943 -34 -8909
+rect 34 -8943 46 -8909
+rect -46 -8949 46 -8943
+rect -102 -8993 -56 -8981
+rect -102 -10969 -96 -8993
+rect -62 -10969 -56 -8993
+rect -102 -10981 -56 -10969
+rect 56 -8993 102 -8981
+rect 56 -10969 62 -8993
+rect 96 -10969 102 -8993
+rect 56 -10981 102 -10969
+rect -46 -11019 46 -11013
+rect -46 -11053 -34 -11019
+rect 34 -11053 46 -11019
+rect -46 -11059 46 -11053
+<< properties >>
+string gencell sky130_fd_pr__nfet_g5v0d10v5
+string parameters w 10 l 0.50 m 10 nf 1 diffcov 100 polycov 100 guard 0 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
index 508a2da..7be65d4 100644
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_PKVMTM.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 timestamp 1606063140
 << pwell >>
 rect -154 -229 154 229
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_QCNVDG.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_QCNVDG.mag
new file mode 100644
index 0000000..695d2cb
--- /dev/null
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_QCNVDG.mag
@@ -0,0 +1,65 @@
+magic
+tech sky130B
+timestamp 1648647171
+<< mvnmos >>
+rect -25 -500 25 500
+<< mvndiff >>
+rect -54 494 -25 500
+rect -54 -494 -48 494
+rect -31 -494 -25 494
+rect -54 -500 -25 -494
+rect 25 494 54 500
+rect 25 -494 31 494
+rect 48 -494 54 494
+rect 25 -500 54 -494
+<< mvndiffc >>
+rect -48 -494 -31 494
+rect 31 -494 48 494
+<< poly >>
+rect -25 536 25 544
+rect -25 519 -17 536
+rect 17 519 25 536
+rect -25 500 25 519
+rect -25 -519 25 -500
+rect -25 -536 -17 -519
+rect 17 -536 25 -519
+rect -25 -544 25 -536
+<< polycont >>
+rect -17 519 17 536
+rect -17 -536 17 -519
+<< locali >>
+rect -25 519 -17 536
+rect 17 519 25 536
+rect -48 494 -31 502
+rect -48 -502 -31 -494
+rect 31 494 48 502
+rect 31 -502 48 -494
+rect -25 -536 -17 -519
+rect 17 -536 25 -519
+<< viali >>
+rect -17 519 17 536
+rect -48 -494 -31 494
+rect 31 -494 48 494
+rect -17 -536 17 -519
+<< metal1 >>
+rect -23 536 23 539
+rect -23 519 -17 536
+rect 17 519 23 536
+rect -23 516 23 519
+rect -51 494 -28 500
+rect -51 -494 -48 494
+rect -31 -494 -28 494
+rect -51 -500 -28 -494
+rect 28 494 51 500
+rect 28 -494 31 494
+rect 48 -494 51 494
+rect 28 -500 51 -494
+rect -23 -519 23 -516
+rect -23 -536 -17 -519
+rect 17 -536 23 -519
+rect -23 -539 23 -536
+<< properties >>
+string gencell sky130_fd_pr__nfet_g5v0d10v5
+string parameters w 10 l 0.50 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
index dbb8180..0fc9bf5 100644
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_TGFUGS.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606063140
 << pwell >>
diff --git a/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag b/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
index 64df388..eb312e6 100644
--- a/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
+++ b/mag/sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 timestamp 1605994897
 << pwell >>
 rect -154 -229 154 229
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_2PVZVF.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_2PVZVF.mag
new file mode 100644
index 0000000..4679e8d
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_2PVZVF.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647292059
+<< error_p >>
+rect -87 131 87 133
+rect -87 -131 -72 131
+rect -54 98 54 100
+rect -54 -98 -39 98
+rect 39 -98 54 98
+rect -54 -100 54 -98
+rect 72 -131 87 131
+rect -87 -133 87 -131
+<< nwell >>
+rect -72 -131 72 131
+<< mvpmos >>
+rect -25 -100 25 100
+<< mvpdiff >>
+rect -54 94 -25 100
+rect -54 -94 -48 94
+rect -31 -94 -25 94
+rect -54 -100 -25 -94
+rect 25 94 54 100
+rect 25 -94 31 94
+rect 48 -94 54 94
+rect 25 -100 54 -94
+<< mvpdiffc >>
+rect -48 -94 -31 94
+rect 31 -94 48 94
+<< poly >>
+rect -25 100 25 113
+rect -25 -113 25 -100
+<< locali >>
+rect -48 94 -31 102
+rect -48 -102 -31 -94
+rect 31 94 48 102
+rect 31 -102 48 -94
+<< viali >>
+rect -48 -94 -31 94
+rect 31 -94 48 94
+<< metal1 >>
+rect -51 94 -28 100
+rect -51 -94 -48 94
+rect -31 -94 -28 94
+rect -51 -100 -28 -94
+rect 28 94 51 100
+rect 28 -94 31 94
+rect 48 -94 51 94
+rect 28 -100 51 -94
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 2 l 0.5 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
index cda05e3..e0b0219 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_3YBPVB.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606063140
 << nwell >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_AJQB7U.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_AJQB7U.mag
new file mode 100644
index 0000000..0124360
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_AJQB7U.mag
@@ -0,0 +1,99 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1647221299
+<< nwell >>
+rect -308 -397 308 397
+<< mvpmos >>
+rect -50 -100 50 100
+<< mvpdiff >>
+rect -108 88 -50 100
+rect -108 -88 -96 88
+rect -62 -88 -50 88
+rect -108 -100 -50 -88
+rect 50 88 108 100
+rect 50 -88 62 88
+rect 96 -88 108 88
+rect 50 -100 108 -88
+<< mvpdiffc >>
+rect -96 -88 -62 88
+rect 62 -88 96 88
+<< mvnsubdiff >>
+rect -242 319 242 331
+rect -242 285 -134 319
+rect 134 285 242 319
+rect -242 273 242 285
+rect -242 223 -184 273
+rect -242 -223 -230 223
+rect -196 -223 -184 223
+rect 184 223 242 273
+rect -242 -273 -184 -223
+rect 184 -223 196 223
+rect 230 -223 242 223
+rect 184 -273 242 -223
+rect -242 -285 242 -273
+rect -242 -319 -134 -285
+rect 134 -319 242 -285
+rect -242 -331 242 -319
+<< mvnsubdiffcont >>
+rect -134 285 134 319
+rect -230 -223 -196 223
+rect 196 -223 230 223
+rect -134 -319 134 -285
+<< poly >>
+rect -50 181 50 197
+rect -50 147 -34 181
+rect 34 147 50 181
+rect -50 100 50 147
+rect -50 -147 50 -100
+rect -50 -181 -34 -147
+rect 34 -181 50 -147
+rect -50 -197 50 -181
+<< polycont >>
+rect -34 147 34 181
+rect -34 -181 34 -147
+<< locali >>
+rect -230 285 -134 319
+rect 134 285 230 319
+rect -230 223 -196 285
+rect 196 223 230 285
+rect -50 147 -34 181
+rect 34 147 50 181
+rect -96 88 -62 104
+rect -96 -104 -62 -88
+rect 62 88 96 104
+rect 62 -104 96 -88
+rect -50 -181 -34 -147
+rect 34 -181 50 -147
+rect -230 -285 -196 -223
+rect 196 -285 230 -223
+rect -230 -319 -134 -285
+rect 134 -319 230 -285
+<< viali >>
+rect -34 147 34 181
+rect -96 -88 -62 88
+rect 62 -88 96 88
+rect -34 -181 34 -147
+<< metal1 >>
+rect -46 181 46 187
+rect -46 147 -34 181
+rect 34 147 46 181
+rect -46 141 46 147
+rect -102 88 -56 100
+rect -102 -88 -96 88
+rect -62 -88 -56 88
+rect -102 -100 -56 -88
+rect 56 88 102 100
+rect 56 -88 62 88
+rect 96 -88 102 88
+rect 56 -100 102 -88
+rect -46 -147 46 -141
+rect -46 -181 -34 -147
+rect 34 -181 46 -147
+rect -46 -187 46 -181
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string FIXED_BBOX -213 -302 213 302
+string parameters w 1 l 0.50 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_AU6ZHA.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_AU6ZHA.mag
new file mode 100644
index 0000000..5ce0908
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_AU6ZHA.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647224113
+<< error_p >>
+rect -87 281 87 283
+rect -87 -281 -72 281
+rect -54 248 54 250
+rect -54 -248 -39 248
+rect 39 -248 54 248
+rect -54 -250 54 -248
+rect 72 -281 87 281
+rect -87 -283 87 -281
+<< nwell >>
+rect -72 -281 72 281
+<< mvpmos >>
+rect -25 -250 25 250
+<< mvpdiff >>
+rect -54 244 -25 250
+rect -54 -244 -48 244
+rect -31 -244 -25 244
+rect -54 -250 -25 -244
+rect 25 244 54 250
+rect 25 -244 31 244
+rect 48 -244 54 244
+rect 25 -250 54 -244
+<< mvpdiffc >>
+rect -48 -244 -31 244
+rect 31 -244 48 244
+<< poly >>
+rect -25 250 25 263
+rect -25 -263 25 -250
+<< locali >>
+rect -48 244 -31 252
+rect -48 -252 -31 -244
+rect 31 244 48 252
+rect 31 -252 48 -244
+<< viali >>
+rect -48 -244 -31 244
+rect 31 -244 48 244
+<< metal1 >>
+rect -51 244 -28 250
+rect -51 -244 -48 244
+rect -31 -244 -28 244
+rect -51 -250 -28 -244
+rect 28 244 51 250
+rect 28 -244 31 244
+rect 48 -244 51 244
+rect 28 -250 51 -244
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 5 l 0.50 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_CN3LVD.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_CN3LVD.mag
new file mode 100644
index 0000000..baeb327
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_CN3LVD.mag
@@ -0,0 +1,73 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1647221299
+<< error_p >>
+rect -174 -166 -144 166
+rect -108 -100 -78 100
+rect 78 -100 108 100
+rect 144 -166 174 166
+<< nwell >>
+rect -144 -200 144 200
+<< mvpmos >>
+rect -50 -100 50 100
+<< mvpdiff >>
+rect -108 88 -50 100
+rect -108 -88 -96 88
+rect -62 -88 -50 88
+rect -108 -100 -50 -88
+rect 50 88 108 100
+rect 50 -88 62 88
+rect 96 -88 108 88
+rect 50 -100 108 -88
+<< mvpdiffc >>
+rect -96 -88 -62 88
+rect 62 -88 96 88
+<< poly >>
+rect -50 181 50 197
+rect -50 147 -34 181
+rect 34 147 50 181
+rect -50 100 50 147
+rect -50 -147 50 -100
+rect -50 -181 -34 -147
+rect 34 -181 50 -147
+rect -50 -197 50 -181
+<< polycont >>
+rect -34 147 34 181
+rect -34 -181 34 -147
+<< locali >>
+rect -50 147 -34 181
+rect 34 147 50 181
+rect -96 88 -62 104
+rect -96 -104 -62 -88
+rect 62 88 96 104
+rect 62 -104 96 -88
+rect -50 -181 -34 -147
+rect 34 -181 50 -147
+<< viali >>
+rect -34 147 34 181
+rect -96 -88 -62 88
+rect 62 -88 96 88
+rect -34 -181 34 -147
+<< metal1 >>
+rect -46 181 46 187
+rect -46 147 -34 181
+rect 34 147 46 181
+rect -46 141 46 147
+rect -102 88 -56 100
+rect -102 -88 -96 88
+rect -62 -88 -56 88
+rect -102 -100 -56 -88
+rect 56 88 102 100
+rect 56 -88 62 88
+rect 96 -88 102 88
+rect 56 -100 102 -88
+rect -46 -147 46 -141
+rect -46 -181 -34 -147
+rect 34 -181 46 -147
+rect -46 -187 46 -181
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 1 l 0.5 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_FGV9HZ.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_FGV9HZ.mag
new file mode 100644
index 0000000..6b614ee
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_FGV9HZ.mag
@@ -0,0 +1,577 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1648480518
+<< error_p >>
+rect -174 9180 -144 11128
+rect -108 9180 -78 11062
+rect 78 9180 108 11062
+rect 144 9180 174 11128
+rect -174 8996 174 9180
+rect -144 8962 144 8996
+rect -174 6944 -144 8892
+rect -108 6944 -78 8826
+rect 78 6944 108 8826
+rect 144 6944 174 8892
+rect -174 6760 174 6944
+rect -144 6726 144 6760
+rect -174 4708 -144 6656
+rect -108 4708 -78 6590
+rect 78 4708 108 6590
+rect 144 4708 174 6656
+rect -174 4524 174 4708
+rect -144 4490 144 4524
+rect -174 2472 -144 4420
+rect -108 2472 -78 4354
+rect 78 2472 108 4354
+rect 144 2472 174 4420
+rect -174 2288 174 2472
+rect -144 2254 144 2288
+rect -174 236 -144 2184
+rect -108 236 -78 2118
+rect 78 236 108 2118
+rect 144 236 174 2184
+rect -174 52 174 236
+rect -144 18 144 52
+rect -174 -2000 -144 -52
+rect -108 -2000 -78 -118
+rect 78 -2000 108 -118
+rect 144 -2000 174 -52
+rect -174 -2184 174 -2000
+rect -144 -2218 144 -2184
+rect -174 -4236 -144 -2288
+rect -108 -4236 -78 -2354
+rect 78 -4236 108 -2354
+rect 144 -4236 174 -2288
+rect -174 -4420 174 -4236
+rect -144 -4454 144 -4420
+rect -174 -6472 -144 -4524
+rect -108 -6472 -78 -4590
+rect 78 -6472 108 -4590
+rect 144 -6472 174 -4524
+rect -174 -6656 174 -6472
+rect -144 -6690 144 -6656
+rect -174 -8708 -144 -6760
+rect -108 -8708 -78 -6826
+rect 78 -8708 108 -6826
+rect 144 -8708 174 -6760
+rect -174 -8892 174 -8708
+rect -144 -8926 144 -8892
+rect -174 -11128 -144 -8996
+rect -108 -11062 -78 -9062
+rect 78 -11062 108 -9062
+rect 144 -11128 174 -8996
+<< nwell >>
+rect -144 8962 144 11162
+rect -144 6726 144 8926
+rect -144 4490 144 6690
+rect -144 2254 144 4454
+rect -144 18 144 2218
+rect -144 -2218 144 -18
+rect -144 -4454 144 -2254
+rect -144 -6690 144 -4490
+rect -144 -8926 144 -6726
+rect -144 -11162 144 -8962
+<< mvpmos >>
+rect -50 9062 50 11062
+rect -50 6826 50 8826
+rect -50 4590 50 6590
+rect -50 2354 50 4354
+rect -50 118 50 2118
+rect -50 -2118 50 -118
+rect -50 -4354 50 -2354
+rect -50 -6590 50 -4590
+rect -50 -8826 50 -6826
+rect -50 -11062 50 -9062
+<< mvpdiff >>
+rect -108 11050 -50 11062
+rect -108 9074 -96 11050
+rect -62 9074 -50 11050
+rect -108 9062 -50 9074
+rect 50 11050 108 11062
+rect 50 9074 62 11050
+rect 96 9074 108 11050
+rect 50 9062 108 9074
+rect -108 8814 -50 8826
+rect -108 6838 -96 8814
+rect -62 6838 -50 8814
+rect -108 6826 -50 6838
+rect 50 8814 108 8826
+rect 50 6838 62 8814
+rect 96 6838 108 8814
+rect 50 6826 108 6838
+rect -108 6578 -50 6590
+rect -108 4602 -96 6578
+rect -62 4602 -50 6578
+rect -108 4590 -50 4602
+rect 50 6578 108 6590
+rect 50 4602 62 6578
+rect 96 4602 108 6578
+rect 50 4590 108 4602
+rect -108 4342 -50 4354
+rect -108 2366 -96 4342
+rect -62 2366 -50 4342
+rect -108 2354 -50 2366
+rect 50 4342 108 4354
+rect 50 2366 62 4342
+rect 96 2366 108 4342
+rect 50 2354 108 2366
+rect -108 2106 -50 2118
+rect -108 130 -96 2106
+rect -62 130 -50 2106
+rect -108 118 -50 130
+rect 50 2106 108 2118
+rect 50 130 62 2106
+rect 96 130 108 2106
+rect 50 118 108 130
+rect -108 -130 -50 -118
+rect -108 -2106 -96 -130
+rect -62 -2106 -50 -130
+rect -108 -2118 -50 -2106
+rect 50 -130 108 -118
+rect 50 -2106 62 -130
+rect 96 -2106 108 -130
+rect 50 -2118 108 -2106
+rect -108 -2366 -50 -2354
+rect -108 -4342 -96 -2366
+rect -62 -4342 -50 -2366
+rect -108 -4354 -50 -4342
+rect 50 -2366 108 -2354
+rect 50 -4342 62 -2366
+rect 96 -4342 108 -2366
+rect 50 -4354 108 -4342
+rect -108 -4602 -50 -4590
+rect -108 -6578 -96 -4602
+rect -62 -6578 -50 -4602
+rect -108 -6590 -50 -6578
+rect 50 -4602 108 -4590
+rect 50 -6578 62 -4602
+rect 96 -6578 108 -4602
+rect 50 -6590 108 -6578
+rect -108 -6838 -50 -6826
+rect -108 -8814 -96 -6838
+rect -62 -8814 -50 -6838
+rect -108 -8826 -50 -8814
+rect 50 -6838 108 -6826
+rect 50 -8814 62 -6838
+rect 96 -8814 108 -6838
+rect 50 -8826 108 -8814
+rect -108 -9074 -50 -9062
+rect -108 -11050 -96 -9074
+rect -62 -11050 -50 -9074
+rect -108 -11062 -50 -11050
+rect 50 -9074 108 -9062
+rect 50 -11050 62 -9074
+rect 96 -11050 108 -9074
+rect 50 -11062 108 -11050
+<< mvpdiffc >>
+rect -96 9074 -62 11050
+rect 62 9074 96 11050
+rect -96 6838 -62 8814
+rect 62 6838 96 8814
+rect -96 4602 -62 6578
+rect 62 4602 96 6578
+rect -96 2366 -62 4342
+rect 62 2366 96 4342
+rect -96 130 -62 2106
+rect 62 130 96 2106
+rect -96 -2106 -62 -130
+rect 62 -2106 96 -130
+rect -96 -4342 -62 -2366
+rect 62 -4342 96 -2366
+rect -96 -6578 -62 -4602
+rect 62 -6578 96 -4602
+rect -96 -8814 -62 -6838
+rect 62 -8814 96 -6838
+rect -96 -11050 -62 -9074
+rect 62 -11050 96 -9074
+<< poly >>
+rect -50 11143 50 11159
+rect -50 11109 -34 11143
+rect 34 11109 50 11143
+rect -50 11062 50 11109
+rect -50 9015 50 9062
+rect -50 8981 -34 9015
+rect 34 8981 50 9015
+rect -50 8965 50 8981
+rect -50 8907 50 8923
+rect -50 8873 -34 8907
+rect 34 8873 50 8907
+rect -50 8826 50 8873
+rect -50 6779 50 6826
+rect -50 6745 -34 6779
+rect 34 6745 50 6779
+rect -50 6729 50 6745
+rect -50 6671 50 6687
+rect -50 6637 -34 6671
+rect 34 6637 50 6671
+rect -50 6590 50 6637
+rect -50 4543 50 4590
+rect -50 4509 -34 4543
+rect 34 4509 50 4543
+rect -50 4493 50 4509
+rect -50 4435 50 4451
+rect -50 4401 -34 4435
+rect 34 4401 50 4435
+rect -50 4354 50 4401
+rect -50 2307 50 2354
+rect -50 2273 -34 2307
+rect 34 2273 50 2307
+rect -50 2257 50 2273
+rect -50 2199 50 2215
+rect -50 2165 -34 2199
+rect 34 2165 50 2199
+rect -50 2118 50 2165
+rect -50 71 50 118
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 21 50 37
+rect -50 -37 50 -21
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -50 -118 50 -71
+rect -50 -2165 50 -2118
+rect -50 -2199 -34 -2165
+rect 34 -2199 50 -2165
+rect -50 -2215 50 -2199
+rect -50 -2273 50 -2257
+rect -50 -2307 -34 -2273
+rect 34 -2307 50 -2273
+rect -50 -2354 50 -2307
+rect -50 -4401 50 -4354
+rect -50 -4435 -34 -4401
+rect 34 -4435 50 -4401
+rect -50 -4451 50 -4435
+rect -50 -4509 50 -4493
+rect -50 -4543 -34 -4509
+rect 34 -4543 50 -4509
+rect -50 -4590 50 -4543
+rect -50 -6637 50 -6590
+rect -50 -6671 -34 -6637
+rect 34 -6671 50 -6637
+rect -50 -6687 50 -6671
+rect -50 -6745 50 -6729
+rect -50 -6779 -34 -6745
+rect 34 -6779 50 -6745
+rect -50 -6826 50 -6779
+rect -50 -8873 50 -8826
+rect -50 -8907 -34 -8873
+rect 34 -8907 50 -8873
+rect -50 -8923 50 -8907
+rect -50 -8981 50 -8965
+rect -50 -9015 -34 -8981
+rect 34 -9015 50 -8981
+rect -50 -9062 50 -9015
+rect -50 -11109 50 -11062
+rect -50 -11143 -34 -11109
+rect 34 -11143 50 -11109
+rect -50 -11159 50 -11143
+<< polycont >>
+rect -34 11109 34 11143
+rect -34 8981 34 9015
+rect -34 8873 34 8907
+rect -34 6745 34 6779
+rect -34 6637 34 6671
+rect -34 4509 34 4543
+rect -34 4401 34 4435
+rect -34 2273 34 2307
+rect -34 2165 34 2199
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -34 -2199 34 -2165
+rect -34 -2307 34 -2273
+rect -34 -4435 34 -4401
+rect -34 -4543 34 -4509
+rect -34 -6671 34 -6637
+rect -34 -6779 34 -6745
+rect -34 -8907 34 -8873
+rect -34 -9015 34 -8981
+rect -34 -11143 34 -11109
+<< locali >>
+rect -50 11109 -34 11143
+rect 34 11109 50 11143
+rect -96 11050 -62 11066
+rect -96 9058 -62 9074
+rect 62 11050 96 11066
+rect 62 9058 96 9074
+rect -50 8981 -34 9015
+rect 34 8981 50 9015
+rect -50 8873 -34 8907
+rect 34 8873 50 8907
+rect -96 8814 -62 8830
+rect -96 6822 -62 6838
+rect 62 8814 96 8830
+rect 62 6822 96 6838
+rect -50 6745 -34 6779
+rect 34 6745 50 6779
+rect -50 6637 -34 6671
+rect 34 6637 50 6671
+rect -96 6578 -62 6594
+rect -96 4586 -62 4602
+rect 62 6578 96 6594
+rect 62 4586 96 4602
+rect -50 4509 -34 4543
+rect 34 4509 50 4543
+rect -50 4401 -34 4435
+rect 34 4401 50 4435
+rect -96 4342 -62 4358
+rect -96 2350 -62 2366
+rect 62 4342 96 4358
+rect 62 2350 96 2366
+rect -50 2273 -34 2307
+rect 34 2273 50 2307
+rect -50 2165 -34 2199
+rect 34 2165 50 2199
+rect -96 2106 -62 2122
+rect -96 114 -62 130
+rect 62 2106 96 2122
+rect 62 114 96 130
+rect -50 37 -34 71
+rect 34 37 50 71
+rect -50 -71 -34 -37
+rect 34 -71 50 -37
+rect -96 -130 -62 -114
+rect -96 -2122 -62 -2106
+rect 62 -130 96 -114
+rect 62 -2122 96 -2106
+rect -50 -2199 -34 -2165
+rect 34 -2199 50 -2165
+rect -50 -2307 -34 -2273
+rect 34 -2307 50 -2273
+rect -96 -2366 -62 -2350
+rect -96 -4358 -62 -4342
+rect 62 -2366 96 -2350
+rect 62 -4358 96 -4342
+rect -50 -4435 -34 -4401
+rect 34 -4435 50 -4401
+rect -50 -4543 -34 -4509
+rect 34 -4543 50 -4509
+rect -96 -4602 -62 -4586
+rect -96 -6594 -62 -6578
+rect 62 -4602 96 -4586
+rect 62 -6594 96 -6578
+rect -50 -6671 -34 -6637
+rect 34 -6671 50 -6637
+rect -50 -6779 -34 -6745
+rect 34 -6779 50 -6745
+rect -96 -6838 -62 -6822
+rect -96 -8830 -62 -8814
+rect 62 -6838 96 -6822
+rect 62 -8830 96 -8814
+rect -50 -8907 -34 -8873
+rect 34 -8907 50 -8873
+rect -50 -9015 -34 -8981
+rect 34 -9015 50 -8981
+rect -96 -9074 -62 -9058
+rect -96 -11066 -62 -11050
+rect 62 -9074 96 -9058
+rect 62 -11066 96 -11050
+rect -50 -11143 -34 -11109
+rect 34 -11143 50 -11109
+<< viali >>
+rect -34 11109 34 11143
+rect -96 9074 -62 11050
+rect 62 9074 96 11050
+rect -34 8981 34 9015
+rect -34 8873 34 8907
+rect -96 6838 -62 8814
+rect 62 6838 96 8814
+rect -34 6745 34 6779
+rect -34 6637 34 6671
+rect -96 4602 -62 6578
+rect 62 4602 96 6578
+rect -34 4509 34 4543
+rect -34 4401 34 4435
+rect -96 2366 -62 4342
+rect 62 2366 96 4342
+rect -34 2273 34 2307
+rect -34 2165 34 2199
+rect -96 130 -62 2106
+rect 62 130 96 2106
+rect -34 37 34 71
+rect -34 -71 34 -37
+rect -96 -2106 -62 -130
+rect 62 -2106 96 -130
+rect -34 -2199 34 -2165
+rect -34 -2307 34 -2273
+rect -96 -4342 -62 -2366
+rect 62 -4342 96 -2366
+rect -34 -4435 34 -4401
+rect -34 -4543 34 -4509
+rect -96 -6578 -62 -4602
+rect 62 -6578 96 -4602
+rect -34 -6671 34 -6637
+rect -34 -6779 34 -6745
+rect -96 -8814 -62 -6838
+rect 62 -8814 96 -6838
+rect -34 -8907 34 -8873
+rect -34 -9015 34 -8981
+rect -96 -11050 -62 -9074
+rect 62 -11050 96 -9074
+rect -34 -11143 34 -11109
+<< metal1 >>
+rect -46 11143 46 11149
+rect -46 11109 -34 11143
+rect 34 11109 46 11143
+rect -46 11103 46 11109
+rect -102 11050 -56 11062
+rect -102 9074 -96 11050
+rect -62 9074 -56 11050
+rect -102 9062 -56 9074
+rect 56 11050 102 11062
+rect 56 9074 62 11050
+rect 96 9074 102 11050
+rect 56 9062 102 9074
+rect -46 9015 46 9021
+rect -46 8981 -34 9015
+rect 34 8981 46 9015
+rect -46 8975 46 8981
+rect -46 8907 46 8913
+rect -46 8873 -34 8907
+rect 34 8873 46 8907
+rect -46 8867 46 8873
+rect -102 8814 -56 8826
+rect -102 6838 -96 8814
+rect -62 6838 -56 8814
+rect -102 6826 -56 6838
+rect 56 8814 102 8826
+rect 56 6838 62 8814
+rect 96 6838 102 8814
+rect 56 6826 102 6838
+rect -46 6779 46 6785
+rect -46 6745 -34 6779
+rect 34 6745 46 6779
+rect -46 6739 46 6745
+rect -46 6671 46 6677
+rect -46 6637 -34 6671
+rect 34 6637 46 6671
+rect -46 6631 46 6637
+rect -102 6578 -56 6590
+rect -102 4602 -96 6578
+rect -62 4602 -56 6578
+rect -102 4590 -56 4602
+rect 56 6578 102 6590
+rect 56 4602 62 6578
+rect 96 4602 102 6578
+rect 56 4590 102 4602
+rect -46 4543 46 4549
+rect -46 4509 -34 4543
+rect 34 4509 46 4543
+rect -46 4503 46 4509
+rect -46 4435 46 4441
+rect -46 4401 -34 4435
+rect 34 4401 46 4435
+rect -46 4395 46 4401
+rect -102 4342 -56 4354
+rect -102 2366 -96 4342
+rect -62 2366 -56 4342
+rect -102 2354 -56 2366
+rect 56 4342 102 4354
+rect 56 2366 62 4342
+rect 96 2366 102 4342
+rect 56 2354 102 2366
+rect -46 2307 46 2313
+rect -46 2273 -34 2307
+rect 34 2273 46 2307
+rect -46 2267 46 2273
+rect -46 2199 46 2205
+rect -46 2165 -34 2199
+rect 34 2165 46 2199
+rect -46 2159 46 2165
+rect -102 2106 -56 2118
+rect -102 130 -96 2106
+rect -62 130 -56 2106
+rect -102 118 -56 130
+rect 56 2106 102 2118
+rect 56 130 62 2106
+rect 96 130 102 2106
+rect 56 118 102 130
+rect -46 71 46 77
+rect -46 37 -34 71
+rect 34 37 46 71
+rect -46 31 46 37
+rect -46 -37 46 -31
+rect -46 -71 -34 -37
+rect 34 -71 46 -37
+rect -46 -77 46 -71
+rect -102 -130 -56 -118
+rect -102 -2106 -96 -130
+rect -62 -2106 -56 -130
+rect -102 -2118 -56 -2106
+rect 56 -130 102 -118
+rect 56 -2106 62 -130
+rect 96 -2106 102 -130
+rect 56 -2118 102 -2106
+rect -46 -2165 46 -2159
+rect -46 -2199 -34 -2165
+rect 34 -2199 46 -2165
+rect -46 -2205 46 -2199
+rect -46 -2273 46 -2267
+rect -46 -2307 -34 -2273
+rect 34 -2307 46 -2273
+rect -46 -2313 46 -2307
+rect -102 -2366 -56 -2354
+rect -102 -4342 -96 -2366
+rect -62 -4342 -56 -2366
+rect -102 -4354 -56 -4342
+rect 56 -2366 102 -2354
+rect 56 -4342 62 -2366
+rect 96 -4342 102 -2366
+rect 56 -4354 102 -4342
+rect -46 -4401 46 -4395
+rect -46 -4435 -34 -4401
+rect 34 -4435 46 -4401
+rect -46 -4441 46 -4435
+rect -46 -4509 46 -4503
+rect -46 -4543 -34 -4509
+rect 34 -4543 46 -4509
+rect -46 -4549 46 -4543
+rect -102 -4602 -56 -4590
+rect -102 -6578 -96 -4602
+rect -62 -6578 -56 -4602
+rect -102 -6590 -56 -6578
+rect 56 -4602 102 -4590
+rect 56 -6578 62 -4602
+rect 96 -6578 102 -4602
+rect 56 -6590 102 -6578
+rect -46 -6637 46 -6631
+rect -46 -6671 -34 -6637
+rect 34 -6671 46 -6637
+rect -46 -6677 46 -6671
+rect -46 -6745 46 -6739
+rect -46 -6779 -34 -6745
+rect 34 -6779 46 -6745
+rect -46 -6785 46 -6779
+rect -102 -6838 -56 -6826
+rect -102 -8814 -96 -6838
+rect -62 -8814 -56 -6838
+rect -102 -8826 -56 -8814
+rect 56 -6838 102 -6826
+rect 56 -8814 62 -6838
+rect 96 -8814 102 -6838
+rect 56 -8826 102 -8814
+rect -46 -8873 46 -8867
+rect -46 -8907 -34 -8873
+rect 34 -8907 46 -8873
+rect -46 -8913 46 -8907
+rect -46 -8981 46 -8975
+rect -46 -9015 -34 -8981
+rect 34 -9015 46 -8981
+rect -46 -9021 46 -9015
+rect -102 -9074 -56 -9062
+rect -102 -11050 -96 -9074
+rect -62 -11050 -56 -9074
+rect -102 -11062 -56 -11050
+rect 56 -9074 102 -9062
+rect 56 -11050 62 -9074
+rect 96 -11050 102 -9074
+rect 56 -11062 102 -11050
+rect -46 -11109 46 -11103
+rect -46 -11143 -34 -11109
+rect 34 -11143 46 -11109
+rect -46 -11149 46 -11143
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 10 l 0.50 m 10 nf 1 diffcov 100 polycov 100 guard 0 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_NCVKVF.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_NCVKVF.mag
new file mode 100644
index 0000000..1bd389b
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_NCVKVF.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647224113
+<< error_p >>
+rect -87 131 87 133
+rect -87 -131 -72 131
+rect -54 98 54 100
+rect -54 -98 -39 98
+rect 39 -98 54 98
+rect -54 -100 54 -98
+rect 72 -131 87 131
+rect -87 -133 87 -131
+<< nwell >>
+rect -72 -131 72 131
+<< mvpmos >>
+rect -25 -100 25 100
+<< mvpdiff >>
+rect -54 94 -25 100
+rect -54 -94 -48 94
+rect -31 -94 -25 94
+rect -54 -100 -25 -94
+rect 25 94 54 100
+rect 25 -94 31 94
+rect 48 -94 54 94
+rect 25 -100 54 -94
+<< mvpdiffc >>
+rect -48 -94 -31 94
+rect 31 -94 48 94
+<< poly >>
+rect -25 100 25 113
+rect -25 -113 25 -100
+<< locali >>
+rect -48 94 -31 102
+rect -48 -102 -31 -94
+rect 31 94 48 102
+rect 31 -102 48 -94
+<< viali >>
+rect -48 -94 -31 94
+rect 31 -94 48 94
+<< metal1 >>
+rect -51 94 -28 100
+rect -51 -94 -48 94
+rect -31 -94 -28 94
+rect -51 -100 -28 -94
+rect 28 94 51 100
+rect 28 -94 31 94
+rect 48 -94 51 94
+rect 28 -100 51 -94
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 2 l 0.5 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_SCVKVF.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_SCVKVF.mag
new file mode 100644
index 0000000..2faef4a
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_SCVKVF.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647221806
+<< error_p >>
+rect -87 81 87 83
+rect -87 -81 -72 81
+rect -54 48 54 50
+rect -54 -48 -39 48
+rect 39 -48 54 48
+rect -54 -50 54 -48
+rect 72 -81 87 81
+rect -87 -83 87 -81
+<< nwell >>
+rect -72 -81 72 81
+<< mvpmos >>
+rect -25 -50 25 50
+<< mvpdiff >>
+rect -54 44 -25 50
+rect -54 -44 -48 44
+rect -31 -44 -25 44
+rect -54 -50 -25 -44
+rect 25 44 54 50
+rect 25 -44 31 44
+rect 48 -44 54 44
+rect 25 -50 54 -44
+<< mvpdiffc >>
+rect -48 -44 -31 44
+rect 31 -44 48 44
+<< poly >>
+rect -25 50 25 63
+rect -25 -63 25 -50
+<< locali >>
+rect -48 44 -31 52
+rect -48 -52 -31 -44
+rect 31 44 48 52
+rect 31 -52 48 -44
+<< viali >>
+rect -48 -44 -31 44
+rect 31 -44 48 44
+<< metal1 >>
+rect -51 44 -28 50
+rect -51 -44 -48 44
+rect -31 -44 -28 44
+rect -51 -50 -28 -44
+rect 28 44 51 50
+rect 28 -44 31 44
+rect 48 -44 51 44
+rect 28 -50 51 -44
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 1 l 0.5 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_TCBS39.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_TCBS39.mag
new file mode 100644
index 0000000..b89c0f9
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_TCBS39.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647289276
+<< error_p >>
+rect -87 81 87 83
+rect -87 -81 -72 81
+rect -54 48 54 50
+rect -54 -48 -39 48
+rect 39 -48 54 48
+rect -54 -50 54 -48
+rect 72 -81 87 81
+rect -87 -83 87 -81
+<< nwell >>
+rect -72 -81 72 81
+<< mvpmos >>
+rect -25 -50 25 50
+<< mvpdiff >>
+rect -54 44 -25 50
+rect -54 -44 -48 44
+rect -31 -44 -25 44
+rect -54 -50 -25 -44
+rect 25 44 54 50
+rect 25 -44 31 44
+rect 48 -44 54 44
+rect 25 -50 54 -44
+<< mvpdiffc >>
+rect -48 -44 -31 44
+rect 31 -44 48 44
+<< poly >>
+rect -25 50 25 63
+rect -25 -63 25 -50
+<< locali >>
+rect -48 44 -31 52
+rect -48 -52 -31 -44
+rect 31 44 48 52
+rect 31 -52 48 -44
+<< viali >>
+rect -48 -44 -31 44
+rect 31 -44 48 44
+<< metal1 >>
+rect -51 44 -28 50
+rect -51 -44 -48 44
+rect -31 -44 -28 44
+rect -51 -50 -28 -44
+rect 28 44 51 50
+rect 28 -44 31 44
+rect 48 -44 51 44
+rect 28 -50 51 -44
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 1 l 0.50 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 0 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_WE88DU.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_WE88DU.mag
new file mode 100644
index 0000000..2bfd3a7
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_WE88DU.mag
@@ -0,0 +1,73 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1648647696
+<< error_p >>
+rect -174 -1066 -144 1066
+rect -108 -1000 -78 1000
+rect 78 -1000 108 1000
+rect 144 -1066 174 1066
+<< nwell >>
+rect -144 -1100 144 1100
+<< mvpmos >>
+rect -50 -1000 50 1000
+<< mvpdiff >>
+rect -108 988 -50 1000
+rect -108 -988 -96 988
+rect -62 -988 -50 988
+rect -108 -1000 -50 -988
+rect 50 988 108 1000
+rect 50 -988 62 988
+rect 96 -988 108 988
+rect 50 -1000 108 -988
+<< mvpdiffc >>
+rect -96 -988 -62 988
+rect 62 -988 96 988
+<< poly >>
+rect -50 1081 50 1097
+rect -50 1047 -34 1081
+rect 34 1047 50 1081
+rect -50 1000 50 1047
+rect -50 -1047 50 -1000
+rect -50 -1081 -34 -1047
+rect 34 -1081 50 -1047
+rect -50 -1097 50 -1081
+<< polycont >>
+rect -34 1047 34 1081
+rect -34 -1081 34 -1047
+<< locali >>
+rect -50 1047 -34 1081
+rect 34 1047 50 1081
+rect -96 988 -62 1004
+rect -96 -1004 -62 -988
+rect 62 988 96 1004
+rect 62 -1004 96 -988
+rect -50 -1081 -34 -1047
+rect 34 -1081 50 -1047
+<< viali >>
+rect -34 1047 34 1081
+rect -96 -988 -62 988
+rect 62 -988 96 988
+rect -34 -1081 34 -1047
+<< metal1 >>
+rect -46 1081 46 1087
+rect -46 1047 -34 1081
+rect 34 1047 46 1081
+rect -46 1041 46 1047
+rect -102 988 -56 1000
+rect -102 -988 -96 988
+rect -62 -988 -56 988
+rect -102 -1000 -56 -988
+rect 56 988 102 1000
+rect 56 -988 62 988
+rect 96 -988 102 988
+rect 56 -1000 102 -988
+rect -46 -1047 46 -1041
+rect -46 -1081 -34 -1047
+rect 34 -1081 46 -1047
+rect -46 -1087 46 -1081
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 10 l 0.50 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_XFUK3A.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_XFUK3A.mag
new file mode 100644
index 0000000..e367641
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_XFUK3A.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647286562
+<< error_p >>
+rect -212 131 212 133
+rect -212 -131 -197 131
+rect -179 98 179 100
+rect -179 -98 -164 98
+rect 164 -98 179 98
+rect -179 -100 179 -98
+rect 197 -131 212 131
+rect -212 -133 212 -131
+<< nwell >>
+rect -197 -131 197 131
+<< mvpmos >>
+rect -150 -100 150 100
+<< mvpdiff >>
+rect -179 94 -150 100
+rect -179 -94 -173 94
+rect -156 -94 -150 94
+rect -179 -100 -150 -94
+rect 150 94 179 100
+rect 150 -94 156 94
+rect 173 -94 179 94
+rect 150 -100 179 -94
+<< mvpdiffc >>
+rect -173 -94 -156 94
+rect 156 -94 173 94
+<< poly >>
+rect -150 100 150 113
+rect -150 -113 150 -100
+<< locali >>
+rect -173 94 -156 102
+rect -173 -102 -156 -94
+rect 156 94 173 102
+rect 156 -102 173 -94
+<< viali >>
+rect -173 -94 -156 94
+rect 156 -94 173 94
+<< metal1 >>
+rect -176 94 -153 100
+rect -176 -94 -173 94
+rect -156 -94 -153 94
+rect -176 -100 -153 -94
+rect 153 94 176 100
+rect 153 -94 156 94
+rect 173 -94 176 94
+rect 153 -100 176 -94
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 2 l 3 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 1 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_XH3L3A.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_XH3L3A.mag
new file mode 100644
index 0000000..7f484a1
--- /dev/null
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_XH3L3A.mag
@@ -0,0 +1,53 @@
+magic
+tech sky130B
+timestamp 1647286248
+<< error_p >>
+rect -212 131 212 133
+rect -212 -131 -197 131
+rect -179 98 179 100
+rect -179 -98 -164 98
+rect 164 -98 179 98
+rect -179 -100 179 -98
+rect 197 -131 212 131
+rect -212 -133 212 -131
+<< nwell >>
+rect -197 -131 197 131
+<< mvpmos >>
+rect -150 -100 150 100
+<< mvpdiff >>
+rect -179 94 -150 100
+rect -179 -94 -173 94
+rect -156 -94 -150 94
+rect -179 -100 -150 -94
+rect 150 94 179 100
+rect 150 -94 156 94
+rect 173 -94 179 94
+rect 150 -100 179 -94
+<< mvpdiffc >>
+rect -173 -94 -156 94
+rect 156 -94 173 94
+<< poly >>
+rect -150 100 150 113
+rect -150 -113 150 -100
+<< locali >>
+rect -173 94 -156 102
+rect -173 -102 -156 -94
+rect 156 94 173 102
+rect 156 -102 173 -94
+<< viali >>
+rect -173 -94 -156 94
+rect 156 -94 173 94
+<< metal1 >>
+rect -176 94 -153 100
+rect -176 -94 -173 94
+rect -156 -94 -153 94
+rect -176 -100 -153 -94
+rect 153 94 176 100
+rect 153 -94 156 94
+rect 173 -94 176 94
+rect 153 -100 176 -94
+<< properties >>
+string gencell sky130_fd_pr__pfet_g5v0d10v5
+string parameters w 2 l 3 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.50 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
index 07202c9..08a17b0 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_YEUEBV.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606063140
 << nwell >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
index ceaf969..eb421da 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPBG.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606063140
 << error_p >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
index 23ef875..19fe898 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_YUHPXE.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606063140
 << error_p >>
diff --git a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag b/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
index f094850..b8eb64f 100644
--- a/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
+++ b/mag/sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606063140
 << nwell >>
diff --git a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag b/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
index dbaae87..5bd3cec 100644
--- a/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
+++ b/mag/sky130_fd_pr__res_xhigh_po_0p69_S5N9F3.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1606074388
 << pwell >>
diff --git a/mag/sky130_sc_ams__comparator_1.mag b/mag/sky130_sc_ams__comparator_1.mag
new file mode 100644
index 0000000..2f368e5
--- /dev/null
+++ b/mag/sky130_sc_ams__comparator_1.mag
@@ -0,0 +1,1542 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1647467084
+<< nwell >>
+rect -38 414 4914 582
+rect -38 261 130 414
+rect 2171 261 3282 414
+rect 2171 157 2465 261
+rect 3980 264 4914 414
+rect 3980 261 4372 264
+<< pwell >>
+rect 172 222 2139 381
+rect 0 48 2139 222
+rect 2915 213 2966 250
+rect 3314 213 3948 381
+rect 4426 213 4700 222
+rect 2483 48 4700 213
+rect 0 -48 4873 48
+<< nmos >>
+rect 337 47 367 345
+rect 423 47 453 345
+rect 799 146 829 346
+rect 885 146 915 346
+rect 971 146 1001 346
+rect 1057 146 1087 346
+rect 1143 146 1173 346
+rect 1229 146 1259 346
+rect 1315 146 1345 346
+rect 1401 146 1431 346
+rect 1594 47 1794 345
+rect 1850 47 2050 345
+rect 2586 48 2616 188
+rect 2776 48 2806 188
+rect 2966 48 2996 188
+rect 3156 48 3186 188
+rect 3403 47 3603 345
+rect 3659 47 3859 345
+rect 4069 47 4099 177
+rect 4259 47 4289 177
+rect 4505 67 4535 196
+rect 4591 67 4621 196
+<< pmos >>
+rect 2260 357 2290 447
+rect 2346 357 2376 447
+rect 2586 351 2616 477
+rect 2776 351 2806 477
+rect 2966 351 2996 477
+rect 3156 351 3186 477
+rect 2260 198 2290 288
+rect 2346 198 2376 288
+rect 4069 297 4099 497
+rect 4259 297 4289 497
+rect 4505 357 4535 497
+rect 4591 357 4621 497
+<< ndiff >>
+rect 281 315 337 345
+rect 281 281 292 315
+rect 326 281 337 315
+rect 281 247 337 281
+rect 281 213 292 247
+rect 326 213 337 247
+rect 281 179 337 213
+rect 281 145 292 179
+rect 326 145 337 179
+rect 281 111 337 145
+rect 281 77 292 111
+rect 326 77 337 111
+rect 281 47 337 77
+rect 367 315 423 345
+rect 367 281 378 315
+rect 412 281 423 315
+rect 367 247 423 281
+rect 367 213 378 247
+rect 412 213 423 247
+rect 367 179 423 213
+rect 367 145 378 179
+rect 412 145 423 179
+rect 367 111 423 145
+rect 367 77 378 111
+rect 412 77 423 111
+rect 367 47 423 77
+rect 453 315 509 345
+rect 453 281 464 315
+rect 498 281 509 315
+rect 743 331 799 346
+rect 743 297 754 331
+rect 788 297 799 331
+rect 453 247 509 281
+rect 453 213 464 247
+rect 498 213 509 247
+rect 453 179 509 213
+rect 743 263 799 297
+rect 743 229 754 263
+rect 788 229 799 263
+rect 453 145 464 179
+rect 498 145 509 179
+rect 743 195 799 229
+rect 743 161 754 195
+rect 788 161 799 195
+rect 743 146 799 161
+rect 829 331 885 346
+rect 829 297 840 331
+rect 874 297 885 331
+rect 829 263 885 297
+rect 829 229 840 263
+rect 874 229 885 263
+rect 829 195 885 229
+rect 829 161 840 195
+rect 874 161 885 195
+rect 829 146 885 161
+rect 915 331 971 346
+rect 915 297 926 331
+rect 960 297 971 331
+rect 915 263 971 297
+rect 915 229 926 263
+rect 960 229 971 263
+rect 915 195 971 229
+rect 915 161 926 195
+rect 960 161 971 195
+rect 915 146 971 161
+rect 1001 331 1057 346
+rect 1001 297 1012 331
+rect 1046 297 1057 331
+rect 1001 263 1057 297
+rect 1001 229 1012 263
+rect 1046 229 1057 263
+rect 1001 195 1057 229
+rect 1001 161 1012 195
+rect 1046 161 1057 195
+rect 1001 146 1057 161
+rect 1087 331 1143 346
+rect 1087 297 1098 331
+rect 1132 297 1143 331
+rect 1087 263 1143 297
+rect 1087 229 1098 263
+rect 1132 229 1143 263
+rect 1087 195 1143 229
+rect 1087 161 1098 195
+rect 1132 161 1143 195
+rect 1087 146 1143 161
+rect 1173 331 1229 346
+rect 1173 297 1184 331
+rect 1218 297 1229 331
+rect 1173 263 1229 297
+rect 1173 229 1184 263
+rect 1218 229 1229 263
+rect 1173 195 1229 229
+rect 1173 161 1184 195
+rect 1218 161 1229 195
+rect 1173 146 1229 161
+rect 1259 331 1315 346
+rect 1259 297 1270 331
+rect 1304 297 1315 331
+rect 1259 263 1315 297
+rect 1259 229 1270 263
+rect 1304 229 1315 263
+rect 1259 195 1315 229
+rect 1259 161 1270 195
+rect 1304 161 1315 195
+rect 1259 146 1315 161
+rect 1345 331 1401 346
+rect 1345 297 1356 331
+rect 1390 297 1401 331
+rect 1345 263 1401 297
+rect 1345 229 1356 263
+rect 1390 229 1401 263
+rect 1345 195 1401 229
+rect 1345 161 1356 195
+rect 1390 161 1401 195
+rect 1345 146 1401 161
+rect 1431 331 1487 346
+rect 1431 297 1442 331
+rect 1476 297 1487 331
+rect 1431 263 1487 297
+rect 1431 229 1442 263
+rect 1476 229 1487 263
+rect 1431 195 1487 229
+rect 1431 161 1442 195
+rect 1476 161 1487 195
+rect 1431 146 1487 161
+rect 453 111 509 145
+rect 453 77 464 111
+rect 498 77 509 111
+rect 453 47 509 77
+rect 1541 100 1594 345
+rect 1541 66 1549 100
+rect 1583 66 1594 100
+rect 1541 47 1594 66
+rect 1794 100 1850 345
+rect 1794 66 1805 100
+rect 1839 66 1850 100
+rect 1794 47 1850 66
+rect 2050 100 2103 345
+rect 2533 169 2586 188
+rect 2050 66 2061 100
+rect 2095 66 2103 100
+rect 2050 47 2103 66
+rect 2533 135 2541 169
+rect 2575 135 2586 169
+rect 2533 101 2586 135
+rect 2533 67 2541 101
+rect 2575 67 2586 101
+rect 2533 48 2586 67
+rect 2616 169 2669 188
+rect 2616 135 2627 169
+rect 2661 135 2669 169
+rect 2616 101 2669 135
+rect 2616 67 2627 101
+rect 2661 67 2669 101
+rect 2616 48 2669 67
+rect 2723 169 2776 188
+rect 2723 135 2731 169
+rect 2765 135 2776 169
+rect 2723 101 2776 135
+rect 2723 67 2731 101
+rect 2765 67 2776 101
+rect 2723 48 2776 67
+rect 2806 169 2859 188
+rect 2806 135 2817 169
+rect 2851 135 2859 169
+rect 2806 101 2859 135
+rect 2806 67 2817 101
+rect 2851 67 2859 101
+rect 2806 48 2859 67
+rect 2913 169 2966 188
+rect 2913 135 2921 169
+rect 2955 135 2966 169
+rect 2913 101 2966 135
+rect 2913 67 2921 101
+rect 2955 67 2966 101
+rect 2913 48 2966 67
+rect 2996 169 3049 188
+rect 2996 135 3007 169
+rect 3041 135 3049 169
+rect 2996 101 3049 135
+rect 2996 67 3007 101
+rect 3041 67 3049 101
+rect 2996 48 3049 67
+rect 3103 169 3156 188
+rect 3103 135 3111 169
+rect 3145 135 3156 169
+rect 3103 101 3156 135
+rect 3103 67 3111 101
+rect 3145 67 3156 101
+rect 3103 48 3156 67
+rect 3186 169 3239 188
+rect 3186 135 3197 169
+rect 3231 135 3239 169
+rect 3186 101 3239 135
+rect 3186 67 3197 101
+rect 3231 67 3239 101
+rect 3186 48 3239 67
+rect 3350 100 3403 345
+rect 3350 66 3358 100
+rect 3392 66 3403 100
+rect 3350 47 3403 66
+rect 3603 100 3659 345
+rect 3603 66 3614 100
+rect 3648 66 3659 100
+rect 3603 47 3659 66
+rect 3859 100 3912 345
+rect 4452 184 4505 196
+rect 3859 66 3870 100
+rect 3904 66 3912 100
+rect 3859 47 3912 66
+rect 4016 161 4069 177
+rect 4016 127 4024 161
+rect 4058 127 4069 161
+rect 4016 93 4069 127
+rect 4016 59 4024 93
+rect 4058 59 4069 93
+rect 4016 47 4069 59
+rect 4099 161 4152 177
+rect 4099 127 4110 161
+rect 4144 127 4152 161
+rect 4099 93 4152 127
+rect 4099 59 4110 93
+rect 4144 59 4152 93
+rect 4099 47 4152 59
+rect 4206 161 4259 177
+rect 4206 127 4214 161
+rect 4248 127 4259 161
+rect 4206 93 4259 127
+rect 4206 59 4214 93
+rect 4248 59 4259 93
+rect 4206 47 4259 59
+rect 4289 161 4342 177
+rect 4289 127 4300 161
+rect 4334 127 4342 161
+rect 4289 93 4342 127
+rect 4452 149 4460 184
+rect 4494 149 4505 184
+rect 4289 59 4300 93
+rect 4334 59 4342 93
+rect 4289 47 4342 59
+rect 4452 114 4505 149
+rect 4452 80 4460 114
+rect 4494 80 4505 114
+rect 4452 67 4505 80
+rect 4535 184 4591 196
+rect 4535 149 4546 184
+rect 4580 149 4591 184
+rect 4535 114 4591 149
+rect 4535 80 4546 114
+rect 4580 80 4591 114
+rect 4535 67 4591 80
+rect 4621 184 4674 196
+rect 4621 149 4632 184
+rect 4666 149 4674 184
+rect 4621 114 4674 149
+rect 4621 80 4632 114
+rect 4666 80 4674 114
+rect 4621 67 4674 80
+<< pdiff >>
+rect 4016 485 4069 497
+rect 2533 465 2586 477
+rect 2207 419 2260 447
+rect 2207 385 2215 419
+rect 2249 385 2260 419
+rect 2207 357 2260 385
+rect 2290 419 2346 447
+rect 2290 385 2301 419
+rect 2335 385 2346 419
+rect 2290 357 2346 385
+rect 2376 419 2429 447
+rect 2376 385 2387 419
+rect 2421 385 2429 419
+rect 2376 357 2429 385
+rect 2533 431 2541 465
+rect 2575 431 2586 465
+rect 2533 397 2586 431
+rect 2533 363 2541 397
+rect 2575 363 2586 397
+rect 2533 351 2586 363
+rect 2616 465 2669 477
+rect 2616 431 2627 465
+rect 2661 431 2669 465
+rect 2616 397 2669 431
+rect 2616 363 2627 397
+rect 2661 363 2669 397
+rect 2616 351 2669 363
+rect 2723 465 2776 477
+rect 2723 431 2731 465
+rect 2765 431 2776 465
+rect 2723 397 2776 431
+rect 2723 363 2731 397
+rect 2765 363 2776 397
+rect 2723 351 2776 363
+rect 2806 465 2859 477
+rect 2806 431 2817 465
+rect 2851 431 2859 465
+rect 2806 397 2859 431
+rect 2806 363 2817 397
+rect 2851 363 2859 397
+rect 2806 351 2859 363
+rect 2913 465 2966 477
+rect 2913 431 2921 465
+rect 2955 431 2966 465
+rect 2913 397 2966 431
+rect 2913 363 2921 397
+rect 2955 363 2966 397
+rect 2913 351 2966 363
+rect 2996 465 3049 477
+rect 2996 431 3007 465
+rect 3041 431 3049 465
+rect 2996 397 3049 431
+rect 2996 363 3007 397
+rect 3041 363 3049 397
+rect 2996 351 3049 363
+rect 3103 465 3156 477
+rect 3103 431 3111 465
+rect 3145 431 3156 465
+rect 3103 397 3156 431
+rect 3103 363 3111 397
+rect 3145 363 3156 397
+rect 3103 351 3156 363
+rect 3186 465 3239 477
+rect 3186 431 3197 465
+rect 3231 431 3239 465
+rect 3186 397 3239 431
+rect 4016 451 4024 485
+rect 4058 451 4069 485
+rect 3186 363 3197 397
+rect 3231 363 3239 397
+rect 4016 417 4069 451
+rect 4016 383 4024 417
+rect 4058 383 4069 417
+rect 3186 351 3239 363
+rect 2207 260 2260 288
+rect 2207 226 2215 260
+rect 2249 226 2260 260
+rect 2207 198 2260 226
+rect 2290 260 2346 288
+rect 2290 226 2301 260
+rect 2335 226 2346 260
+rect 2290 198 2346 226
+rect 2376 260 2429 288
+rect 2376 226 2387 260
+rect 2421 226 2429 260
+rect 2376 198 2429 226
+rect 4016 349 4069 383
+rect 4016 315 4024 349
+rect 4058 315 4069 349
+rect 4016 297 4069 315
+rect 4099 485 4152 497
+rect 4099 451 4110 485
+rect 4144 451 4152 485
+rect 4099 417 4152 451
+rect 4099 383 4110 417
+rect 4144 383 4152 417
+rect 4099 349 4152 383
+rect 4099 315 4110 349
+rect 4144 315 4152 349
+rect 4099 297 4152 315
+rect 4206 485 4259 497
+rect 4206 451 4214 485
+rect 4248 451 4259 485
+rect 4206 417 4259 451
+rect 4206 383 4214 417
+rect 4248 383 4259 417
+rect 4206 349 4259 383
+rect 4206 315 4214 349
+rect 4248 315 4259 349
+rect 4206 297 4259 315
+rect 4289 485 4342 497
+rect 4289 451 4300 485
+rect 4334 451 4342 485
+rect 4289 417 4342 451
+rect 4289 383 4300 417
+rect 4334 383 4342 417
+rect 4289 349 4342 383
+rect 4452 474 4505 497
+rect 4452 439 4460 474
+rect 4494 439 4505 474
+rect 4452 404 4505 439
+rect 4452 369 4460 404
+rect 4494 369 4505 404
+rect 4452 357 4505 369
+rect 4535 474 4591 497
+rect 4535 439 4546 474
+rect 4580 439 4591 474
+rect 4535 404 4591 439
+rect 4535 369 4546 404
+rect 4580 369 4591 404
+rect 4535 357 4591 369
+rect 4621 474 4674 497
+rect 4621 439 4632 474
+rect 4666 439 4674 474
+rect 4621 404 4674 439
+rect 4621 369 4632 404
+rect 4666 369 4674 404
+rect 4621 357 4674 369
+rect 4289 315 4300 349
+rect 4334 315 4342 349
+rect 4289 297 4342 315
+<< ndiffc >>
+rect 292 281 326 315
+rect 292 213 326 247
+rect 292 145 326 179
+rect 292 77 326 111
+rect 378 281 412 315
+rect 378 213 412 247
+rect 378 145 412 179
+rect 378 77 412 111
+rect 464 281 498 315
+rect 754 297 788 331
+rect 464 213 498 247
+rect 754 229 788 263
+rect 464 145 498 179
+rect 754 161 788 195
+rect 840 297 874 331
+rect 840 229 874 263
+rect 840 161 874 195
+rect 926 297 960 331
+rect 926 229 960 263
+rect 926 161 960 195
+rect 1012 297 1046 331
+rect 1012 229 1046 263
+rect 1012 161 1046 195
+rect 1098 297 1132 331
+rect 1098 229 1132 263
+rect 1098 161 1132 195
+rect 1184 297 1218 331
+rect 1184 229 1218 263
+rect 1184 161 1218 195
+rect 1270 297 1304 331
+rect 1270 229 1304 263
+rect 1270 161 1304 195
+rect 1356 297 1390 331
+rect 1356 229 1390 263
+rect 1356 161 1390 195
+rect 1442 297 1476 331
+rect 1442 229 1476 263
+rect 1442 161 1476 195
+rect 464 77 498 111
+rect 1549 66 1583 100
+rect 1805 66 1839 100
+rect 2061 66 2095 100
+rect 2541 135 2575 169
+rect 2541 67 2575 101
+rect 2627 135 2661 169
+rect 2627 67 2661 101
+rect 2731 135 2765 169
+rect 2731 67 2765 101
+rect 2817 135 2851 169
+rect 2817 67 2851 101
+rect 2921 135 2955 169
+rect 2921 67 2955 101
+rect 3007 135 3041 169
+rect 3007 67 3041 101
+rect 3111 135 3145 169
+rect 3111 67 3145 101
+rect 3197 135 3231 169
+rect 3197 67 3231 101
+rect 3358 66 3392 100
+rect 3614 66 3648 100
+rect 3870 66 3904 100
+rect 4024 127 4058 161
+rect 4024 59 4058 93
+rect 4110 127 4144 161
+rect 4110 59 4144 93
+rect 4214 127 4248 161
+rect 4214 59 4248 93
+rect 4300 127 4334 161
+rect 4460 149 4494 184
+rect 4300 59 4334 93
+rect 4460 80 4494 114
+rect 4546 149 4580 184
+rect 4546 80 4580 114
+rect 4632 149 4666 184
+rect 4632 80 4666 114
+<< pdiffc >>
+rect 2215 385 2249 419
+rect 2301 385 2335 419
+rect 2387 385 2421 419
+rect 2541 431 2575 465
+rect 2541 363 2575 397
+rect 2627 431 2661 465
+rect 2627 363 2661 397
+rect 2731 431 2765 465
+rect 2731 363 2765 397
+rect 2817 431 2851 465
+rect 2817 363 2851 397
+rect 2921 431 2955 465
+rect 2921 363 2955 397
+rect 3007 431 3041 465
+rect 3007 363 3041 397
+rect 3111 431 3145 465
+rect 3111 363 3145 397
+rect 3197 431 3231 465
+rect 4024 451 4058 485
+rect 3197 363 3231 397
+rect 4024 383 4058 417
+rect 2215 226 2249 260
+rect 2301 226 2335 260
+rect 2387 226 2421 260
+rect 4024 315 4058 349
+rect 4110 451 4144 485
+rect 4110 383 4144 417
+rect 4110 315 4144 349
+rect 4214 451 4248 485
+rect 4214 383 4248 417
+rect 4214 315 4248 349
+rect 4300 451 4334 485
+rect 4300 383 4334 417
+rect 4460 439 4494 474
+rect 4460 369 4494 404
+rect 4546 439 4580 474
+rect 4546 369 4580 404
+rect 4632 439 4666 474
+rect 4632 369 4666 404
+rect 4300 315 4334 349
+<< poly >>
+rect 380 486 2376 516
+rect 118 392 172 408
+rect 118 358 128 392
+rect 162 391 172 392
+rect 162 390 330 391
+rect 380 390 410 486
+rect 2260 447 2290 486
+rect 2346 447 2376 486
+rect 2586 477 2616 503
+rect 2776 477 2806 503
+rect 2966 477 2996 503
+rect 3156 477 3186 503
+rect 4069 497 4099 523
+rect 4259 497 4289 523
+rect 4505 497 4535 523
+rect 4591 497 4621 523
+rect 654 414 1345 444
+rect 162 360 453 390
+rect 162 358 172 360
+rect 118 342 172 358
+rect 337 345 367 360
+rect 423 345 453 360
+rect 654 347 684 414
+rect 642 331 696 347
+rect 799 346 829 372
+rect 885 346 915 414
+rect 971 346 1001 414
+rect 1057 346 1087 372
+rect 1143 346 1173 372
+rect 1229 346 1259 414
+rect 1315 346 1345 414
+rect 1661 417 1727 427
+rect 1661 383 1677 417
+rect 1711 383 1727 417
+rect 1661 372 1727 383
+rect 1917 417 1983 427
+rect 1917 383 1933 417
+rect 1967 383 1983 417
+rect 1917 372 1983 383
+rect 1401 346 1431 372
+rect 642 297 652 331
+rect 686 297 696 331
+rect 642 281 696 297
+rect 642 195 696 211
+rect 642 161 652 195
+rect 686 161 696 195
+rect 642 145 696 161
+rect 1594 345 1794 372
+rect 1850 345 2050 372
+rect 654 78 684 145
+rect 799 78 829 146
+rect 885 120 915 146
+rect 971 120 1001 146
+rect 1057 78 1087 146
+rect 1143 78 1173 146
+rect 1229 120 1259 146
+rect 1315 120 1345 146
+rect 1401 78 1431 146
+rect 654 48 1431 78
+rect 2260 288 2290 357
+rect 2346 288 2376 357
+rect 3470 417 3536 427
+rect 3470 383 3486 417
+rect 3520 383 3536 417
+rect 3470 372 3536 383
+rect 3726 417 3792 427
+rect 3726 383 3742 417
+rect 3776 383 3792 417
+rect 3726 372 3792 383
+rect 2586 277 2616 351
+rect 2776 300 2806 351
+rect 2853 305 2919 315
+rect 2853 300 2869 305
+rect 2574 260 2628 277
+rect 2574 226 2584 260
+rect 2618 226 2628 260
+rect 2574 208 2628 226
+rect 2776 271 2869 300
+rect 2903 300 2919 305
+rect 2966 300 2996 351
+rect 2903 271 2996 300
+rect 3156 278 3186 351
+rect 3403 345 3603 372
+rect 3659 345 3859 372
+rect 2776 270 2996 271
+rect 2260 167 2290 198
+rect 2346 167 2376 198
+rect 2586 188 2616 208
+rect 2776 188 2806 270
+rect 2853 261 2919 270
+rect 2966 188 2996 270
+rect 3144 260 3198 278
+rect 3144 226 3154 260
+rect 3188 226 3198 260
+rect 3144 209 3198 226
+rect 3156 188 3186 209
+rect 337 21 367 47
+rect 423 21 453 47
+rect 1594 21 1794 47
+rect 1850 21 2050 47
+rect 2586 22 2616 48
+rect 2776 22 2806 48
+rect 2966 22 2996 48
+rect 3156 22 3186 48
+rect 4505 319 4535 357
+rect 4444 309 4535 319
+rect 4069 260 4099 297
+rect 4259 260 4289 297
+rect 4444 274 4460 309
+rect 4494 274 4535 309
+rect 4444 264 4535 274
+rect 4591 319 4621 357
+rect 4591 309 4682 319
+rect 4591 274 4632 309
+rect 4666 274 4682 309
+rect 4591 264 4682 274
+rect 4010 250 4099 260
+rect 4010 216 4026 250
+rect 4060 216 4099 250
+rect 4010 206 4099 216
+rect 4200 250 4289 260
+rect 4200 216 4216 250
+rect 4250 216 4289 250
+rect 4200 206 4289 216
+rect 4069 177 4099 206
+rect 4259 177 4289 206
+rect 4505 196 4535 222
+rect 4591 196 4621 222
+rect 4370 109 4424 125
+rect 4370 75 4380 109
+rect 4414 75 4424 109
+rect 4370 52 4424 75
+rect 4702 119 4756 135
+rect 4702 85 4712 119
+rect 4746 85 4756 119
+rect 4505 52 4535 67
+rect 3403 21 3603 47
+rect 3659 21 3859 47
+rect 4069 21 4099 47
+rect 4259 21 4289 47
+rect 4370 22 4535 52
+rect 4591 52 4621 67
+rect 4702 52 4756 85
+rect 4591 22 4756 52
+<< polycont >>
+rect 128 358 162 392
+rect 1677 383 1711 417
+rect 1933 383 1967 417
+rect 652 297 686 331
+rect 652 161 686 195
+rect 3486 383 3520 417
+rect 3742 383 3776 417
+rect 2584 226 2618 260
+rect 2869 271 2903 305
+rect 3154 226 3188 260
+rect 4460 274 4494 309
+rect 4632 274 4666 309
+rect 4026 216 4060 250
+rect 4216 216 4250 250
+rect 4380 75 4414 109
+rect 4712 85 4746 119
+<< locali >>
+rect 0 527 29 561
+rect 63 527 121 561
+rect 155 527 213 561
+rect 247 527 305 561
+rect 339 527 397 561
+rect 431 527 489 561
+rect 523 527 581 561
+rect 615 527 673 561
+rect 707 527 765 561
+rect 799 527 857 561
+rect 891 527 949 561
+rect 983 527 1041 561
+rect 1075 527 1133 561
+rect 1167 527 1225 561
+rect 1259 527 1317 561
+rect 1351 527 1409 561
+rect 1443 527 1501 561
+rect 1535 527 1593 561
+rect 1627 527 1685 561
+rect 1719 527 1777 561
+rect 1811 527 1869 561
+rect 1903 527 1961 561
+rect 1995 527 2053 561
+rect 2087 527 2145 561
+rect 2179 527 2237 561
+rect 2271 527 2329 561
+rect 2363 527 2421 561
+rect 2455 527 2513 561
+rect 2547 527 2605 561
+rect 2639 527 2697 561
+rect 2731 527 2789 561
+rect 2823 527 2881 561
+rect 2915 527 2973 561
+rect 3007 527 3065 561
+rect 3099 527 3157 561
+rect 3191 527 3249 561
+rect 3283 527 3341 561
+rect 3375 527 3433 561
+rect 3467 527 3525 561
+rect 3559 527 3617 561
+rect 3651 527 3709 561
+rect 3743 527 3801 561
+rect 3835 527 3893 561
+rect 3927 527 3985 561
+rect 4019 527 4077 561
+rect 4111 527 4169 561
+rect 4203 527 4261 561
+rect 4295 527 4353 561
+rect 4387 527 4445 561
+rect 4479 527 4537 561
+rect 4571 527 4629 561
+rect 4663 527 4721 561
+rect 4755 527 4813 561
+rect 4847 527 4876 561
+rect 654 419 874 426
+rect 292 386 874 419
+rect 1933 417 1967 433
+rect 292 385 749 386
+rect 292 315 326 385
+rect 292 247 326 281
+rect 292 179 326 213
+rect 292 111 326 145
+rect 292 61 326 77
+rect 378 315 412 331
+rect 378 247 412 281
+rect 378 179 412 213
+rect 378 111 412 145
+rect 378 17 412 77
+rect 464 315 498 385
+rect 464 247 498 281
+rect 618 348 720 351
+rect 754 331 788 347
+rect 464 179 498 213
+rect 754 263 788 297
+rect 464 111 498 145
+rect 618 127 720 134
+rect 754 195 788 229
+rect 754 111 788 161
+rect 840 331 874 386
+rect 840 263 874 297
+rect 840 195 874 229
+rect 840 145 874 161
+rect 926 383 1677 417
+rect 926 331 960 383
+rect 926 263 960 297
+rect 926 195 960 229
+rect 926 145 960 161
+rect 1012 331 1046 347
+rect 1012 263 1046 297
+rect 1012 195 1046 229
+rect 1012 145 1046 161
+rect 1098 331 1132 347
+rect 1098 263 1132 297
+rect 1098 195 1132 229
+rect 1098 111 1132 161
+rect 1184 331 1218 347
+rect 1184 263 1218 297
+rect 1184 195 1218 229
+rect 1184 145 1218 161
+rect 1270 331 1304 383
+rect 1270 263 1304 297
+rect 1270 195 1304 229
+rect 1270 145 1304 161
+rect 1356 331 1390 347
+rect 1356 263 1390 297
+rect 1356 195 1390 229
+rect 1356 145 1390 161
+rect 1442 331 1476 347
+rect 1442 263 1476 297
+rect 1933 260 1967 383
+rect 2215 419 2249 435
+rect 2215 369 2249 385
+rect 2301 419 2335 527
+rect 2541 465 2575 527
+rect 2215 260 2249 276
+rect 1476 229 2215 260
+rect 1442 226 2215 229
+rect 1442 195 1476 226
+rect 1442 111 1476 161
+rect 2215 119 2249 226
+rect 2301 260 2335 385
+rect 2387 419 2421 435
+rect 2541 397 2575 431
+rect 2627 465 2661 481
+rect 2627 397 2661 431
+rect 2387 328 2421 385
+rect 2525 363 2541 397
+rect 2575 363 2591 397
+rect 2627 328 2661 363
+rect 2731 465 2765 527
+rect 2731 397 2765 431
+rect 2817 465 2851 481
+rect 2817 397 2851 431
+rect 2921 465 2955 481
+rect 2921 397 2955 431
+rect 3007 465 3041 527
+rect 3007 397 3041 431
+rect 2731 347 2765 363
+rect 2801 363 2817 396
+rect 2851 363 2921 397
+rect 2955 363 2971 397
+rect 2387 294 2627 328
+rect 2801 260 2835 363
+rect 2371 226 2387 260
+rect 2421 226 2584 260
+rect 2618 226 2835 260
+rect 2869 305 2903 321
+rect 2869 255 2903 271
+rect 2937 260 2971 363
+rect 3007 347 3041 363
+rect 3111 465 3145 481
+rect 3111 397 3145 431
+rect 3197 465 3231 527
+rect 4024 485 4058 527
+rect 4214 485 4248 527
+rect 3197 397 3231 431
+rect 3486 417 3520 433
+rect 3181 363 3197 397
+rect 3231 363 3247 397
+rect 3111 328 3145 363
+rect 3486 328 3520 383
+rect 3145 294 3486 328
+rect 3742 417 3776 433
+rect 3742 260 3776 383
+rect 4024 417 4058 451
+rect 4024 349 4058 383
+rect 4094 451 4110 485
+rect 4144 451 4160 485
+rect 4094 417 4160 451
+rect 4094 383 4110 417
+rect 4144 383 4160 417
+rect 4094 349 4160 383
+rect 4094 315 4110 349
+rect 4144 315 4160 349
+rect 4024 299 4058 315
+rect 4110 314 4160 315
+rect 4214 417 4248 451
+rect 4214 349 4248 383
+rect 4284 451 4300 485
+rect 4334 451 4350 485
+rect 4284 417 4350 451
+rect 4284 383 4300 417
+rect 4334 383 4350 417
+rect 4284 349 4350 383
+rect 4460 474 4494 490
+rect 4460 404 4494 439
+rect 4460 353 4494 356
+rect 4546 474 4580 527
+rect 4546 404 4580 439
+rect 4546 353 4580 369
+rect 4632 474 4666 490
+rect 4632 404 4666 424
+rect 4632 353 4666 369
+rect 4789 440 4841 459
+rect 4284 315 4300 349
+rect 4334 315 4350 349
+rect 2301 210 2335 226
+rect 2541 169 2575 191
+rect 2507 135 2541 157
+rect 754 77 1476 111
+rect 1549 100 1583 116
+rect 464 61 498 77
+rect 1549 17 1583 66
+rect 1805 100 1839 116
+rect 1805 17 1839 66
+rect 2061 100 2095 116
+rect 2215 85 2438 119
+rect 2541 101 2575 135
+rect 2061 17 2095 66
+rect 2541 51 2575 67
+rect 2627 101 2661 135
+rect 2627 51 2661 67
+rect 2731 169 2765 185
+rect 2801 169 2835 226
+rect 2937 226 3154 260
+rect 3188 250 4076 260
+rect 3188 226 4026 250
+rect 2937 169 2971 226
+rect 4010 216 4026 226
+rect 4060 216 4076 250
+rect 2801 135 2817 169
+rect 2851 135 2921 169
+rect 2955 135 2971 169
+rect 3007 169 3041 185
+rect 2731 119 2765 135
+rect 2731 51 2765 67
+rect 2817 101 2851 135
+rect 2817 51 2851 67
+rect 2921 101 2955 135
+rect 2921 51 2955 67
+rect 3007 119 3041 135
+rect 3007 51 3041 67
+rect 3111 101 3145 135
+rect 3111 51 3145 67
+rect 3197 169 3231 192
+rect 3231 135 3265 158
+rect 4024 161 4058 177
+rect 4110 161 4144 314
+rect 4214 299 4248 315
+rect 4300 314 4350 315
+rect 4200 216 4216 250
+rect 4250 216 4266 250
+rect 3197 101 3231 135
+rect 3197 51 3231 67
+rect 3358 100 3392 116
+rect 3358 17 3392 66
+rect 3614 100 3648 116
+rect 3614 17 3648 66
+rect 3870 100 3904 116
+rect 3870 17 3904 66
+rect 4024 93 4058 127
+rect 4094 127 4110 161
+rect 4214 161 4248 177
+rect 4300 161 4334 314
+rect 4789 309 4800 440
+rect 4444 274 4460 309
+rect 4494 274 4510 309
+rect 4616 274 4632 309
+rect 4666 274 4800 309
+rect 4144 127 4160 160
+rect 4094 119 4160 127
+rect 4094 59 4110 119
+rect 4144 59 4160 119
+rect 4214 93 4248 127
+rect 4284 127 4300 161
+rect 4460 184 4494 274
+rect 4334 127 4414 160
+rect 4284 109 4414 127
+rect 4284 93 4380 109
+rect 4284 59 4300 93
+rect 4334 75 4380 93
+rect 4334 59 4414 75
+rect 4460 114 4494 149
+rect 4460 64 4494 80
+rect 4546 184 4580 204
+rect 4546 114 4580 149
+rect 4024 17 4058 59
+rect 4214 17 4248 59
+rect 4546 17 4580 80
+rect 4632 184 4666 274
+rect 4632 114 4666 149
+rect 4632 64 4666 80
+rect 4712 119 4746 135
+rect 4789 98 4800 274
+rect 4789 85 4841 98
+rect 4712 69 4746 85
+rect 0 -17 29 17
+rect 63 -17 121 17
+rect 155 -17 213 17
+rect 247 -17 305 17
+rect 339 -17 397 17
+rect 431 -17 489 17
+rect 523 -17 581 17
+rect 615 -17 673 17
+rect 707 -17 765 17
+rect 799 -17 857 17
+rect 891 -17 949 17
+rect 983 -17 1041 17
+rect 1075 -17 1133 17
+rect 1167 -17 1225 17
+rect 1259 -17 1317 17
+rect 1351 -17 1409 17
+rect 1443 -17 1501 17
+rect 1535 -17 1593 17
+rect 1627 -17 1685 17
+rect 1719 -17 1777 17
+rect 1811 -17 1869 17
+rect 1903 -17 1961 17
+rect 1995 -17 2053 17
+rect 2087 -17 2145 17
+rect 2179 -17 2237 17
+rect 2271 -17 2329 17
+rect 2363 -17 2421 17
+rect 2455 -17 2513 17
+rect 2547 -17 2605 17
+rect 2639 -17 2697 17
+rect 2731 -17 2789 17
+rect 2823 -17 2881 17
+rect 2915 -17 2973 17
+rect 3007 -17 3065 17
+rect 3099 -17 3157 17
+rect 3191 -17 3249 17
+rect 3283 -17 3341 17
+rect 3375 -17 3433 17
+rect 3467 -17 3525 17
+rect 3559 -17 3617 17
+rect 3651 -17 3709 17
+rect 3743 -17 3801 17
+rect 3835 -17 3893 17
+rect 3927 -17 3985 17
+rect 4019 -17 4077 17
+rect 4111 -17 4169 17
+rect 4203 -17 4261 17
+rect 4295 -17 4353 17
+rect 4387 -17 4445 17
+rect 4479 -17 4537 17
+rect 4571 -17 4629 17
+rect 4663 -17 4721 17
+rect 4755 -17 4813 17
+rect 4847 -17 4873 17
+<< viali >>
+rect 29 527 63 561
+rect 121 527 155 561
+rect 213 527 247 561
+rect 305 527 339 561
+rect 397 527 431 561
+rect 489 527 523 561
+rect 581 527 615 561
+rect 673 527 707 561
+rect 765 527 799 561
+rect 857 527 891 561
+rect 949 527 983 561
+rect 1041 527 1075 561
+rect 1133 527 1167 561
+rect 1225 527 1259 561
+rect 1317 527 1351 561
+rect 1409 527 1443 561
+rect 1501 527 1535 561
+rect 1593 527 1627 561
+rect 1685 527 1719 561
+rect 1777 527 1811 561
+rect 1869 527 1903 561
+rect 1961 527 1995 561
+rect 2053 527 2087 561
+rect 2145 527 2179 561
+rect 2237 527 2271 561
+rect 2329 527 2363 561
+rect 2421 527 2455 561
+rect 2513 527 2547 561
+rect 2605 527 2639 561
+rect 2697 527 2731 561
+rect 2789 527 2823 561
+rect 2881 527 2915 561
+rect 2973 527 3007 561
+rect 3065 527 3099 561
+rect 3157 527 3191 561
+rect 3249 527 3283 561
+rect 3341 527 3375 561
+rect 3433 527 3467 561
+rect 3525 527 3559 561
+rect 3617 527 3651 561
+rect 3709 527 3743 561
+rect 3801 527 3835 561
+rect 3893 527 3927 561
+rect 3985 527 4019 561
+rect 4077 527 4111 561
+rect 4169 527 4203 561
+rect 4261 527 4295 561
+rect 4353 527 4387 561
+rect 4445 527 4479 561
+rect 4537 527 4571 561
+rect 4629 527 4663 561
+rect 4721 527 4755 561
+rect 4813 527 4847 561
+rect 94 392 187 427
+rect 94 358 128 392
+rect 128 358 162 392
+rect 162 358 187 392
+rect 94 324 187 358
+rect 618 331 720 348
+rect 618 297 652 331
+rect 652 297 686 331
+rect 686 297 720 331
+rect 618 270 720 297
+rect 618 195 720 212
+rect 618 161 652 195
+rect 652 161 686 195
+rect 686 161 720 195
+rect 618 134 720 161
+rect 840 229 874 263
+rect 1693 383 1711 417
+rect 1711 383 1727 417
+rect 1012 229 1046 263
+rect 1184 229 1218 263
+rect 1356 229 1390 263
+rect 2215 385 2249 419
+rect 2627 294 2661 328
+rect 2869 271 2903 305
+rect 3111 294 3145 328
+rect 3486 294 3520 328
+rect 4460 369 4494 391
+rect 4460 356 4494 369
+rect 4632 439 4666 459
+rect 4632 424 4666 439
+rect 2507 157 2541 191
+rect 2438 85 2472 119
+rect 2627 169 2661 185
+rect 2627 151 2661 169
+rect 2731 101 2765 119
+rect 2731 85 2765 101
+rect 3007 101 3041 119
+rect 3007 85 3041 101
+rect 3111 169 3145 185
+rect 3111 151 3145 169
+rect 3231 158 3265 192
+rect 4216 216 4250 250
+rect 4460 274 4494 309
+rect 4632 274 4666 309
+rect 4110 93 4144 119
+rect 4110 85 4144 93
+rect 4712 85 4746 119
+rect 4800 98 4862 440
+rect 29 -17 63 17
+rect 121 -17 155 17
+rect 213 -17 247 17
+rect 305 -17 339 17
+rect 397 -17 431 17
+rect 489 -17 523 17
+rect 581 -17 615 17
+rect 673 -17 707 17
+rect 765 -17 799 17
+rect 857 -17 891 17
+rect 949 -17 983 17
+rect 1041 -17 1075 17
+rect 1133 -17 1167 17
+rect 1225 -17 1259 17
+rect 1317 -17 1351 17
+rect 1409 -17 1443 17
+rect 1501 -17 1535 17
+rect 1593 -17 1627 17
+rect 1685 -17 1719 17
+rect 1777 -17 1811 17
+rect 1869 -17 1903 17
+rect 1961 -17 1995 17
+rect 2053 -17 2087 17
+rect 2145 -17 2179 17
+rect 2237 -17 2271 17
+rect 2329 -17 2363 17
+rect 2421 -17 2455 17
+rect 2513 -17 2547 17
+rect 2605 -17 2639 17
+rect 2697 -17 2731 17
+rect 2789 -17 2823 17
+rect 2881 -17 2915 17
+rect 2973 -17 3007 17
+rect 3065 -17 3099 17
+rect 3157 -17 3191 17
+rect 3249 -17 3283 17
+rect 3341 -17 3375 17
+rect 3433 -17 3467 17
+rect 3525 -17 3559 17
+rect 3617 -17 3651 17
+rect 3709 -17 3743 17
+rect 3801 -17 3835 17
+rect 3893 -17 3927 17
+rect 3985 -17 4019 17
+rect 4077 -17 4111 17
+rect 4169 -17 4203 17
+rect 4261 -17 4295 17
+rect 4353 -17 4387 17
+rect 4445 -17 4479 17
+rect 4537 -17 4571 17
+rect 4629 -17 4663 17
+rect 4721 -17 4755 17
+rect 4813 -17 4847 17
+<< metal1 >>
+rect 0 561 4876 592
+rect 0 527 29 561
+rect 63 527 121 561
+rect 155 527 213 561
+rect 247 527 305 561
+rect 339 527 397 561
+rect 431 527 489 561
+rect 523 527 581 561
+rect 615 527 673 561
+rect 707 527 765 561
+rect 799 527 857 561
+rect 891 527 949 561
+rect 983 527 1041 561
+rect 1075 527 1133 561
+rect 1167 527 1225 561
+rect 1259 527 1317 561
+rect 1351 527 1409 561
+rect 1443 527 1501 561
+rect 1535 527 1593 561
+rect 1627 527 1685 561
+rect 1719 527 1777 561
+rect 1811 527 1869 561
+rect 1903 527 1961 561
+rect 1995 527 2053 561
+rect 2087 527 2145 561
+rect 2179 527 2237 561
+rect 2271 527 2329 561
+rect 2363 527 2421 561
+rect 2455 527 2513 561
+rect 2547 527 2605 561
+rect 2639 527 2697 561
+rect 2731 527 2789 561
+rect 2823 527 2881 561
+rect 2915 527 2973 561
+rect 3007 527 3065 561
+rect 3099 527 3157 561
+rect 3191 527 3249 561
+rect 3283 527 3341 561
+rect 3375 527 3433 561
+rect 3467 527 3525 561
+rect 3559 527 3617 561
+rect 3651 527 3709 561
+rect 3743 527 3801 561
+rect 3835 527 3893 561
+rect 3927 527 3985 561
+rect 4019 527 4077 561
+rect 4111 527 4169 561
+rect 4203 527 4261 561
+rect 4295 527 4353 561
+rect 4387 527 4445 561
+rect 4479 527 4537 561
+rect 4571 527 4629 561
+rect 4663 527 4721 561
+rect 4755 527 4813 561
+rect 4847 527 4876 561
+rect 0 503 4876 527
+rect 0 496 380 503
+rect 2376 496 4876 503
+rect 4620 459 4678 465
+rect 4620 456 4632 459
+rect 88 427 193 439
+rect 84 324 94 427
+rect 187 324 197 427
+rect 1681 417 1739 423
+rect 1681 383 1693 417
+rect 1727 414 1739 417
+rect 2209 419 2255 431
+rect 2209 414 2215 419
+rect 1727 386 2215 414
+rect 1727 383 1739 386
+rect 1681 377 1739 383
+rect 2209 385 2215 386
+rect 2249 416 2255 419
+rect 4392 428 4632 456
+rect 2249 388 3262 416
+rect 2249 385 2255 388
+rect 2209 373 2255 385
+rect 606 350 732 354
+rect 88 312 193 324
+rect 606 270 618 350
+rect 720 270 732 350
+rect 606 264 732 270
+rect 828 263 886 269
+rect 828 229 840 263
+rect 874 260 886 263
+rect 1000 263 1058 269
+rect 1000 260 1012 263
+rect 874 232 1012 260
+rect 874 229 886 232
+rect 828 223 886 229
+rect 1000 229 1012 232
+rect 1046 260 1058 263
+rect 1172 263 1230 269
+rect 1172 260 1184 263
+rect 1046 232 1184 260
+rect 1046 229 1058 232
+rect 1000 223 1058 229
+rect 1172 229 1184 232
+rect 1218 260 1230 263
+rect 1344 263 1402 269
+rect 1344 260 1356 263
+rect 1218 232 1356 260
+rect 1218 229 1230 232
+rect 1172 223 1230 229
+rect 1344 229 1356 232
+rect 1390 229 1402 263
+rect 1344 223 1402 229
+rect 606 212 732 218
+rect 606 132 618 212
+rect 720 132 732 212
+rect 2510 197 2538 388
+rect 2615 328 2673 334
+rect 2615 294 2627 328
+rect 2661 325 2673 328
+rect 3099 328 3157 334
+rect 3099 325 3111 328
+rect 2661 305 3111 325
+rect 2661 297 2869 305
+rect 2661 294 2673 297
+rect 2615 288 2673 294
+rect 2495 191 2553 197
+rect 2630 192 2658 288
+rect 2857 271 2869 297
+rect 2903 297 3111 305
+rect 2903 271 2915 297
+rect 3099 294 3111 297
+rect 3145 294 3157 328
+rect 3099 288 3157 294
+rect 2857 265 2915 271
+rect 2495 157 2507 191
+rect 2541 157 2553 191
+rect 2495 151 2553 157
+rect 2615 185 2673 192
+rect 3114 191 3142 288
+rect 3234 198 3262 388
+rect 3474 328 3532 334
+rect 3474 294 3486 328
+rect 3520 325 3532 328
+rect 3520 297 4247 325
+rect 3520 294 3532 297
+rect 3474 288 3532 294
+rect 4219 257 4247 297
+rect 4392 305 4420 428
+rect 4620 424 4632 428
+rect 4666 424 4678 459
+rect 4794 440 4868 452
+rect 4620 418 4678 424
+rect 4448 391 4506 397
+rect 4448 356 4460 391
+rect 4494 388 4506 391
+rect 4494 360 4663 388
+rect 4494 356 4506 360
+rect 4448 350 4506 356
+rect 4635 321 4663 360
+rect 4448 309 4506 315
+rect 4448 305 4460 309
+rect 4392 277 4460 305
+rect 4448 274 4460 277
+rect 4494 274 4506 309
+rect 4448 268 4506 274
+rect 4626 309 4672 321
+rect 4626 274 4632 309
+rect 4666 274 4672 309
+rect 4626 262 4672 274
+rect 4204 250 4262 257
+rect 4204 216 4216 250
+rect 4250 216 4262 250
+rect 4204 210 4262 216
+rect 3219 192 3277 198
+rect 2615 151 2627 185
+rect 2661 151 2673 185
+rect 2615 144 2673 151
+rect 3099 185 3157 191
+rect 3099 151 3111 185
+rect 3145 151 3157 185
+rect 3219 158 3231 192
+rect 3265 158 3277 192
+rect 3219 152 3277 158
+rect 3099 145 3157 151
+rect 606 128 732 132
+rect 2426 119 2484 125
+rect 2426 85 2438 119
+rect 2472 116 2484 119
+rect 2718 119 2778 125
+rect 2718 116 2731 119
+rect 2472 88 2731 116
+rect 2472 85 2484 88
+rect 2426 79 2484 85
+rect 2718 85 2731 88
+rect 2765 116 2778 119
+rect 2994 119 3054 125
+rect 2994 116 3007 119
+rect 2765 88 3007 116
+rect 2765 85 2778 88
+rect 2718 79 2778 85
+rect 2994 85 3007 88
+rect 3041 85 3054 119
+rect 2994 79 3054 85
+rect 4098 119 4156 125
+rect 4098 85 4110 119
+rect 4144 116 4156 119
+rect 4700 119 4758 125
+rect 4700 116 4712 119
+rect 4144 88 4712 116
+rect 4144 85 4156 88
+rect 4098 79 4156 85
+rect 4700 85 4712 88
+rect 4746 85 4758 119
+rect 4790 98 4800 440
+rect 4862 98 4872 440
+rect 4794 86 4868 98
+rect 4700 79 4758 85
+rect 0 17 4873 48
+rect 0 -17 29 17
+rect 63 -17 121 17
+rect 155 -17 213 17
+rect 247 -17 305 17
+rect 339 -17 397 17
+rect 431 -17 489 17
+rect 523 -17 581 17
+rect 615 -17 673 17
+rect 707 -17 765 17
+rect 799 -17 857 17
+rect 891 -17 949 17
+rect 983 -17 1041 17
+rect 1075 -17 1133 17
+rect 1167 -17 1225 17
+rect 1259 -17 1317 17
+rect 1351 -17 1409 17
+rect 1443 -17 1501 17
+rect 1535 -17 1593 17
+rect 1627 -17 1685 17
+rect 1719 -17 1777 17
+rect 1811 -17 1869 17
+rect 1903 -17 1961 17
+rect 1995 -17 2053 17
+rect 2087 -17 2145 17
+rect 2179 -17 2237 17
+rect 2271 -17 2329 17
+rect 2363 -17 2421 17
+rect 2455 -17 2513 17
+rect 2547 -17 2605 17
+rect 2639 -17 2697 17
+rect 2731 -17 2789 17
+rect 2823 -17 2881 17
+rect 2915 -17 2973 17
+rect 3007 -17 3065 17
+rect 3099 -17 3157 17
+rect 3191 -17 3249 17
+rect 3283 -17 3341 17
+rect 3375 -17 3433 17
+rect 3467 -17 3525 17
+rect 3559 -17 3617 17
+rect 3651 -17 3709 17
+rect 3743 -17 3801 17
+rect 3835 -17 3893 17
+rect 3927 -17 3985 17
+rect 4019 -17 4077 17
+rect 4111 -17 4169 17
+rect 4203 -17 4261 17
+rect 4295 -17 4353 17
+rect 4387 -17 4445 17
+rect 4479 -17 4537 17
+rect 4571 -17 4629 17
+rect 4663 -17 4721 17
+rect 4755 -17 4813 17
+rect 4847 -17 4873 17
+rect 0 -48 4873 -17
+<< via1 >>
+rect 94 324 187 427
+rect 618 348 720 350
+rect 618 270 720 348
+rect 618 134 720 212
+rect 618 132 720 134
+rect 4800 98 4862 440
+<< metal2 >>
+rect 4800 440 4862 450
+rect 94 427 187 437
+rect 94 314 187 324
+rect 618 350 720 360
+rect 618 260 720 270
+rect 618 212 720 222
+rect 618 122 720 132
+rect 4800 88 4862 98
+<< labels >>
+flabel metal1 29 527 63 561 0 FreeSans 192 0 0 0 VPWR
+port 7 nsew
+flabel nwell 87 527 121 561 0 FreeSans 192 0 0 0 VPB
+port 6 nsew
+flabel metal1 29 -17 63 17 0 FreeSans 192 0 0 0 VGND
+port 4 nsew
+flabel pwell 100 -17 134 17 0 FreeSans 192 0 0 0 VNB
+port 5 nsew
+flabel viali 652 161 686 195 0 FreeSans 192 0 0 0 VIN2
+port 3 nsew
+flabel viali 128 358 162 392 0 FreeSans 192 0 0 0 CLK
+port 1 nsew
+flabel locali 4798 323 4832 357 0 FreeSans 192 0 0 0 X
+port 8 nsew
+flabel locali 4798 255 4832 289 0 FreeSans 192 0 0 0 X
+port 8 nsew
+flabel locali 4798 187 4832 221 0 FreeSans 192 0 0 0 X
+port 8 nsew
+flabel locali 4798 391 4832 425 0 FreeSans 192 0 0 0 X
+port 8 nsew
+flabel locali 4798 119 4832 153 0 FreeSans 192 0 0 0 X
+port 8 nsew
+flabel viali 652 297 686 331 0 FreeSans 192 0 0 0 VIN1
+port 2 nsew
+<< end >>
diff --git a/mag/sky130_sc_ams__ota_1.mag b/mag/sky130_sc_ams__ota_1.mag
new file mode 100644
index 0000000..908971b
--- /dev/null
+++ b/mag/sky130_sc_ams__ota_1.mag
@@ -0,0 +1,2959 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1654715540
+<< nwell >>
+rect -398 414 6394 687
+rect -398 339 3942 414
+rect 5002 339 6394 414
+<< pwell >>
+rect 775 221 778 253
+rect 775 213 954 221
+rect 1684 213 1870 221
+rect 3988 213 4956 361
+rect -360 -153 6356 213
+<< nmos >>
+rect 921 55 951 195
+rect 1007 55 1037 195
+rect 1093 55 1123 195
+rect 1179 55 1209 195
+rect 1265 55 1295 195
+rect 1351 55 1381 195
+rect 1437 55 1467 195
+rect 1523 55 1553 195
+rect 1609 55 1639 195
+rect 1695 55 1725 195
+rect 2032 109 2062 249
+rect 2118 109 2148 249
+rect 2204 109 2234 249
+rect 2290 109 2320 249
+rect 2502 47 2532 187
+rect 2588 47 2618 187
+rect 2674 47 2704 187
+rect 2760 47 2790 187
+rect 3041 47 3071 187
+rect 3127 47 3157 187
+rect 3213 47 3243 187
+rect 3299 47 3329 187
+rect 3385 47 3415 187
+rect 3471 47 3501 187
+rect 3557 47 3587 187
+rect 3643 47 3673 187
+rect 3729 47 3759 187
+rect 3815 47 3845 187
+rect 4070 123 4100 335
+rect 4156 123 4186 335
+rect 4242 123 4272 335
+rect 4328 123 4358 335
+rect 4414 123 4444 335
+rect 4500 123 4530 335
+rect 4586 123 4616 335
+rect 4672 123 4702 335
+rect 4758 123 4788 335
+rect 4844 123 4874 335
+rect 5099 47 5129 187
+rect 5185 47 5215 187
+rect 5271 47 5331 187
+rect 5387 47 5447 187
+rect 5503 47 5533 187
+rect 5589 47 5619 187
+rect 5675 47 5735 187
+rect 5791 47 5851 187
+rect 5907 47 5937 187
+rect 5993 47 6023 187
+<< pmos >>
+rect 921 375 951 497
+rect 1007 375 1037 497
+rect 1093 375 1123 497
+rect 1179 375 1209 497
+rect 1265 375 1295 497
+rect 1351 375 1381 497
+rect 1437 375 1467 497
+rect 1523 375 1553 497
+rect 1609 375 1639 497
+rect 1695 375 1725 497
+rect 1963 413 2803 497
+rect 3041 375 3071 497
+rect 3127 375 3157 497
+rect 3213 375 3243 497
+rect 3299 375 3329 497
+rect 3385 375 3415 497
+rect 3471 375 3501 497
+rect 3557 375 3587 497
+rect 3643 375 3673 497
+rect 3729 375 3759 497
+rect 3815 375 3845 497
+rect 5099 375 5129 497
+rect 5185 375 5215 497
+rect 5271 375 5331 497
+rect 5387 375 5447 497
+rect 5503 375 5533 497
+rect 5589 375 5619 497
+rect 5675 375 5735 497
+rect 5791 375 5851 497
+rect 5907 375 5937 497
+rect 5993 375 6023 497
+<< ndiff >>
+rect 4014 314 4070 335
+rect 4014 280 4025 314
+rect 4059 280 4070 314
+rect 865 176 921 195
+rect 865 142 876 176
+rect 910 142 921 176
+rect 865 108 921 142
+rect 865 74 876 108
+rect 910 74 921 108
+rect 865 55 921 74
+rect 951 176 1007 195
+rect 951 142 962 176
+rect 996 142 1007 176
+rect 951 108 1007 142
+rect 951 74 962 108
+rect 996 74 1007 108
+rect 951 55 1007 74
+rect 1037 176 1093 195
+rect 1037 142 1048 176
+rect 1082 142 1093 176
+rect 1037 108 1093 142
+rect 1037 74 1048 108
+rect 1082 74 1093 108
+rect 1037 55 1093 74
+rect 1123 176 1179 195
+rect 1123 142 1134 176
+rect 1168 142 1179 176
+rect 1123 108 1179 142
+rect 1123 74 1134 108
+rect 1168 74 1179 108
+rect 1123 55 1179 74
+rect 1209 176 1265 195
+rect 1209 142 1220 176
+rect 1254 142 1265 176
+rect 1209 108 1265 142
+rect 1209 74 1220 108
+rect 1254 74 1265 108
+rect 1209 55 1265 74
+rect 1295 176 1351 195
+rect 1295 142 1306 176
+rect 1340 142 1351 176
+rect 1295 108 1351 142
+rect 1295 74 1306 108
+rect 1340 74 1351 108
+rect 1295 55 1351 74
+rect 1381 176 1437 195
+rect 1381 142 1392 176
+rect 1426 142 1437 176
+rect 1381 108 1437 142
+rect 1381 74 1392 108
+rect 1426 74 1437 108
+rect 1381 55 1437 74
+rect 1467 176 1523 195
+rect 1467 142 1478 176
+rect 1512 142 1523 176
+rect 1467 108 1523 142
+rect 1467 74 1478 108
+rect 1512 74 1523 108
+rect 1467 55 1523 74
+rect 1553 176 1609 195
+rect 1553 142 1564 176
+rect 1598 142 1609 176
+rect 1553 108 1609 142
+rect 1553 74 1564 108
+rect 1598 74 1609 108
+rect 1553 55 1609 74
+rect 1639 176 1695 195
+rect 1639 142 1650 176
+rect 1684 142 1695 176
+rect 1639 108 1695 142
+rect 1639 74 1650 108
+rect 1684 74 1695 108
+rect 1639 55 1695 74
+rect 1725 176 1781 195
+rect 1725 142 1736 176
+rect 1770 142 1781 176
+rect 1725 108 1781 142
+rect 1976 230 2032 249
+rect 1976 196 1987 230
+rect 2021 196 2032 230
+rect 1976 162 2032 196
+rect 1976 128 1987 162
+rect 2021 128 2032 162
+rect 1976 109 2032 128
+rect 2062 230 2118 249
+rect 2062 196 2073 230
+rect 2107 196 2118 230
+rect 2062 162 2118 196
+rect 2062 128 2073 162
+rect 2107 128 2118 162
+rect 2062 109 2118 128
+rect 2148 230 2204 249
+rect 2148 196 2159 230
+rect 2193 196 2204 230
+rect 2148 162 2204 196
+rect 2148 128 2159 162
+rect 2193 128 2204 162
+rect 2148 109 2204 128
+rect 2234 230 2290 249
+rect 2234 196 2245 230
+rect 2279 196 2290 230
+rect 2234 162 2290 196
+rect 2234 128 2245 162
+rect 2279 128 2290 162
+rect 2234 109 2290 128
+rect 2320 230 2376 249
+rect 2320 196 2331 230
+rect 2365 196 2376 230
+rect 2320 162 2376 196
+rect 2320 128 2331 162
+rect 2365 128 2376 162
+rect 2320 109 2376 128
+rect 2446 168 2502 187
+rect 2446 134 2457 168
+rect 2491 134 2502 168
+rect 1725 74 1736 108
+rect 1770 74 1781 108
+rect 2446 100 2502 134
+rect 1725 55 1781 74
+rect 2446 66 2457 100
+rect 2491 66 2502 100
+rect 2446 47 2502 66
+rect 2532 168 2588 187
+rect 2532 134 2543 168
+rect 2577 134 2588 168
+rect 2532 100 2588 134
+rect 2532 66 2543 100
+rect 2577 66 2588 100
+rect 2532 47 2588 66
+rect 2618 168 2674 187
+rect 2618 134 2629 168
+rect 2663 134 2674 168
+rect 2618 100 2674 134
+rect 2618 66 2629 100
+rect 2663 66 2674 100
+rect 2618 47 2674 66
+rect 2704 168 2760 187
+rect 2704 134 2715 168
+rect 2749 134 2760 168
+rect 2704 100 2760 134
+rect 2704 66 2715 100
+rect 2749 66 2760 100
+rect 2704 47 2760 66
+rect 2790 100 2846 187
+rect 4014 246 4070 280
+rect 2985 168 3041 187
+rect 2985 134 2996 168
+rect 3030 134 3041 168
+rect 2790 66 2801 100
+rect 2835 66 2846 100
+rect 2790 47 2846 66
+rect 2985 100 3041 134
+rect 2985 66 2996 100
+rect 3030 66 3041 100
+rect 2985 47 3041 66
+rect 3071 168 3127 187
+rect 3071 134 3082 168
+rect 3116 134 3127 168
+rect 3071 100 3127 134
+rect 3071 66 3082 100
+rect 3116 66 3127 100
+rect 3071 47 3127 66
+rect 3157 168 3213 187
+rect 3157 134 3168 168
+rect 3202 134 3213 168
+rect 3157 100 3213 134
+rect 3157 66 3168 100
+rect 3202 66 3213 100
+rect 3157 47 3213 66
+rect 3243 168 3299 187
+rect 3243 134 3254 168
+rect 3288 134 3299 168
+rect 3243 100 3299 134
+rect 3243 66 3254 100
+rect 3288 66 3299 100
+rect 3243 47 3299 66
+rect 3329 168 3385 187
+rect 3329 134 3340 168
+rect 3374 134 3385 168
+rect 3329 100 3385 134
+rect 3329 66 3340 100
+rect 3374 66 3385 100
+rect 3329 47 3385 66
+rect 3415 168 3471 187
+rect 3415 134 3426 168
+rect 3460 134 3471 168
+rect 3415 100 3471 134
+rect 3415 66 3426 100
+rect 3460 66 3471 100
+rect 3415 47 3471 66
+rect 3501 168 3557 187
+rect 3501 134 3512 168
+rect 3546 134 3557 168
+rect 3501 100 3557 134
+rect 3501 66 3512 100
+rect 3546 66 3557 100
+rect 3501 47 3557 66
+rect 3587 168 3643 187
+rect 3587 134 3598 168
+rect 3632 134 3643 168
+rect 3587 100 3643 134
+rect 3587 66 3598 100
+rect 3632 66 3643 100
+rect 3587 47 3643 66
+rect 3673 168 3729 187
+rect 3673 134 3684 168
+rect 3718 134 3729 168
+rect 3673 100 3729 134
+rect 3673 66 3684 100
+rect 3718 66 3729 100
+rect 3673 47 3729 66
+rect 3759 168 3815 187
+rect 3759 134 3770 168
+rect 3804 134 3815 168
+rect 3759 100 3815 134
+rect 3759 66 3770 100
+rect 3804 66 3815 100
+rect 3759 47 3815 66
+rect 3845 168 3901 187
+rect 3845 134 3856 168
+rect 3890 134 3901 168
+rect 3845 100 3901 134
+rect 3845 66 3856 100
+rect 3890 66 3901 100
+rect 4014 212 4025 246
+rect 4059 212 4070 246
+rect 4014 178 4070 212
+rect 4014 144 4025 178
+rect 4059 144 4070 178
+rect 4014 123 4070 144
+rect 4100 314 4156 335
+rect 4100 280 4111 314
+rect 4145 280 4156 314
+rect 4100 246 4156 280
+rect 4100 212 4111 246
+rect 4145 212 4156 246
+rect 4100 178 4156 212
+rect 4100 144 4111 178
+rect 4145 144 4156 178
+rect 4100 123 4156 144
+rect 4186 314 4242 335
+rect 4186 280 4197 314
+rect 4231 280 4242 314
+rect 4186 246 4242 280
+rect 4186 212 4197 246
+rect 4231 212 4242 246
+rect 4186 178 4242 212
+rect 4186 144 4197 178
+rect 4231 144 4242 178
+rect 4186 123 4242 144
+rect 4272 314 4328 335
+rect 4272 280 4283 314
+rect 4317 280 4328 314
+rect 4272 246 4328 280
+rect 4272 212 4283 246
+rect 4317 212 4328 246
+rect 4272 178 4328 212
+rect 4272 144 4283 178
+rect 4317 144 4328 178
+rect 4272 123 4328 144
+rect 4358 314 4414 335
+rect 4358 280 4369 314
+rect 4403 280 4414 314
+rect 4358 246 4414 280
+rect 4358 212 4369 246
+rect 4403 212 4414 246
+rect 4358 178 4414 212
+rect 4358 144 4369 178
+rect 4403 144 4414 178
+rect 4358 123 4414 144
+rect 4444 314 4500 335
+rect 4444 280 4455 314
+rect 4489 280 4500 314
+rect 4444 246 4500 280
+rect 4444 212 4455 246
+rect 4489 212 4500 246
+rect 4444 178 4500 212
+rect 4444 144 4455 178
+rect 4489 144 4500 178
+rect 4444 123 4500 144
+rect 4530 314 4586 335
+rect 4530 280 4541 314
+rect 4575 280 4586 314
+rect 4530 246 4586 280
+rect 4530 212 4541 246
+rect 4575 212 4586 246
+rect 4530 178 4586 212
+rect 4530 144 4541 178
+rect 4575 144 4586 178
+rect 4530 123 4586 144
+rect 4616 314 4672 335
+rect 4616 280 4627 314
+rect 4661 280 4672 314
+rect 4616 246 4672 280
+rect 4616 212 4627 246
+rect 4661 212 4672 246
+rect 4616 178 4672 212
+rect 4616 144 4627 178
+rect 4661 144 4672 178
+rect 4616 123 4672 144
+rect 4702 314 4758 335
+rect 4702 280 4713 314
+rect 4747 280 4758 314
+rect 4702 246 4758 280
+rect 4702 212 4713 246
+rect 4747 212 4758 246
+rect 4702 178 4758 212
+rect 4702 144 4713 178
+rect 4747 144 4758 178
+rect 4702 123 4758 144
+rect 4788 314 4844 335
+rect 4788 280 4799 314
+rect 4833 280 4844 314
+rect 4788 246 4844 280
+rect 4788 212 4799 246
+rect 4833 212 4844 246
+rect 4788 178 4844 212
+rect 4788 144 4799 178
+rect 4833 144 4844 178
+rect 4788 123 4844 144
+rect 4874 314 4930 335
+rect 4874 280 4885 314
+rect 4919 280 4930 314
+rect 4874 246 4930 280
+rect 4874 212 4885 246
+rect 4919 212 4930 246
+rect 4874 178 4930 212
+rect 4874 144 4885 178
+rect 4919 144 4930 178
+rect 4874 123 4930 144
+rect 3845 47 3901 66
+rect 5043 168 5099 187
+rect 5043 134 5054 168
+rect 5088 134 5099 168
+rect 5043 100 5099 134
+rect 5043 66 5054 100
+rect 5088 66 5099 100
+rect 5043 47 5099 66
+rect 5129 168 5185 187
+rect 5129 134 5140 168
+rect 5174 134 5185 168
+rect 5129 100 5185 134
+rect 5129 66 5140 100
+rect 5174 66 5185 100
+rect 5129 47 5185 66
+rect 5215 168 5271 187
+rect 5215 134 5226 168
+rect 5260 134 5271 168
+rect 5215 100 5271 134
+rect 5215 66 5226 100
+rect 5260 66 5271 100
+rect 5215 47 5271 66
+rect 5331 168 5387 187
+rect 5331 134 5342 168
+rect 5376 134 5387 168
+rect 5331 100 5387 134
+rect 5331 66 5342 100
+rect 5376 66 5387 100
+rect 5331 47 5387 66
+rect 5447 168 5503 187
+rect 5447 134 5458 168
+rect 5492 134 5503 168
+rect 5447 100 5503 134
+rect 5447 66 5458 100
+rect 5492 66 5503 100
+rect 5447 47 5503 66
+rect 5533 168 5589 187
+rect 5533 134 5544 168
+rect 5578 134 5589 168
+rect 5533 100 5589 134
+rect 5533 66 5544 100
+rect 5578 66 5589 100
+rect 5533 47 5589 66
+rect 5619 168 5675 187
+rect 5619 134 5630 168
+rect 5664 134 5675 168
+rect 5619 100 5675 134
+rect 5619 66 5630 100
+rect 5664 66 5675 100
+rect 5619 47 5675 66
+rect 5735 168 5791 187
+rect 5735 134 5746 168
+rect 5780 134 5791 168
+rect 5735 100 5791 134
+rect 5735 66 5746 100
+rect 5780 66 5791 100
+rect 5735 47 5791 66
+rect 5851 168 5907 187
+rect 5851 134 5862 168
+rect 5896 134 5907 168
+rect 5851 100 5907 134
+rect 5851 66 5862 100
+rect 5896 66 5907 100
+rect 5851 47 5907 66
+rect 5937 168 5993 187
+rect 5937 134 5948 168
+rect 5982 134 5993 168
+rect 5937 100 5993 134
+rect 5937 66 5948 100
+rect 5982 66 5993 100
+rect 5937 47 5993 66
+rect 6023 168 6079 187
+rect 6023 134 6034 168
+rect 6068 134 6079 168
+rect 6023 100 6079 134
+rect 6023 66 6034 100
+rect 6068 66 6079 100
+rect 6023 47 6079 66
+<< pdiff >>
+rect 864 485 921 497
+rect 864 451 876 485
+rect 910 451 921 485
+rect 864 417 921 451
+rect 864 383 876 417
+rect 910 383 921 417
+rect 864 375 921 383
+rect 951 485 1007 497
+rect 951 451 962 485
+rect 996 451 1007 485
+rect 951 417 1007 451
+rect 951 383 962 417
+rect 996 383 1007 417
+rect 951 375 1007 383
+rect 1037 485 1093 497
+rect 1037 451 1048 485
+rect 1082 451 1093 485
+rect 1037 417 1093 451
+rect 1037 383 1048 417
+rect 1082 383 1093 417
+rect 1037 375 1093 383
+rect 1123 485 1179 497
+rect 1123 451 1134 485
+rect 1168 451 1179 485
+rect 1123 417 1179 451
+rect 1123 383 1134 417
+rect 1168 383 1179 417
+rect 1123 375 1179 383
+rect 1209 485 1265 497
+rect 1209 451 1220 485
+rect 1254 451 1265 485
+rect 1209 417 1265 451
+rect 1209 383 1220 417
+rect 1254 383 1265 417
+rect 1209 375 1265 383
+rect 1295 485 1351 497
+rect 1295 451 1306 485
+rect 1340 451 1351 485
+rect 1295 417 1351 451
+rect 1295 383 1306 417
+rect 1340 383 1351 417
+rect 1295 375 1351 383
+rect 1381 485 1437 497
+rect 1381 451 1392 485
+rect 1426 451 1437 485
+rect 1381 417 1437 451
+rect 1381 383 1392 417
+rect 1426 383 1437 417
+rect 1381 375 1437 383
+rect 1467 485 1523 497
+rect 1467 451 1478 485
+rect 1512 451 1523 485
+rect 1467 417 1523 451
+rect 1467 383 1478 417
+rect 1512 383 1523 417
+rect 1467 375 1523 383
+rect 1553 485 1609 497
+rect 1553 451 1564 485
+rect 1598 451 1609 485
+rect 1553 417 1609 451
+rect 1553 383 1564 417
+rect 1598 383 1609 417
+rect 1553 375 1609 383
+rect 1639 485 1695 497
+rect 1639 451 1650 485
+rect 1684 451 1695 485
+rect 1639 417 1695 451
+rect 1639 383 1650 417
+rect 1684 383 1695 417
+rect 1639 375 1695 383
+rect 1725 485 1782 497
+rect 1725 451 1736 485
+rect 1770 451 1782 485
+rect 1725 417 1782 451
+rect 1910 472 1963 497
+rect 1910 438 1918 472
+rect 1952 438 1963 472
+rect 1725 383 1736 417
+rect 1770 383 1782 417
+rect 1725 375 1782 383
+rect 1910 413 1963 438
+rect 2803 472 2856 497
+rect 2803 438 2814 472
+rect 2848 438 2856 472
+rect 2803 413 2856 438
+rect 2984 485 3041 497
+rect 2984 451 2996 485
+rect 3030 451 3041 485
+rect 2984 417 3041 451
+rect 2984 383 2996 417
+rect 3030 383 3041 417
+rect 2984 375 3041 383
+rect 3071 485 3127 497
+rect 3071 451 3082 485
+rect 3116 451 3127 485
+rect 3071 417 3127 451
+rect 3071 383 3082 417
+rect 3116 383 3127 417
+rect 3071 375 3127 383
+rect 3157 485 3213 497
+rect 3157 451 3168 485
+rect 3202 451 3213 485
+rect 3157 417 3213 451
+rect 3157 383 3168 417
+rect 3202 383 3213 417
+rect 3157 375 3213 383
+rect 3243 485 3299 497
+rect 3243 451 3254 485
+rect 3288 451 3299 485
+rect 3243 417 3299 451
+rect 3243 383 3254 417
+rect 3288 383 3299 417
+rect 3243 375 3299 383
+rect 3329 485 3385 497
+rect 3329 451 3340 485
+rect 3374 451 3385 485
+rect 3329 417 3385 451
+rect 3329 383 3340 417
+rect 3374 383 3385 417
+rect 3329 375 3385 383
+rect 3415 485 3471 497
+rect 3415 451 3426 485
+rect 3460 451 3471 485
+rect 3415 417 3471 451
+rect 3415 383 3426 417
+rect 3460 383 3471 417
+rect 3415 375 3471 383
+rect 3501 485 3557 497
+rect 3501 451 3512 485
+rect 3546 451 3557 485
+rect 3501 417 3557 451
+rect 3501 383 3512 417
+rect 3546 383 3557 417
+rect 3501 375 3557 383
+rect 3587 485 3643 497
+rect 3587 451 3598 485
+rect 3632 451 3643 485
+rect 3587 417 3643 451
+rect 3587 383 3598 417
+rect 3632 383 3643 417
+rect 3587 375 3643 383
+rect 3673 485 3729 497
+rect 3673 451 3684 485
+rect 3718 451 3729 485
+rect 3673 417 3729 451
+rect 3673 383 3684 417
+rect 3718 383 3729 417
+rect 3673 375 3729 383
+rect 3759 485 3815 497
+rect 3759 451 3770 485
+rect 3804 451 3815 485
+rect 3759 417 3815 451
+rect 3759 383 3770 417
+rect 3804 383 3815 417
+rect 3759 375 3815 383
+rect 3845 485 3902 497
+rect 3845 451 3856 485
+rect 3890 451 3902 485
+rect 3845 417 3902 451
+rect 5042 485 5099 497
+rect 5042 451 5054 485
+rect 5088 451 5099 485
+rect 3845 383 3856 417
+rect 3890 383 3902 417
+rect 3845 375 3902 383
+rect 5042 417 5099 451
+rect 5042 383 5054 417
+rect 5088 383 5099 417
+rect 5042 375 5099 383
+rect 5129 485 5185 497
+rect 5129 451 5140 485
+rect 5174 451 5185 485
+rect 5129 417 5185 451
+rect 5129 383 5140 417
+rect 5174 383 5185 417
+rect 5129 375 5185 383
+rect 5215 485 5271 497
+rect 5215 451 5226 485
+rect 5260 451 5271 485
+rect 5215 417 5271 451
+rect 5215 383 5226 417
+rect 5260 383 5271 417
+rect 5215 375 5271 383
+rect 5331 485 5387 497
+rect 5331 451 5342 485
+rect 5376 451 5387 485
+rect 5331 417 5387 451
+rect 5331 383 5342 417
+rect 5376 383 5387 417
+rect 5331 375 5387 383
+rect 5447 485 5503 497
+rect 5447 451 5458 485
+rect 5492 451 5503 485
+rect 5447 417 5503 451
+rect 5447 383 5458 417
+rect 5492 383 5503 417
+rect 5447 375 5503 383
+rect 5533 485 5589 497
+rect 5533 451 5544 485
+rect 5578 451 5589 485
+rect 5533 417 5589 451
+rect 5533 383 5544 417
+rect 5578 383 5589 417
+rect 5533 375 5589 383
+rect 5619 485 5675 497
+rect 5619 451 5630 485
+rect 5664 451 5675 485
+rect 5619 417 5675 451
+rect 5619 383 5630 417
+rect 5664 383 5675 417
+rect 5619 375 5675 383
+rect 5735 485 5791 497
+rect 5735 451 5746 485
+rect 5780 451 5791 485
+rect 5735 417 5791 451
+rect 5735 383 5746 417
+rect 5780 383 5791 417
+rect 5735 375 5791 383
+rect 5851 485 5907 497
+rect 5851 451 5862 485
+rect 5896 451 5907 485
+rect 5851 417 5907 451
+rect 5851 383 5862 417
+rect 5896 383 5907 417
+rect 5851 375 5907 383
+rect 5937 485 5993 497
+rect 5937 451 5948 485
+rect 5982 451 5993 485
+rect 5937 417 5993 451
+rect 5937 383 5948 417
+rect 5982 383 5993 417
+rect 5937 375 5993 383
+rect 6023 485 6080 497
+rect 6023 451 6034 485
+rect 6068 451 6080 485
+rect 6023 417 6080 451
+rect 6023 383 6034 417
+rect 6068 383 6080 417
+rect 6023 375 6080 383
+<< ndiffc >>
+rect 4025 280 4059 314
+rect 876 142 910 176
+rect 876 74 910 108
+rect 962 142 996 176
+rect 962 74 996 108
+rect 1048 142 1082 176
+rect 1048 74 1082 108
+rect 1134 142 1168 176
+rect 1134 74 1168 108
+rect 1220 142 1254 176
+rect 1220 74 1254 108
+rect 1306 142 1340 176
+rect 1306 74 1340 108
+rect 1392 142 1426 176
+rect 1392 74 1426 108
+rect 1478 142 1512 176
+rect 1478 74 1512 108
+rect 1564 142 1598 176
+rect 1564 74 1598 108
+rect 1650 142 1684 176
+rect 1650 74 1684 108
+rect 1736 142 1770 176
+rect 1987 196 2021 230
+rect 1987 128 2021 162
+rect 2073 196 2107 230
+rect 2073 128 2107 162
+rect 2159 196 2193 230
+rect 2159 128 2193 162
+rect 2245 196 2279 230
+rect 2245 128 2279 162
+rect 2331 196 2365 230
+rect 2331 128 2365 162
+rect 2457 134 2491 168
+rect 1736 74 1770 108
+rect 2457 66 2491 100
+rect 2543 134 2577 168
+rect 2543 66 2577 100
+rect 2629 134 2663 168
+rect 2629 66 2663 100
+rect 2715 134 2749 168
+rect 2715 66 2749 100
+rect 2996 134 3030 168
+rect 2801 66 2835 100
+rect 2996 66 3030 100
+rect 3082 134 3116 168
+rect 3082 66 3116 100
+rect 3168 134 3202 168
+rect 3168 66 3202 100
+rect 3254 134 3288 168
+rect 3254 66 3288 100
+rect 3340 134 3374 168
+rect 3340 66 3374 100
+rect 3426 134 3460 168
+rect 3426 66 3460 100
+rect 3512 134 3546 168
+rect 3512 66 3546 100
+rect 3598 134 3632 168
+rect 3598 66 3632 100
+rect 3684 134 3718 168
+rect 3684 66 3718 100
+rect 3770 134 3804 168
+rect 3770 66 3804 100
+rect 3856 134 3890 168
+rect 3856 66 3890 100
+rect 4025 212 4059 246
+rect 4025 144 4059 178
+rect 4111 280 4145 314
+rect 4111 212 4145 246
+rect 4111 144 4145 178
+rect 4197 280 4231 314
+rect 4197 212 4231 246
+rect 4197 144 4231 178
+rect 4283 280 4317 314
+rect 4283 212 4317 246
+rect 4283 144 4317 178
+rect 4369 280 4403 314
+rect 4369 212 4403 246
+rect 4369 144 4403 178
+rect 4455 280 4489 314
+rect 4455 212 4489 246
+rect 4455 144 4489 178
+rect 4541 280 4575 314
+rect 4541 212 4575 246
+rect 4541 144 4575 178
+rect 4627 280 4661 314
+rect 4627 212 4661 246
+rect 4627 144 4661 178
+rect 4713 280 4747 314
+rect 4713 212 4747 246
+rect 4713 144 4747 178
+rect 4799 280 4833 314
+rect 4799 212 4833 246
+rect 4799 144 4833 178
+rect 4885 280 4919 314
+rect 4885 212 4919 246
+rect 4885 144 4919 178
+rect 5054 134 5088 168
+rect 5054 66 5088 100
+rect 5140 134 5174 168
+rect 5140 66 5174 100
+rect 5226 134 5260 168
+rect 5226 66 5260 100
+rect 5342 134 5376 168
+rect 5342 66 5376 100
+rect 5458 134 5492 168
+rect 5458 66 5492 100
+rect 5544 134 5578 168
+rect 5544 66 5578 100
+rect 5630 134 5664 168
+rect 5630 66 5664 100
+rect 5746 134 5780 168
+rect 5746 66 5780 100
+rect 5862 134 5896 168
+rect 5862 66 5896 100
+rect 5948 134 5982 168
+rect 5948 66 5982 100
+rect 6034 134 6068 168
+rect 6034 66 6068 100
+<< pdiffc >>
+rect 876 451 910 485
+rect 876 383 910 417
+rect 962 451 996 485
+rect 962 383 996 417
+rect 1048 451 1082 485
+rect 1048 383 1082 417
+rect 1134 451 1168 485
+rect 1134 383 1168 417
+rect 1220 451 1254 485
+rect 1220 383 1254 417
+rect 1306 451 1340 485
+rect 1306 383 1340 417
+rect 1392 451 1426 485
+rect 1392 383 1426 417
+rect 1478 451 1512 485
+rect 1478 383 1512 417
+rect 1564 451 1598 485
+rect 1564 383 1598 417
+rect 1650 451 1684 485
+rect 1650 383 1684 417
+rect 1736 451 1770 485
+rect 1918 438 1952 472
+rect 1736 383 1770 417
+rect 2814 438 2848 472
+rect 2996 451 3030 485
+rect 2996 383 3030 417
+rect 3082 451 3116 485
+rect 3082 383 3116 417
+rect 3168 451 3202 485
+rect 3168 383 3202 417
+rect 3254 451 3288 485
+rect 3254 383 3288 417
+rect 3340 451 3374 485
+rect 3340 383 3374 417
+rect 3426 451 3460 485
+rect 3426 383 3460 417
+rect 3512 451 3546 485
+rect 3512 383 3546 417
+rect 3598 451 3632 485
+rect 3598 383 3632 417
+rect 3684 451 3718 485
+rect 3684 383 3718 417
+rect 3770 451 3804 485
+rect 3770 383 3804 417
+rect 3856 451 3890 485
+rect 5054 451 5088 485
+rect 3856 383 3890 417
+rect 5054 383 5088 417
+rect 5140 451 5174 485
+rect 5140 383 5174 417
+rect 5226 451 5260 485
+rect 5226 383 5260 417
+rect 5342 451 5376 485
+rect 5342 383 5376 417
+rect 5458 451 5492 485
+rect 5458 383 5492 417
+rect 5544 451 5578 485
+rect 5544 383 5578 417
+rect 5630 451 5664 485
+rect 5630 383 5664 417
+rect 5746 451 5780 485
+rect 5746 383 5780 417
+rect 5862 451 5896 485
+rect 5862 383 5896 417
+rect 5948 451 5982 485
+rect 5948 383 5982 417
+rect 6034 451 6068 485
+rect 6034 383 6068 417
+<< psubdiff >>
+rect -355 -48 -272 -24
+rect -355 -82 -331 -48
+rect -297 -82 -272 -48
+rect -355 -105 -272 -82
+rect -171 -48 -88 -24
+rect -171 -82 -147 -48
+rect -113 -82 -88 -48
+rect -171 -105 -88 -82
+rect 13 -48 96 -24
+rect 13 -82 37 -48
+rect 71 -82 96 -48
+rect 13 -105 96 -82
+rect 197 -48 280 -24
+rect 197 -82 221 -48
+rect 255 -82 280 -48
+rect 197 -105 280 -82
+rect 381 -48 464 -24
+rect 381 -82 405 -48
+rect 439 -82 464 -48
+rect 381 -105 464 -82
+rect 565 -48 648 -24
+rect 565 -82 589 -48
+rect 623 -82 648 -48
+rect 565 -105 648 -82
+rect 749 -48 832 -24
+rect 749 -82 773 -48
+rect 807 -82 832 -48
+rect 749 -105 832 -82
+rect 933 -48 1016 -24
+rect 933 -82 957 -48
+rect 991 -82 1016 -48
+rect 933 -105 1016 -82
+rect 1117 -48 1200 -24
+rect 1117 -82 1141 -48
+rect 1175 -82 1200 -48
+rect 1117 -105 1200 -82
+rect 1301 -48 1384 -24
+rect 1301 -82 1325 -48
+rect 1359 -82 1384 -48
+rect 1301 -105 1384 -82
+rect 1485 -48 1568 -24
+rect 1485 -82 1509 -48
+rect 1543 -82 1568 -48
+rect 1485 -105 1568 -82
+rect 1669 -48 1752 -24
+rect 1669 -82 1693 -48
+rect 1727 -82 1752 -48
+rect 1669 -105 1752 -82
+rect 1853 -48 1936 -24
+rect 1853 -82 1877 -48
+rect 1911 -82 1936 -48
+rect 1853 -105 1936 -82
+rect 2037 -48 2120 -24
+rect 2037 -82 2061 -48
+rect 2095 -82 2120 -48
+rect 2037 -105 2120 -82
+rect 2221 -48 2304 -24
+rect 2221 -82 2245 -48
+rect 2279 -82 2304 -48
+rect 2221 -105 2304 -82
+rect 2405 -48 2488 -24
+rect 2405 -82 2429 -48
+rect 2463 -82 2488 -48
+rect 2405 -105 2488 -82
+rect 2589 -48 2672 -24
+rect 2589 -82 2613 -48
+rect 2647 -82 2672 -48
+rect 2589 -105 2672 -82
+rect 2773 -48 2856 -24
+rect 2773 -82 2797 -48
+rect 2831 -82 2856 -48
+rect 2773 -105 2856 -82
+rect 2957 -48 3040 -24
+rect 2957 -82 2981 -48
+rect 3015 -82 3040 -48
+rect 2957 -105 3040 -82
+rect 3141 -48 3224 -24
+rect 3141 -82 3165 -48
+rect 3199 -82 3224 -48
+rect 3141 -105 3224 -82
+rect 3325 -48 3408 -24
+rect 3325 -82 3349 -48
+rect 3383 -82 3408 -48
+rect 3325 -105 3408 -82
+rect 3509 -48 3592 -24
+rect 3509 -82 3533 -48
+rect 3567 -82 3592 -48
+rect 3509 -105 3592 -82
+rect 3693 -48 3776 -24
+rect 3693 -82 3717 -48
+rect 3751 -82 3776 -48
+rect 3693 -105 3776 -82
+rect 3877 -48 3960 -24
+rect 3877 -82 3901 -48
+rect 3935 -82 3960 -48
+rect 3877 -105 3960 -82
+rect 4061 -48 4144 -24
+rect 4061 -82 4085 -48
+rect 4119 -82 4144 -48
+rect 4061 -105 4144 -82
+rect 4245 -48 4328 -24
+rect 4245 -82 4269 -48
+rect 4303 -82 4328 -48
+rect 4521 -48 4604 -24
+rect 4521 -82 4545 -48
+rect 4579 -82 4604 -48
+rect 4245 -105 4328 -82
+rect 4521 -105 4604 -82
+rect 4705 -48 4788 -24
+rect 4705 -82 4729 -48
+rect 4763 -82 4788 -48
+rect 4705 -105 4788 -82
+rect 4889 -48 4972 -24
+rect 4889 -82 4913 -48
+rect 4947 -82 4972 -48
+rect 4889 -105 4972 -82
+rect 5073 -48 5156 -24
+rect 5073 -82 5097 -48
+rect 5131 -82 5156 -48
+rect 5073 -105 5156 -82
+rect 5257 -48 5340 -24
+rect 5257 -82 5281 -48
+rect 5315 -82 5340 -48
+rect 5257 -105 5340 -82
+rect 5441 -48 5524 -24
+rect 5441 -82 5465 -48
+rect 5499 -82 5524 -48
+rect 5441 -105 5524 -82
+rect 5625 -48 5708 -24
+rect 5625 -82 5649 -48
+rect 5683 -82 5708 -48
+rect 5625 -105 5708 -82
+rect 5809 -48 5892 -24
+rect 5809 -82 5833 -48
+rect 5867 -82 5892 -48
+rect 5809 -105 5892 -82
+rect 5993 -48 6076 -24
+rect 5993 -82 6017 -48
+rect 6051 -82 6076 -48
+rect 5993 -105 6076 -82
+rect 6177 -48 6260 -24
+rect 6177 -82 6201 -48
+rect 6235 -82 6260 -48
+rect 6177 -105 6260 -82
+<< nsubdiff >>
+rect -355 626 -273 651
+rect -355 592 -331 626
+rect -297 592 -273 626
+rect -355 568 -273 592
+rect -171 626 -89 651
+rect -171 592 -147 626
+rect -113 592 -89 626
+rect -171 568 -89 592
+rect 13 626 95 651
+rect 13 592 37 626
+rect 71 592 95 626
+rect 13 568 95 592
+rect 197 626 279 651
+rect 197 592 221 626
+rect 255 592 279 626
+rect 197 568 279 592
+rect 381 626 463 651
+rect 381 592 405 626
+rect 439 592 463 626
+rect 381 568 463 592
+rect 565 626 647 651
+rect 565 592 589 626
+rect 623 592 647 626
+rect 565 568 647 592
+rect 749 626 831 651
+rect 749 592 773 626
+rect 807 592 831 626
+rect 749 568 831 592
+rect 933 626 1015 651
+rect 933 592 957 626
+rect 991 592 1015 626
+rect 933 568 1015 592
+rect 1117 626 1199 651
+rect 1117 592 1141 626
+rect 1175 592 1199 626
+rect 1117 568 1199 592
+rect 1301 626 1383 651
+rect 1301 592 1325 626
+rect 1359 592 1383 626
+rect 1301 568 1383 592
+rect 1485 626 1567 651
+rect 1485 592 1509 626
+rect 1543 592 1567 626
+rect 1485 568 1567 592
+rect 1669 626 1751 651
+rect 1669 592 1693 626
+rect 1727 592 1751 626
+rect 1669 568 1751 592
+rect 1853 626 1935 651
+rect 1853 592 1877 626
+rect 1911 592 1935 626
+rect 1853 568 1935 592
+rect 2037 626 2119 651
+rect 2037 592 2061 626
+rect 2095 592 2119 626
+rect 2037 568 2119 592
+rect 2221 626 2303 651
+rect 2221 592 2245 626
+rect 2279 592 2303 626
+rect 2221 568 2303 592
+rect 2405 626 2487 651
+rect 2405 592 2429 626
+rect 2463 592 2487 626
+rect 2405 568 2487 592
+rect 2589 626 2671 651
+rect 2589 592 2613 626
+rect 2647 592 2671 626
+rect 2589 568 2671 592
+rect 2773 626 2855 651
+rect 2773 592 2797 626
+rect 2831 592 2855 626
+rect 2773 568 2855 592
+rect 2957 626 3039 651
+rect 2957 592 2981 626
+rect 3015 592 3039 626
+rect 2957 568 3039 592
+rect 3141 626 3223 651
+rect 3141 592 3165 626
+rect 3199 592 3223 626
+rect 3141 568 3223 592
+rect 3325 626 3407 651
+rect 3325 592 3349 626
+rect 3383 592 3407 626
+rect 3325 568 3407 592
+rect 3509 626 3591 651
+rect 3509 592 3533 626
+rect 3567 592 3591 626
+rect 3509 568 3591 592
+rect 3693 626 3775 651
+rect 3693 592 3717 626
+rect 3751 592 3775 626
+rect 3693 568 3775 592
+rect 3877 626 3959 651
+rect 3877 592 3901 626
+rect 3935 592 3959 626
+rect 3877 568 3959 592
+rect 4061 626 4143 651
+rect 4061 592 4085 626
+rect 4119 592 4143 626
+rect 4061 568 4143 592
+rect 4245 626 4327 651
+rect 4245 592 4269 626
+rect 4303 592 4327 626
+rect 4521 626 4603 651
+rect 4245 568 4327 592
+rect 4521 592 4545 626
+rect 4579 592 4603 626
+rect 4521 568 4603 592
+rect 4705 626 4787 651
+rect 4705 592 4729 626
+rect 4763 592 4787 626
+rect 4705 568 4787 592
+rect 4889 626 4971 651
+rect 4889 592 4913 626
+rect 4947 592 4971 626
+rect 4889 568 4971 592
+rect 5073 626 5155 651
+rect 5073 592 5097 626
+rect 5131 592 5155 626
+rect 5073 568 5155 592
+rect 5257 626 5339 651
+rect 5257 592 5281 626
+rect 5315 592 5339 626
+rect 5257 568 5339 592
+rect 5441 626 5523 651
+rect 5441 592 5465 626
+rect 5499 592 5523 626
+rect 5441 568 5523 592
+rect 5625 626 5707 651
+rect 5625 592 5649 626
+rect 5683 592 5707 626
+rect 5625 568 5707 592
+rect 5809 626 5891 651
+rect 5809 592 5833 626
+rect 5867 592 5891 626
+rect 5809 568 5891 592
+rect 5993 626 6075 651
+rect 5993 592 6017 626
+rect 6051 592 6075 626
+rect 5993 568 6075 592
+rect 6177 626 6259 651
+rect 6177 592 6201 626
+rect 6235 592 6259 626
+rect 6177 568 6259 592
+<< psubdiffcont >>
+rect -331 -82 -297 -48
+rect -147 -82 -113 -48
+rect 37 -82 71 -48
+rect 221 -82 255 -48
+rect 405 -82 439 -48
+rect 589 -82 623 -48
+rect 773 -82 807 -48
+rect 957 -82 991 -48
+rect 1141 -82 1175 -48
+rect 1325 -82 1359 -48
+rect 1509 -82 1543 -48
+rect 1693 -82 1727 -48
+rect 1877 -82 1911 -48
+rect 2061 -82 2095 -48
+rect 2245 -82 2279 -48
+rect 2429 -82 2463 -48
+rect 2613 -82 2647 -48
+rect 2797 -82 2831 -48
+rect 2981 -82 3015 -48
+rect 3165 -82 3199 -48
+rect 3349 -82 3383 -48
+rect 3533 -82 3567 -48
+rect 3717 -82 3751 -48
+rect 3901 -82 3935 -48
+rect 4085 -82 4119 -48
+rect 4269 -82 4303 -48
+rect 4545 -82 4579 -48
+rect 4729 -82 4763 -48
+rect 4913 -82 4947 -48
+rect 5097 -82 5131 -48
+rect 5281 -82 5315 -48
+rect 5465 -82 5499 -48
+rect 5649 -82 5683 -48
+rect 5833 -82 5867 -48
+rect 6017 -82 6051 -48
+rect 6201 -82 6235 -48
+<< nsubdiffcont >>
+rect -331 592 -297 626
+rect -147 592 -113 626
+rect 37 592 71 626
+rect 221 592 255 626
+rect 405 592 439 626
+rect 589 592 623 626
+rect 773 592 807 626
+rect 957 592 991 626
+rect 1141 592 1175 626
+rect 1325 592 1359 626
+rect 1509 592 1543 626
+rect 1693 592 1727 626
+rect 1877 592 1911 626
+rect 2061 592 2095 626
+rect 2245 592 2279 626
+rect 2429 592 2463 626
+rect 2613 592 2647 626
+rect 2797 592 2831 626
+rect 2981 592 3015 626
+rect 3165 592 3199 626
+rect 3349 592 3383 626
+rect 3533 592 3567 626
+rect 3717 592 3751 626
+rect 3901 592 3935 626
+rect 4085 592 4119 626
+rect 4269 592 4303 626
+rect 4545 592 4579 626
+rect 4729 592 4763 626
+rect 4913 592 4947 626
+rect 5097 592 5131 626
+rect 5281 592 5315 626
+rect 5465 592 5499 626
+rect 5649 592 5683 626
+rect 5833 592 5867 626
+rect 6017 592 6051 626
+rect 6201 592 6235 626
+<< poly >>
+rect 4392 671 4458 687
+rect 4392 637 4408 671
+rect 4442 637 4458 671
+rect 4392 621 4458 637
+rect 921 497 951 523
+rect 1007 497 1037 523
+rect 1093 497 1123 523
+rect 1179 497 1209 523
+rect 1265 497 1295 523
+rect 1351 497 1381 523
+rect 1437 497 1467 523
+rect 1523 497 1553 523
+rect 1609 497 1639 523
+rect 1695 497 1725 523
+rect 1963 497 2803 523
+rect 3041 497 3071 523
+rect 3127 497 3157 523
+rect 3213 497 3243 523
+rect 3299 497 3329 523
+rect 3385 497 3415 523
+rect 3471 497 3501 523
+rect 3557 497 3587 523
+rect 3643 497 3673 523
+rect 3729 497 3759 523
+rect 3815 497 3845 523
+rect 767 417 833 427
+rect 767 383 783 417
+rect 817 383 833 417
+rect 767 360 833 383
+rect 1813 417 1879 427
+rect 1813 383 1829 417
+rect 1863 383 1879 417
+rect 2887 417 2953 427
+rect 921 360 951 375
+rect 767 330 951 360
+rect 1007 360 1037 375
+rect 1093 360 1123 375
+rect 1179 360 1209 375
+rect 1265 360 1295 375
+rect 1351 360 1381 375
+rect 1437 360 1467 375
+rect 1523 360 1553 375
+rect 1609 360 1639 375
+rect 1007 330 1639 360
+rect 1695 360 1725 375
+rect 1813 360 1879 383
+rect 1695 330 1879 360
+rect 1963 366 2803 413
+rect 1963 332 1979 366
+rect 2013 365 2803 366
+rect 2013 332 2543 365
+rect 1963 331 2543 332
+rect 2577 331 2715 365
+rect 2749 331 2803 365
+rect 1007 328 1074 330
+rect 1007 294 1023 328
+rect 1057 294 1074 328
+rect 1007 284 1074 294
+rect 1572 328 1639 330
+rect 1572 294 1589 328
+rect 1623 294 1639 328
+rect 1963 321 2803 331
+rect 2887 383 2903 417
+rect 2937 383 2953 417
+rect 2887 360 2953 383
+rect 4409 433 4440 621
+rect 5099 497 5129 523
+rect 5185 497 5215 523
+rect 5271 497 5331 523
+rect 5387 497 5447 523
+rect 5503 497 5533 523
+rect 5589 497 5619 523
+rect 5675 497 5735 523
+rect 5791 497 5851 523
+rect 5907 497 5937 523
+rect 5993 497 6023 523
+rect 3933 417 3999 427
+rect 3933 383 3949 417
+rect 3983 383 3999 417
+rect 3041 360 3071 375
+rect 2887 330 3071 360
+rect 3127 360 3157 375
+rect 3213 360 3243 375
+rect 3299 360 3329 375
+rect 3385 360 3415 375
+rect 3471 360 3501 375
+rect 3557 360 3587 375
+rect 3643 360 3673 375
+rect 3729 360 3759 375
+rect 3127 330 3759 360
+rect 3815 360 3845 375
+rect 3933 360 3999 383
+rect 4242 403 4702 433
+rect 3815 330 3999 360
+rect 4070 335 4100 361
+rect 4156 335 4186 361
+rect 4242 335 4272 403
+rect 4328 335 4358 403
+rect 4414 335 4444 361
+rect 4500 335 4530 361
+rect 4586 335 4616 403
+rect 4672 335 4702 403
+rect 4945 417 5011 427
+rect 4945 383 4961 417
+rect 4995 383 5011 417
+rect 4758 335 4788 361
+rect 4844 335 4874 361
+rect 4945 360 5011 383
+rect 6111 417 6177 427
+rect 6111 383 6127 417
+rect 6161 383 6177 417
+rect 5099 360 5129 375
+rect 3127 328 3194 330
+rect 1117 274 1185 286
+rect 1117 240 1134 274
+rect 1168 240 1185 274
+rect 1461 274 1529 286
+rect 1572 284 1639 294
+rect 1461 240 1478 274
+rect 1512 240 1529 274
+rect 2032 249 2062 321
+rect 2118 249 2148 321
+rect 2204 249 2234 321
+rect 2290 249 2320 321
+rect 3127 294 3143 328
+rect 3177 294 3194 328
+rect 3127 284 3194 294
+rect 3692 328 3759 330
+rect 3692 294 3709 328
+rect 3743 294 3759 328
+rect 3692 284 3759 294
+rect 2437 259 2503 269
+rect 776 210 951 240
+rect 776 176 842 210
+rect 921 195 951 210
+rect 1007 210 1639 240
+rect 1007 195 1037 210
+rect 1093 195 1123 210
+rect 1179 195 1209 210
+rect 1265 195 1295 210
+rect 1351 195 1381 210
+rect 1437 195 1467 210
+rect 1523 195 1553 210
+rect 1609 195 1639 210
+rect 1695 210 1869 240
+rect 1695 195 1725 210
+rect 776 142 792 176
+rect 826 142 842 176
+rect 776 132 842 142
+rect 1803 176 1869 210
+rect 1803 142 1819 176
+rect 1853 142 1869 176
+rect 1803 132 1869 142
+rect 2437 225 2453 259
+rect 2487 233 2503 259
+rect 2789 259 2855 269
+rect 2789 233 2805 259
+rect 2487 225 2805 233
+rect 2839 225 2855 259
+rect 3234 259 3300 269
+rect 3234 232 3250 259
+rect 2437 202 2855 225
+rect 2897 202 3071 232
+rect 2502 187 2532 202
+rect 2588 187 2618 202
+rect 2674 187 2704 202
+rect 2760 187 2790 202
+rect 2032 83 2062 109
+rect 2118 83 2148 109
+rect 2204 83 2234 109
+rect 2290 83 2320 109
+rect 921 29 951 55
+rect 1007 29 1037 55
+rect 1093 29 1123 55
+rect 1179 29 1209 55
+rect 1265 29 1295 55
+rect 1351 29 1381 55
+rect 1437 29 1467 55
+rect 1523 29 1553 55
+rect 1609 29 1639 55
+rect 1695 29 1725 55
+rect 2897 168 2963 202
+rect 3041 187 3071 202
+rect 3127 225 3250 232
+rect 3284 232 3300 259
+rect 3586 259 3652 269
+rect 3586 232 3602 259
+rect 3284 225 3602 232
+rect 3636 232 3652 259
+rect 3636 225 3759 232
+rect 3127 202 3759 225
+rect 3127 187 3157 202
+rect 3213 187 3243 202
+rect 3299 187 3329 202
+rect 3385 187 3415 202
+rect 3471 187 3501 202
+rect 3557 187 3587 202
+rect 3643 187 3673 202
+rect 3729 187 3759 202
+rect 3815 202 3990 232
+rect 3815 187 3845 202
+rect 3924 195 3990 202
+rect 2897 134 2913 168
+rect 2947 134 2963 168
+rect 2897 124 2963 134
+rect 3924 161 3940 195
+rect 3974 161 3990 195
+rect 3924 108 3990 161
+rect 4945 330 5129 360
+rect 5185 360 5215 375
+rect 5271 360 5331 375
+rect 5387 360 5447 375
+rect 5503 360 5533 375
+rect 5589 360 5619 375
+rect 5675 360 5735 375
+rect 5791 360 5851 375
+rect 5907 360 5937 375
+rect 5185 330 5937 360
+rect 5993 360 6023 375
+rect 6111 360 6177 383
+rect 5993 330 6177 360
+rect 5185 328 5252 330
+rect 5185 294 5201 328
+rect 5235 294 5252 328
+rect 5185 284 5252 294
+rect 5870 328 5937 330
+rect 5870 294 5887 328
+rect 5921 294 5937 328
+rect 5870 284 5937 294
+rect 5322 259 5388 269
+rect 5322 232 5338 259
+rect 4954 202 5129 232
+rect 4954 195 5020 202
+rect 4954 161 4970 195
+rect 5004 161 5020 195
+rect 5099 187 5129 202
+rect 5185 225 5338 232
+rect 5372 232 5388 259
+rect 5734 259 5800 269
+rect 5734 232 5750 259
+rect 5372 225 5750 232
+rect 5784 232 5800 259
+rect 5784 225 5937 232
+rect 5185 202 5937 225
+rect 5185 187 5215 202
+rect 5271 187 5331 202
+rect 5387 187 5447 202
+rect 5503 187 5533 202
+rect 5589 187 5619 202
+rect 5675 187 5735 202
+rect 5791 187 5851 202
+rect 5907 187 5937 202
+rect 5993 202 6167 232
+rect 5993 187 6023 202
+rect 4070 108 4100 123
+rect 3924 78 4100 108
+rect 4156 51 4186 123
+rect 4242 93 4272 123
+rect 4328 93 4358 123
+rect 4414 51 4444 123
+rect 4500 51 4530 123
+rect 4586 93 4616 123
+rect 4672 93 4702 123
+rect 4758 51 4788 123
+rect 4844 108 4874 123
+rect 4954 108 5020 161
+rect 4844 78 5020 108
+rect 2502 21 2532 47
+rect 2588 21 2618 47
+rect 2674 21 2704 47
+rect 2760 21 2790 47
+rect 3041 21 3071 47
+rect 3127 21 3157 47
+rect 3213 21 3243 47
+rect 3299 21 3329 47
+rect 3385 21 3415 47
+rect 3471 21 3501 47
+rect 3557 21 3587 47
+rect 3643 21 3673 47
+rect 3729 21 3759 47
+rect 3815 21 3845 47
+rect 4156 21 4788 51
+rect 6101 168 6167 202
+rect 6101 134 6117 168
+rect 6151 134 6167 168
+rect 6101 124 6167 134
+rect 5099 21 5129 47
+rect 5185 21 5215 47
+rect 5271 21 5331 47
+rect 5387 21 5447 47
+rect 5503 21 5533 47
+rect 5589 21 5619 47
+rect 5675 21 5735 47
+rect 5791 21 5851 47
+rect 5907 21 5937 47
+rect 5993 21 6023 47
+rect 4414 -82 4455 21
+rect 4399 -97 4466 -82
+rect 4399 -131 4416 -97
+rect 4450 -131 4466 -97
+rect 4399 -148 4466 -131
+<< polycont >>
+rect 4408 637 4442 671
+rect 783 383 817 417
+rect 1829 383 1863 417
+rect 1979 332 2013 366
+rect 2543 331 2577 365
+rect 2715 331 2749 365
+rect 1023 294 1057 328
+rect 1589 294 1623 328
+rect 2903 383 2937 417
+rect 3949 383 3983 417
+rect 4961 383 4995 417
+rect 6127 383 6161 417
+rect 1134 240 1168 274
+rect 1478 240 1512 274
+rect 3143 294 3177 328
+rect 3709 294 3743 328
+rect 792 142 826 176
+rect 1819 142 1853 176
+rect 2453 225 2487 259
+rect 2805 225 2839 259
+rect 3250 225 3284 259
+rect 3602 225 3636 259
+rect 2913 134 2947 168
+rect 3940 161 3974 195
+rect 5201 294 5235 328
+rect 5887 294 5921 328
+rect 4970 161 5004 195
+rect 5338 225 5372 259
+rect 5750 225 5784 259
+rect 6117 134 6151 168
+rect 4416 -131 4450 -97
+<< xpolycontact >>
+rect -333 75 99 357
+rect 239 75 671 357
+<< xpolyres >>
+rect 99 75 239 357
+<< locali >>
+rect 4391 671 4458 687
+rect -331 626 -297 642
+rect -331 561 -297 592
+rect -147 626 -113 642
+rect -147 561 -113 592
+rect 37 626 71 642
+rect 37 561 71 592
+rect 221 626 255 642
+rect 221 561 255 592
+rect 405 626 439 642
+rect 405 561 439 592
+rect 589 626 623 642
+rect 589 561 623 592
+rect 773 626 807 642
+rect 773 561 807 592
+rect 957 626 991 642
+rect 957 561 991 592
+rect 1141 626 1175 642
+rect 1141 561 1175 592
+rect 1325 626 1359 642
+rect 1325 561 1359 592
+rect 1509 626 1543 642
+rect 1509 561 1543 592
+rect 1693 626 1727 642
+rect 1693 561 1727 592
+rect 1877 626 1911 642
+rect 1877 561 1911 592
+rect 2061 626 2095 642
+rect 2061 561 2095 592
+rect 2245 626 2279 642
+rect 2245 561 2279 592
+rect 2429 626 2463 642
+rect 2429 561 2463 592
+rect 2613 626 2647 642
+rect 2613 561 2647 592
+rect 2797 626 2831 642
+rect 2797 561 2831 592
+rect 2981 626 3015 642
+rect 2981 561 3015 592
+rect 3165 626 3199 642
+rect 3165 561 3199 592
+rect 3349 626 3383 642
+rect 3349 561 3383 592
+rect 3533 626 3567 642
+rect 3533 561 3567 592
+rect 3717 626 3751 642
+rect 3717 561 3751 592
+rect 3901 626 3935 642
+rect 3901 561 3935 592
+rect 4085 626 4119 642
+rect 4085 561 4119 592
+rect 4269 626 4303 642
+rect 4391 637 4408 671
+rect 4442 637 4458 671
+rect 4391 621 4458 637
+rect 4545 626 4579 642
+rect 4269 561 4303 592
+rect 4545 561 4579 592
+rect 4729 626 4763 642
+rect 4729 561 4763 592
+rect 4913 626 4947 642
+rect 4913 561 4947 592
+rect 5097 626 5131 642
+rect 5097 561 5131 592
+rect 5281 626 5315 642
+rect 5281 561 5315 592
+rect 5465 626 5499 642
+rect 5465 561 5499 592
+rect 5649 626 5683 642
+rect 5649 561 5683 592
+rect 5833 626 5867 642
+rect 5833 561 5867 592
+rect 6017 626 6051 642
+rect 6017 561 6051 592
+rect 6201 626 6235 642
+rect 6201 561 6235 592
+rect -360 527 -331 561
+rect -297 527 -239 561
+rect -205 527 -147 561
+rect -113 527 -55 561
+rect -21 527 37 561
+rect 71 527 129 561
+rect 163 527 221 561
+rect 255 527 313 561
+rect 347 527 405 561
+rect 439 527 497 561
+rect 531 527 589 561
+rect 623 527 681 561
+rect 715 527 773 561
+rect 807 527 865 561
+rect 899 527 957 561
+rect 991 527 1049 561
+rect 1083 527 1141 561
+rect 1175 527 1233 561
+rect 1267 527 1325 561
+rect 1359 527 1417 561
+rect 1451 527 1509 561
+rect 1543 527 1601 561
+rect 1635 527 1693 561
+rect 1727 527 1785 561
+rect 1819 527 1877 561
+rect 1911 527 1969 561
+rect 2003 527 2061 561
+rect 2095 527 2153 561
+rect 2187 527 2245 561
+rect 2279 527 2337 561
+rect 2371 527 2429 561
+rect 2463 527 2521 561
+rect 2555 527 2613 561
+rect 2647 527 2705 561
+rect 2739 527 2797 561
+rect 2831 527 2889 561
+rect 2923 527 2981 561
+rect 3015 527 3073 561
+rect 3107 527 3165 561
+rect 3199 527 3257 561
+rect 3291 527 3349 561
+rect 3383 527 3441 561
+rect 3475 527 3533 561
+rect 3567 527 3625 561
+rect 3659 527 3717 561
+rect 3751 527 3809 561
+rect 3843 527 3901 561
+rect 3935 527 3993 561
+rect 4027 527 4085 561
+rect 4119 527 4177 561
+rect 4211 527 4269 561
+rect 4303 527 4361 561
+rect 4395 527 4453 561
+rect 4487 527 4545 561
+rect 4579 527 4637 561
+rect 4671 527 4729 561
+rect 4763 527 4821 561
+rect 4855 527 4913 561
+rect 4947 527 5005 561
+rect 5039 527 5097 561
+rect 5131 527 5189 561
+rect 5223 527 5281 561
+rect 5315 527 5373 561
+rect 5407 527 5465 561
+rect 5499 527 5557 561
+rect 5591 527 5649 561
+rect 5683 527 5741 561
+rect 5775 527 5833 561
+rect 5867 527 5925 561
+rect 5959 527 6017 561
+rect 6051 527 6109 561
+rect 6143 527 6201 561
+rect 6235 527 6293 561
+rect 6327 527 6356 561
+rect 876 485 910 527
+rect 1048 485 1082 527
+rect 1220 485 1254 527
+rect 1392 485 1426 527
+rect 1564 485 1598 527
+rect 1736 485 1770 527
+rect 946 451 962 485
+rect 996 451 1012 485
+rect 1118 451 1134 485
+rect 1168 451 1184 485
+rect 1290 451 1306 485
+rect 1340 451 1356 485
+rect 1462 451 1478 485
+rect 1512 451 1528 485
+rect 1634 451 1650 485
+rect 1684 451 1701 485
+rect 876 417 910 451
+rect 962 417 996 451
+rect 767 383 783 417
+rect 817 383 876 417
+rect 910 383 926 417
+rect 962 364 996 383
+rect 1048 417 1082 451
+rect 1048 367 1082 383
+rect 962 328 996 330
+rect 962 294 1023 328
+rect 1057 294 1074 328
+rect 1134 274 1168 383
+rect 1220 417 1254 451
+rect 1220 366 1254 383
+rect 1306 417 1340 451
+rect 1306 364 1340 383
+rect 1392 417 1426 451
+rect 1392 367 1426 383
+rect 1478 274 1512 383
+rect 1564 417 1598 451
+rect 1564 367 1598 383
+rect 1650 417 1684 451
+rect 1736 417 1770 451
+rect 1918 472 1952 488
+rect 1829 417 1863 433
+rect 1720 383 1736 417
+rect 1770 383 1829 417
+rect 1650 364 1684 383
+rect 1829 367 1863 383
+rect 1918 366 1952 438
+rect 2814 472 2848 527
+rect 2814 422 2848 438
+rect 2996 485 3030 527
+rect 3168 485 3202 527
+rect 3340 485 3374 527
+rect 3512 485 3546 527
+rect 3684 485 3718 527
+rect 3856 485 3890 527
+rect 3065 451 3082 485
+rect 3116 451 3132 485
+rect 3238 451 3254 485
+rect 3288 451 3304 485
+rect 3410 451 3426 485
+rect 3460 451 3476 485
+rect 3582 451 3598 485
+rect 3632 451 3648 485
+rect 3754 451 3770 485
+rect 3804 451 3820 485
+rect 2903 417 2937 433
+rect 2996 417 3030 451
+rect 3082 417 3116 451
+rect 2937 383 2996 417
+rect 3030 383 3046 417
+rect 1918 332 1979 366
+rect 2013 332 2029 366
+rect 2543 365 2577 381
+rect 1650 328 1684 330
+rect 1572 294 1589 328
+rect 1623 294 1684 328
+rect 1118 240 1134 274
+rect 1168 240 1184 274
+rect 1462 240 1478 274
+rect 1512 240 1528 274
+rect 2021 264 2487 298
+rect 1987 230 2021 264
+rect 2159 230 2193 264
+rect 2331 230 2365 264
+rect 2057 196 2073 230
+rect 2107 196 2123 230
+rect 2229 196 2245 230
+rect 2279 196 2295 230
+rect 2453 259 2487 264
+rect 2453 209 2487 225
+rect 776 142 792 176
+rect 826 142 876 176
+rect 910 142 926 176
+rect 876 108 910 142
+rect -333 17 99 75
+rect 876 17 910 74
+rect 962 108 996 142
+rect 962 58 996 74
+rect 1048 176 1082 192
+rect 1048 116 1082 142
+rect 1048 58 1082 74
+rect 1134 108 1168 142
+rect 1134 58 1168 74
+rect 1220 176 1254 192
+rect 1220 116 1254 142
+rect 1220 58 1254 74
+rect 1306 108 1340 142
+rect 1306 58 1340 74
+rect 1392 176 1426 192
+rect 1392 116 1426 142
+rect 1392 58 1426 74
+rect 1478 108 1512 142
+rect 1478 58 1512 74
+rect 1564 176 1598 192
+rect 1564 116 1598 142
+rect 1564 58 1598 74
+rect 1720 142 1736 176
+rect 1770 142 1819 176
+rect 1853 142 1869 176
+rect 1987 162 2021 196
+rect 2073 162 2107 196
+rect 2159 162 2193 196
+rect 2245 162 2279 196
+rect 2331 162 2365 196
+rect 2543 168 2577 331
+rect 2715 365 2749 381
+rect 2903 367 2937 383
+rect 2715 168 2749 331
+rect 3082 328 3116 383
+rect 3168 417 3202 451
+rect 3168 367 3202 383
+rect 3254 417 3288 451
+rect 3340 417 3374 451
+rect 3340 367 3374 383
+rect 3426 417 3460 451
+rect 3426 328 3460 383
+rect 3512 417 3546 451
+rect 3512 366 3546 383
+rect 3598 417 3632 451
+rect 3684 417 3718 451
+rect 3684 367 3718 383
+rect 3770 417 3804 451
+rect 3856 417 3890 451
+rect 5054 485 5088 527
+rect 5226 485 5260 527
+rect 5458 485 5492 527
+rect 5630 485 5664 527
+rect 5862 485 5896 527
+rect 6034 485 6068 527
+rect 5124 451 5140 485
+rect 5174 451 5190 485
+rect 5326 451 5342 485
+rect 5376 451 5392 485
+rect 5528 451 5544 485
+rect 5578 451 5594 485
+rect 5730 451 5746 485
+rect 5780 451 5796 485
+rect 5932 451 5948 485
+rect 5982 451 5999 485
+rect 5054 417 5088 451
+rect 5140 417 5174 451
+rect 3840 383 3856 417
+rect 3890 383 3949 417
+rect 3983 383 3999 417
+rect 3770 328 3804 383
+rect 4067 364 4877 398
+rect 4945 383 4961 417
+rect 4995 383 5054 417
+rect 5088 383 5104 417
+rect 3082 294 3143 328
+rect 3177 294 3709 328
+rect 3743 294 3770 328
+rect 4025 314 4059 330
+rect 2805 259 2839 275
+rect 2839 225 3250 259
+rect 3284 225 3602 259
+rect 3636 225 3804 259
+rect 2805 209 2839 225
+rect 1650 108 1684 142
+rect 1650 58 1684 74
+rect 1736 108 1770 142
+rect 1736 17 1770 74
+rect 1903 94 1937 158
+rect 1971 128 1987 162
+rect 2021 128 2037 162
+rect 2143 128 2159 162
+rect 2193 128 2209 162
+rect 2315 128 2331 162
+rect 2365 128 2381 162
+rect 2441 134 2457 168
+rect 2491 134 2507 168
+rect 2613 134 2629 168
+rect 2663 134 2679 168
+rect 2073 94 2107 128
+rect 2245 94 2279 128
+rect 1903 60 2279 94
+rect 2457 100 2491 134
+rect 2543 100 2577 134
+rect 2629 100 2663 134
+rect 2715 100 2749 134
+rect 2913 168 2947 184
+rect 3082 168 3116 225
+rect 2947 134 2996 168
+rect 3030 134 3046 168
+rect 2913 118 2947 134
+rect 2801 100 2835 116
+rect 2527 66 2543 100
+rect 2577 66 2593 100
+rect 2699 66 2715 100
+rect 2749 66 2765 100
+rect 2457 17 2491 66
+rect 2629 17 2663 66
+rect 2801 17 2835 66
+rect 2996 100 3030 134
+rect 3082 101 3116 134
+rect 3168 168 3202 184
+rect 3066 100 3132 101
+rect 3066 66 3082 100
+rect 3116 66 3132 100
+rect 3168 100 3202 134
+rect 3254 168 3288 184
+rect 3254 126 3288 134
+rect 2996 17 3030 66
+rect 3168 17 3202 66
+rect 3238 66 3254 93
+rect 3340 168 3374 184
+rect 3340 100 3374 134
+rect 3288 66 3304 93
+rect 3238 59 3304 66
+rect 3426 168 3460 225
+rect 3426 100 3460 134
+rect 3340 17 3374 66
+rect 3410 66 3426 93
+rect 3512 168 3546 184
+rect 3512 100 3546 134
+rect 3598 168 3632 184
+rect 3598 126 3632 134
+rect 3684 168 3718 184
+rect 3684 100 3718 134
+rect 3770 168 3804 225
+rect 4025 246 4059 280
+rect 4025 195 4059 212
+rect 3770 101 3804 134
+rect 3856 168 3940 195
+rect 3890 161 3940 168
+rect 3974 178 4059 195
+rect 3974 161 4025 178
+rect 3460 66 3476 93
+rect 3410 59 3476 66
+rect 3582 66 3598 100
+rect 3632 66 3648 100
+rect 3754 100 3820 101
+rect 3754 66 3770 100
+rect 3804 66 3820 100
+rect 3856 100 3890 134
+rect 4025 128 4059 144
+rect 4111 328 4145 330
+rect 4111 246 4145 280
+rect 4111 178 4145 212
+rect 4111 128 4145 144
+rect 4197 314 4231 330
+rect 4197 246 4231 280
+rect 4197 178 4231 212
+rect 3512 17 3546 66
+rect 3684 17 3718 66
+rect 3856 17 3890 66
+rect 4197 94 4231 144
+rect 4283 314 4317 330
+rect 4283 260 4317 280
+rect 4283 178 4317 212
+rect 4283 128 4317 144
+rect 4369 314 4403 330
+rect 4369 246 4403 280
+rect 4369 192 4403 212
+rect 4369 94 4403 144
+rect 4455 328 4489 330
+rect 4455 246 4489 280
+rect 4455 178 4489 212
+rect 4455 128 4489 144
+rect 4541 314 4575 330
+rect 4541 246 4575 280
+rect 4541 192 4575 212
+rect 3974 93 4403 94
+rect 3940 60 4403 93
+rect 4541 94 4575 144
+rect 4627 314 4661 330
+rect 4627 260 4661 280
+rect 4627 178 4661 212
+rect 4627 128 4661 144
+rect 4713 314 4747 330
+rect 4713 246 4747 280
+rect 4713 178 4747 212
+rect 4713 94 4747 144
+rect 4799 329 4833 330
+rect 4799 246 4833 280
+rect 4799 178 4833 212
+rect 4799 128 4833 144
+rect 4885 314 4919 330
+rect 5140 328 5174 383
+rect 5226 417 5260 451
+rect 5226 367 5260 383
+rect 5342 367 5376 383
+rect 5458 417 5492 451
+rect 5458 366 5492 383
+rect 5544 417 5578 451
+rect 5544 328 5578 383
+rect 5630 417 5664 451
+rect 5630 367 5664 383
+rect 5746 367 5780 383
+rect 5862 417 5896 451
+rect 5862 367 5896 383
+rect 5948 417 5982 451
+rect 6034 417 6068 451
+rect 6245 450 6339 451
+rect 6245 417 6296 450
+rect 6018 383 6034 417
+rect 6068 383 6127 417
+rect 6161 383 6177 417
+rect 5948 328 5982 383
+rect 5174 294 5201 328
+rect 5235 294 5887 328
+rect 5921 294 5982 328
+rect 4885 246 4919 280
+rect 4885 195 4919 212
+rect 5140 225 5215 259
+rect 5249 225 5338 259
+rect 5372 225 5750 259
+rect 5784 225 5982 259
+rect 4885 178 4970 195
+rect 4919 161 4970 178
+rect 5004 168 5088 195
+rect 5004 161 5054 168
+rect 4885 128 4919 144
+rect 4541 60 4747 94
+rect 5054 100 5088 134
+rect 5140 168 5174 225
+rect 5140 101 5174 134
+rect 5226 168 5260 184
+rect 5124 100 5190 101
+rect 5124 66 5140 100
+rect 5174 66 5190 100
+rect 5226 100 5260 134
+rect 5342 168 5376 184
+rect 5342 127 5376 134
+rect 5458 168 5492 184
+rect 5458 100 5492 134
+rect 5544 168 5578 225
+rect 5544 100 5578 134
+rect 5630 168 5664 184
+rect 5630 100 5664 134
+rect 5746 168 5780 184
+rect 5746 127 5780 134
+rect 5862 168 5896 184
+rect 5862 100 5896 134
+rect 5948 168 5982 225
+rect 6018 134 6034 168
+rect 6068 134 6117 168
+rect 6151 134 6167 168
+rect 5948 101 5982 134
+rect 5326 66 5342 100
+rect 5376 66 5392 100
+rect 5528 66 5544 100
+rect 5578 66 5594 100
+rect 5730 66 5746 100
+rect 5780 66 5796 100
+rect 5932 100 5998 101
+rect 5932 66 5948 100
+rect 5982 66 5998 100
+rect 6034 100 6068 134
+rect 6287 128 6296 417
+rect 6211 127 6296 128
+rect 6245 96 6296 127
+rect 6245 93 6339 96
+rect 5054 17 5088 66
+rect 5226 17 5260 66
+rect 5458 17 5492 66
+rect 5630 17 5664 66
+rect 5862 17 5896 66
+rect 6034 17 6068 66
+rect -360 -17 -331 17
+rect -297 -17 -239 17
+rect -205 -17 -147 17
+rect -113 -17 -55 17
+rect -21 -17 37 17
+rect 71 -17 129 17
+rect 163 -17 221 17
+rect 255 -17 313 17
+rect 347 -17 405 17
+rect 439 -17 497 17
+rect 531 -17 589 17
+rect 623 -17 681 17
+rect 715 -17 773 17
+rect 807 -17 865 17
+rect 899 -17 957 17
+rect 991 -17 1049 17
+rect 1083 -17 1141 17
+rect 1175 -17 1233 17
+rect 1267 -17 1325 17
+rect 1359 -17 1417 17
+rect 1451 -17 1509 17
+rect 1543 -17 1601 17
+rect 1635 -17 1693 17
+rect 1727 -17 1785 17
+rect 1819 -17 1877 17
+rect 1911 -17 1969 17
+rect 2003 -17 2061 17
+rect 2095 -17 2153 17
+rect 2187 -17 2245 17
+rect 2279 -17 2337 17
+rect 2371 -17 2429 17
+rect 2463 -17 2521 17
+rect 2555 -17 2613 17
+rect 2647 -17 2705 17
+rect 2739 -17 2797 17
+rect 2831 -17 2889 17
+rect 2923 -17 2981 17
+rect 3015 -17 3073 17
+rect 3107 -17 3165 17
+rect 3199 -17 3257 17
+rect 3291 -17 3349 17
+rect 3383 -17 3441 17
+rect 3475 -17 3533 17
+rect 3567 -17 3625 17
+rect 3659 -17 3717 17
+rect 3751 -17 3809 17
+rect 3843 -17 3901 17
+rect 3935 -17 3993 17
+rect 4027 -17 4085 17
+rect 4119 -17 4177 17
+rect 4211 -17 4269 17
+rect 4303 -17 4361 17
+rect 4395 -17 4453 17
+rect 4487 -17 4545 17
+rect 4579 -17 4637 17
+rect 4671 -17 4729 17
+rect 4763 -17 4821 17
+rect 4855 -17 4913 17
+rect 4947 -17 5005 17
+rect 5039 -17 5097 17
+rect 5131 -17 5189 17
+rect 5223 -17 5281 17
+rect 5315 -17 5373 17
+rect 5407 -17 5465 17
+rect 5499 -17 5557 17
+rect 5591 -17 5649 17
+rect 5683 -17 5741 17
+rect 5775 -17 5833 17
+rect 5867 -17 5925 17
+rect 5959 -17 6017 17
+rect 6051 -17 6109 17
+rect 6143 -17 6201 17
+rect 6235 -17 6293 17
+rect 6327 -17 6356 17
+rect -331 -48 -297 -17
+rect -331 -98 -297 -82
+rect -147 -48 -113 -17
+rect -147 -98 -113 -82
+rect 37 -48 71 -17
+rect 37 -98 71 -82
+rect 221 -48 255 -17
+rect 221 -98 255 -82
+rect 405 -48 439 -17
+rect 405 -98 439 -82
+rect 589 -48 623 -17
+rect 589 -98 623 -82
+rect 773 -48 807 -17
+rect 773 -98 807 -82
+rect 957 -48 991 -17
+rect 957 -98 991 -82
+rect 1141 -48 1175 -17
+rect 1141 -98 1175 -82
+rect 1325 -48 1359 -17
+rect 1325 -98 1359 -82
+rect 1509 -48 1543 -17
+rect 1509 -98 1543 -82
+rect 1693 -48 1727 -17
+rect 1693 -98 1727 -82
+rect 1877 -48 1911 -17
+rect 1877 -98 1911 -82
+rect 2061 -48 2095 -17
+rect 2061 -98 2095 -82
+rect 2245 -48 2279 -17
+rect 2245 -98 2279 -82
+rect 2429 -48 2463 -17
+rect 2429 -98 2463 -82
+rect 2613 -48 2647 -17
+rect 2613 -98 2647 -82
+rect 2797 -48 2831 -17
+rect 2797 -98 2831 -82
+rect 2981 -48 3015 -17
+rect 2981 -98 3015 -82
+rect 3165 -48 3199 -17
+rect 3165 -98 3199 -82
+rect 3349 -48 3383 -17
+rect 3349 -98 3383 -82
+rect 3533 -48 3567 -17
+rect 3533 -98 3567 -82
+rect 3717 -48 3751 -17
+rect 3717 -98 3751 -82
+rect 3901 -48 3935 -17
+rect 3901 -98 3935 -82
+rect 4085 -48 4119 -17
+rect 4085 -98 4119 -82
+rect 4269 -48 4303 -17
+rect 4545 -48 4579 -17
+rect 4269 -98 4303 -82
+rect 4400 -97 4466 -81
+rect 4400 -131 4416 -97
+rect 4450 -131 4466 -97
+rect 4545 -98 4579 -82
+rect 4729 -48 4763 -17
+rect 4729 -98 4763 -82
+rect 4913 -48 4947 -17
+rect 4913 -98 4947 -82
+rect 5097 -48 5131 -17
+rect 5097 -98 5131 -82
+rect 5281 -48 5315 -17
+rect 5281 -98 5315 -82
+rect 5465 -48 5499 -17
+rect 5465 -98 5499 -82
+rect 5649 -48 5683 -17
+rect 5649 -98 5683 -82
+rect 5833 -48 5867 -17
+rect 5833 -98 5867 -82
+rect 6017 -48 6051 -17
+rect 6017 -98 6051 -82
+rect 6201 -48 6235 -17
+rect 6201 -98 6235 -82
+rect 4400 -147 4466 -131
+<< viali >>
+rect 4408 637 4442 671
+rect -331 527 -297 561
+rect -239 527 -205 561
+rect -147 527 -113 561
+rect -55 527 -21 561
+rect 37 527 71 561
+rect 129 527 163 561
+rect 221 527 255 561
+rect 313 527 347 561
+rect 405 527 439 561
+rect 497 527 531 561
+rect 589 527 623 561
+rect 681 527 715 561
+rect 773 527 807 561
+rect 865 527 899 561
+rect 957 527 991 561
+rect 1049 527 1083 561
+rect 1141 527 1175 561
+rect 1233 527 1267 561
+rect 1325 527 1359 561
+rect 1417 527 1451 561
+rect 1509 527 1543 561
+rect 1601 527 1635 561
+rect 1693 527 1727 561
+rect 1785 527 1819 561
+rect 1877 527 1911 561
+rect 1969 527 2003 561
+rect 2061 527 2095 561
+rect 2153 527 2187 561
+rect 2245 527 2279 561
+rect 2337 527 2371 561
+rect 2429 527 2463 561
+rect 2521 527 2555 561
+rect 2613 527 2647 561
+rect 2705 527 2739 561
+rect 2797 527 2831 561
+rect 2889 527 2923 561
+rect 2981 527 3015 561
+rect 3073 527 3107 561
+rect 3165 527 3199 561
+rect 3257 527 3291 561
+rect 3349 527 3383 561
+rect 3441 527 3475 561
+rect 3533 527 3567 561
+rect 3625 527 3659 561
+rect 3717 527 3751 561
+rect 3809 527 3843 561
+rect 3901 527 3935 561
+rect 3993 527 4027 561
+rect 4085 527 4119 561
+rect 4177 527 4211 561
+rect 4269 527 4303 561
+rect 4361 527 4395 561
+rect 4453 527 4487 561
+rect 4545 527 4579 561
+rect 4637 527 4671 561
+rect 4729 527 4763 561
+rect 4821 527 4855 561
+rect 4913 527 4947 561
+rect 5005 527 5039 561
+rect 5097 527 5131 561
+rect 5189 527 5223 561
+rect 5281 527 5315 561
+rect 5373 527 5407 561
+rect 5465 527 5499 561
+rect 5557 527 5591 561
+rect 5649 527 5683 561
+rect 5741 527 5775 561
+rect 5833 527 5867 561
+rect 5925 527 5959 561
+rect 6017 527 6051 561
+rect 6109 527 6143 561
+rect 6201 527 6235 561
+rect 6293 527 6327 561
+rect 1134 417 1168 451
+rect 962 330 996 364
+rect 1478 417 1512 451
+rect 1306 330 1340 364
+rect 1650 330 1684 364
+rect 1987 264 2021 298
+rect 962 176 996 192
+rect 962 158 996 176
+rect 637 82 671 116
+rect 1048 108 1082 116
+rect 1048 82 1082 108
+rect 1134 176 1168 192
+rect 1134 158 1168 176
+rect 1220 108 1254 116
+rect 1220 82 1254 108
+rect 1306 176 1340 192
+rect 1306 158 1340 176
+rect 1392 108 1426 116
+rect 1392 82 1426 108
+rect 1478 176 1512 192
+rect 1478 158 1512 176
+rect 1564 108 1598 116
+rect 1564 82 1598 108
+rect 1650 176 1684 192
+rect 1650 158 1684 176
+rect 1903 158 1937 192
+rect 3254 383 3288 398
+rect 3254 364 3288 383
+rect 3598 383 3632 398
+rect 3598 364 3632 383
+rect 4033 364 4067 398
+rect 4877 364 4911 398
+rect 3770 294 3804 328
+rect 3254 100 3288 126
+rect 3254 92 3288 100
+rect 3598 100 3632 126
+rect 3598 92 3632 100
+rect 4111 314 4145 328
+rect 4111 294 4145 314
+rect 3940 93 3974 127
+rect 4283 246 4317 260
+rect 4283 226 4317 246
+rect 4369 178 4403 192
+rect 4369 158 4403 178
+rect 4455 314 4489 328
+rect 4455 294 4489 314
+rect 4541 178 4575 192
+rect 4541 158 4575 178
+rect 4627 246 4661 260
+rect 4627 226 4661 246
+rect 4799 314 4833 329
+rect 4799 295 4833 314
+rect 5342 417 5376 451
+rect 5746 417 5780 451
+rect 6211 417 6245 451
+rect 5140 294 5174 328
+rect 5215 225 5249 259
+rect 5342 100 5376 127
+rect 5746 100 5780 127
+rect 5342 93 5376 100
+rect 5746 93 5780 100
+rect 6211 93 6245 127
+rect 6296 96 6356 450
+rect -331 -17 -297 17
+rect -239 -17 -205 17
+rect -147 -17 -113 17
+rect -55 -17 -21 17
+rect 37 -17 71 17
+rect 129 -17 163 17
+rect 221 -17 255 17
+rect 313 -17 347 17
+rect 405 -17 439 17
+rect 497 -17 531 17
+rect 589 -17 623 17
+rect 681 -17 715 17
+rect 773 -17 807 17
+rect 865 -17 899 17
+rect 957 -17 991 17
+rect 1049 -17 1083 17
+rect 1141 -17 1175 17
+rect 1233 -17 1267 17
+rect 1325 -17 1359 17
+rect 1417 -17 1451 17
+rect 1509 -17 1543 17
+rect 1601 -17 1635 17
+rect 1693 -17 1727 17
+rect 1785 -17 1819 17
+rect 1877 -17 1911 17
+rect 1969 -17 2003 17
+rect 2061 -17 2095 17
+rect 2153 -17 2187 17
+rect 2245 -17 2279 17
+rect 2337 -17 2371 17
+rect 2429 -17 2463 17
+rect 2521 -17 2555 17
+rect 2613 -17 2647 17
+rect 2705 -17 2739 17
+rect 2797 -17 2831 17
+rect 2889 -17 2923 17
+rect 2981 -17 3015 17
+rect 3073 -17 3107 17
+rect 3165 -17 3199 17
+rect 3257 -17 3291 17
+rect 3349 -17 3383 17
+rect 3441 -17 3475 17
+rect 3533 -17 3567 17
+rect 3625 -17 3659 17
+rect 3717 -17 3751 17
+rect 3809 -17 3843 17
+rect 3901 -17 3935 17
+rect 3993 -17 4027 17
+rect 4085 -17 4119 17
+rect 4177 -17 4211 17
+rect 4269 -17 4303 17
+rect 4361 -17 4395 17
+rect 4453 -17 4487 17
+rect 4545 -17 4579 17
+rect 4637 -17 4671 17
+rect 4729 -17 4763 17
+rect 4821 -17 4855 17
+rect 4913 -17 4947 17
+rect 5005 -17 5039 17
+rect 5097 -17 5131 17
+rect 5189 -17 5223 17
+rect 5281 -17 5315 17
+rect 5373 -17 5407 17
+rect 5465 -17 5499 17
+rect 5557 -17 5591 17
+rect 5649 -17 5683 17
+rect 5741 -17 5775 17
+rect 5833 -17 5867 17
+rect 5925 -17 5959 17
+rect 6017 -17 6051 17
+rect 6109 -17 6143 17
+rect 6201 -17 6235 17
+rect 6293 -17 6327 17
+<< metal1 >>
+rect 4392 671 4458 687
+rect 4392 637 4408 671
+rect 4442 637 4458 671
+rect 4392 621 4458 637
+rect -360 561 6356 592
+rect -360 527 -331 561
+rect -297 527 -239 561
+rect -205 527 -147 561
+rect -113 527 -55 561
+rect -21 527 37 561
+rect 71 527 129 561
+rect 163 527 221 561
+rect 255 527 313 561
+rect 347 527 405 561
+rect 439 527 497 561
+rect 531 527 589 561
+rect 623 527 681 561
+rect 715 527 773 561
+rect 807 527 865 561
+rect 899 527 957 561
+rect 991 527 1049 561
+rect 1083 527 1141 561
+rect 1175 527 1233 561
+rect 1267 527 1325 561
+rect 1359 527 1417 561
+rect 1451 527 1509 561
+rect 1543 527 1601 561
+rect 1635 527 1693 561
+rect 1727 527 1785 561
+rect 1819 527 1877 561
+rect 1911 527 1969 561
+rect 2003 527 2061 561
+rect 2095 527 2153 561
+rect 2187 527 2245 561
+rect 2279 527 2337 561
+rect 2371 527 2429 561
+rect 2463 527 2521 561
+rect 2555 527 2613 561
+rect 2647 527 2705 561
+rect 2739 527 2797 561
+rect 2831 527 2889 561
+rect 2923 527 2981 561
+rect 3015 527 3073 561
+rect 3107 527 3165 561
+rect 3199 527 3257 561
+rect 3291 527 3349 561
+rect 3383 527 3441 561
+rect 3475 527 3533 561
+rect 3567 527 3625 561
+rect 3659 527 3717 561
+rect 3751 527 3809 561
+rect 3843 527 3901 561
+rect 3935 527 3993 561
+rect 4027 527 4085 561
+rect 4119 527 4177 561
+rect 4211 527 4269 561
+rect 4303 527 4361 561
+rect 4395 527 4453 561
+rect 4487 527 4545 561
+rect 4579 527 4637 561
+rect 4671 527 4729 561
+rect 4763 527 4821 561
+rect 4855 527 4913 561
+rect 4947 527 5005 561
+rect 5039 527 5097 561
+rect 5131 527 5189 561
+rect 5223 527 5281 561
+rect 5315 527 5373 561
+rect 5407 527 5465 561
+rect 5499 527 5557 561
+rect 5591 527 5649 561
+rect 5683 527 5741 561
+rect 5775 527 5833 561
+rect 5867 527 5925 561
+rect 5959 527 6017 561
+rect 6051 527 6109 561
+rect 6143 527 6201 561
+rect 6235 527 6293 561
+rect 6327 527 6356 561
+rect -360 496 6356 527
+rect 1122 451 1180 457
+rect 1122 417 1134 451
+rect 1168 448 1180 451
+rect 1466 451 1524 457
+rect 1466 448 1478 451
+rect 1168 420 1478 448
+rect 1168 417 1180 420
+rect 1122 411 1180 417
+rect 1466 417 1478 420
+rect 1512 448 1524 451
+rect 5330 451 5388 457
+rect 1512 420 2018 448
+rect 1512 417 1524 420
+rect 1466 411 1524 417
+rect 956 364 1002 376
+rect 956 330 962 364
+rect 996 330 1002 364
+rect 956 318 1002 330
+rect 1300 364 1346 376
+rect 1300 330 1306 364
+rect 1340 330 1346 364
+rect 1300 318 1346 330
+rect 1644 364 1690 376
+rect 1644 330 1650 364
+rect 1684 330 1690 364
+rect 1644 318 1690 330
+rect 965 204 993 318
+rect 1309 204 1337 318
+rect 1653 204 1681 318
+rect 1990 310 2018 420
+rect 5330 417 5342 451
+rect 5376 448 5388 451
+rect 5734 451 5792 457
+rect 5734 448 5746 451
+rect 5376 420 5746 448
+rect 5376 417 5388 420
+rect 5330 411 5388 417
+rect 5734 417 5746 420
+rect 5780 448 5792 451
+rect 6199 451 6257 457
+rect 6199 448 6211 451
+rect 5780 420 6211 448
+rect 5780 417 5792 420
+rect 5734 411 5792 417
+rect 6199 417 6211 420
+rect 6245 417 6257 451
+rect 6290 450 6362 462
+rect 6199 411 6257 417
+rect 3242 398 3300 404
+rect 3242 364 3254 398
+rect 3288 395 3300 398
+rect 3586 398 3644 404
+rect 3586 395 3598 398
+rect 3288 367 3598 395
+rect 3288 364 3300 367
+rect 3242 358 3300 364
+rect 3586 364 3598 367
+rect 3632 395 3644 398
+rect 4021 398 4079 404
+rect 4021 395 4033 398
+rect 3632 367 4033 395
+rect 3632 364 3644 367
+rect 3586 358 3644 364
+rect 4021 364 4033 367
+rect 4067 364 4079 398
+rect 4021 358 4079 364
+rect 4865 398 4923 404
+rect 4865 364 4877 398
+rect 4911 395 4923 398
+rect 4911 367 5247 395
+rect 4911 364 4923 367
+rect 4865 358 4923 364
+rect 3758 328 3816 334
+rect 1981 298 2027 310
+rect 1981 264 1987 298
+rect 2021 264 2027 298
+rect 3758 294 3770 328
+rect 3804 325 3816 328
+rect 4099 328 4157 334
+rect 4099 325 4111 328
+rect 3804 297 4111 325
+rect 3804 294 3816 297
+rect 3758 288 3816 294
+rect 4099 294 4111 297
+rect 4145 325 4157 328
+rect 4443 328 4502 334
+rect 4443 325 4455 328
+rect 4145 297 4455 325
+rect 4145 294 4157 297
+rect 4099 288 4157 294
+rect 4443 294 4455 297
+rect 4489 325 4502 328
+rect 4787 329 4846 335
+rect 4787 325 4799 329
+rect 4489 297 4799 325
+rect 4489 294 4502 297
+rect 4443 288 4502 294
+rect 4787 295 4799 297
+rect 4833 295 4846 329
+rect 5128 328 5186 334
+rect 5128 326 5140 328
+rect 4787 289 4846 295
+rect 4874 297 5140 326
+rect 1981 252 2027 264
+rect 4271 260 4330 266
+rect 4271 226 4283 260
+rect 4317 257 4330 260
+rect 4615 260 4673 266
+rect 4615 257 4627 260
+rect 4317 229 4627 257
+rect 4317 226 4330 229
+rect 4271 220 4330 226
+rect 4615 226 4627 229
+rect 4661 257 4673 260
+rect 4874 257 4902 297
+rect 5128 294 5140 297
+rect 5174 294 5186 328
+rect 5128 288 5186 294
+rect 5218 271 5247 367
+rect 4661 229 4902 257
+rect 5209 259 5255 271
+rect 4661 226 4673 229
+rect 4615 220 4673 226
+rect 5209 225 5215 259
+rect 5249 225 5255 259
+rect 5209 213 5255 225
+rect 956 192 1002 204
+rect 956 158 962 192
+rect 996 189 1002 192
+rect 1128 192 1174 204
+rect 1128 189 1134 192
+rect 996 161 1134 189
+rect 996 158 1002 161
+rect 956 146 1002 158
+rect 1128 158 1134 161
+rect 1168 189 1174 192
+rect 1300 192 1346 204
+rect 1300 189 1306 192
+rect 1168 161 1306 189
+rect 1168 158 1174 161
+rect 1128 146 1174 158
+rect 1300 158 1306 161
+rect 1340 189 1346 192
+rect 1472 192 1518 204
+rect 1472 189 1478 192
+rect 1340 161 1478 189
+rect 1340 158 1346 161
+rect 1300 146 1346 158
+rect 1472 158 1478 161
+rect 1512 189 1518 192
+rect 1644 192 1690 204
+rect 1644 189 1650 192
+rect 1512 161 1650 189
+rect 1512 158 1518 161
+rect 1472 146 1518 158
+rect 1644 158 1650 161
+rect 1684 189 1690 192
+rect 1897 192 1943 204
+rect 1897 189 1903 192
+rect 1684 161 1903 189
+rect 1684 158 1690 161
+rect 1644 146 1690 158
+rect 1897 158 1903 161
+rect 1937 158 1943 192
+rect 1897 146 1943 158
+rect 4357 192 4415 198
+rect 4357 158 4369 192
+rect 4403 189 4415 192
+rect 4529 192 4587 198
+rect 4529 189 4541 192
+rect 4403 161 4541 189
+rect 4403 158 4415 161
+rect 4357 152 4415 158
+rect 4529 158 4541 161
+rect 4575 158 4587 192
+rect 4529 152 4587 158
+rect 3242 126 3300 132
+rect 625 116 683 122
+rect 625 82 637 116
+rect 671 113 683 116
+rect 1036 116 1094 122
+rect 1036 113 1048 116
+rect 671 85 1048 113
+rect 671 82 683 85
+rect 625 76 683 82
+rect 1036 82 1048 85
+rect 1082 113 1094 116
+rect 1208 116 1266 122
+rect 1208 113 1220 116
+rect 1082 85 1220 113
+rect 1082 82 1094 85
+rect 1036 76 1094 82
+rect 1208 82 1220 85
+rect 1254 113 1266 116
+rect 1380 116 1438 122
+rect 1380 113 1392 116
+rect 1254 85 1392 113
+rect 1254 82 1266 85
+rect 1208 76 1266 82
+rect 1380 82 1392 85
+rect 1426 113 1438 116
+rect 1552 116 1610 122
+rect 1552 113 1564 116
+rect 1426 85 1564 113
+rect 1426 82 1438 85
+rect 1380 76 1438 82
+rect 1552 82 1564 85
+rect 1598 82 1610 116
+rect 3242 92 3254 126
+rect 3288 123 3300 126
+rect 3586 126 3644 132
+rect 3586 123 3598 126
+rect 3288 95 3598 123
+rect 3288 92 3300 95
+rect 3242 86 3300 92
+rect 3586 92 3598 95
+rect 3632 123 3644 126
+rect 3928 127 3986 133
+rect 3928 123 3940 127
+rect 3632 95 3940 123
+rect 3632 92 3644 95
+rect 3586 86 3644 92
+rect 3928 93 3940 95
+rect 3974 93 3986 127
+rect 3928 87 3986 93
+rect 5330 127 5388 133
+rect 5330 93 5342 127
+rect 5376 124 5388 127
+rect 5734 127 5792 133
+rect 5734 124 5746 127
+rect 5376 96 5746 124
+rect 5376 93 5388 96
+rect 5330 87 5388 93
+rect 5734 93 5746 96
+rect 5780 124 5792 127
+rect 6199 127 6257 133
+rect 6199 124 6211 127
+rect 5780 96 6211 124
+rect 5780 93 5792 96
+rect 5734 87 5792 93
+rect 6199 93 6211 96
+rect 6245 93 6257 127
+rect 6286 96 6296 450
+rect 6356 314 6366 450
+rect 6356 254 6367 314
+rect 6356 96 6366 254
+rect 6199 87 6257 93
+rect 6290 84 6362 96
+rect 1552 76 1610 82
+rect 5043 48 5225 49
+rect -360 17 6356 48
+rect -360 -17 -331 17
+rect -297 -17 -239 17
+rect -205 -17 -147 17
+rect -113 -17 -55 17
+rect -21 -17 37 17
+rect 71 -17 129 17
+rect 163 -17 221 17
+rect 255 -17 313 17
+rect 347 -17 405 17
+rect 439 -17 497 17
+rect 531 -17 589 17
+rect 623 -17 681 17
+rect 715 -17 773 17
+rect 807 -17 865 17
+rect 899 -17 957 17
+rect 991 -17 1049 17
+rect 1083 -17 1141 17
+rect 1175 -17 1233 17
+rect 1267 -17 1325 17
+rect 1359 -17 1417 17
+rect 1451 -17 1509 17
+rect 1543 -17 1601 17
+rect 1635 -17 1693 17
+rect 1727 -17 1785 17
+rect 1819 -17 1877 17
+rect 1911 -17 1969 17
+rect 2003 -17 2061 17
+rect 2095 -17 2153 17
+rect 2187 -17 2245 17
+rect 2279 -17 2337 17
+rect 2371 -17 2429 17
+rect 2463 -17 2521 17
+rect 2555 -17 2613 17
+rect 2647 -17 2705 17
+rect 2739 -17 2797 17
+rect 2831 -17 2889 17
+rect 2923 -17 2981 17
+rect 3015 -17 3073 17
+rect 3107 -17 3165 17
+rect 3199 -17 3257 17
+rect 3291 -17 3349 17
+rect 3383 -17 3441 17
+rect 3475 -17 3533 17
+rect 3567 -17 3625 17
+rect 3659 -17 3717 17
+rect 3751 -17 3809 17
+rect 3843 -17 3901 17
+rect 3935 -17 3993 17
+rect 4027 -17 4085 17
+rect 4119 -17 4177 17
+rect 4211 -17 4269 17
+rect 4303 -17 4361 17
+rect 4395 -17 4453 17
+rect 4487 -17 4545 17
+rect 4579 -17 4637 17
+rect 4671 -17 4729 17
+rect 4763 -17 4821 17
+rect 4855 -17 4913 17
+rect 4947 -17 5005 17
+rect 5039 -17 5097 17
+rect 5131 -17 5189 17
+rect 5223 -17 5281 17
+rect 5315 -17 5373 17
+rect 5407 -17 5465 17
+rect 5499 -17 5557 17
+rect 5591 -17 5649 17
+rect 5683 -17 5741 17
+rect 5775 -17 5833 17
+rect 5867 -17 5925 17
+rect 5959 -17 6017 17
+rect 6051 -17 6109 17
+rect 6143 -17 6201 17
+rect 6235 -17 6293 17
+rect 6327 -17 6356 17
+rect -360 -48 6356 -17
+<< via1 >>
+rect 6296 96 6356 450
+<< metal2 >>
+rect 6296 450 6356 460
+rect 6296 86 6356 96
+<< labels >>
+flabel metal1 -331 527 -297 561 0 FreeSans 192 0 0 0 VPWR
+port 8 nsew
+flabel nwell -273 527 -239 561 0 FreeSans 192 0 0 0 VPB
+port 7 nsew
+flabel metal1 -331 -17 -297 17 0 FreeSans 192 0 0 0 VGND
+port 5 nsew
+flabel pwell -260 -17 -226 17 0 FreeSans 192 0 0 0 VNB
+port 6 nsew
+flabel viali 6297 366 6331 400 0 FreeSans 192 0 0 0 Iout
+port 4 nsew
+flabel viali 6297 298 6331 332 0 FreeSans 192 0 0 0 Iout
+port 4 nsew
+flabel viali 6297 230 6331 264 0 FreeSans 192 0 0 0 Iout
+port 4 nsew
+flabel viali 6297 162 6331 196 0 FreeSans 192 0 0 0 Iout
+port 4 nsew
+flabel metal1 4408 637 4442 671 1 FreeSans 320 0 0 0 Vin1
+port 9 n
+flabel polycont 4416 -131 4450 -97 1 FreeSans 320 0 0 0 Vin2
+port 10 n
+<< end >>
diff --git a/mag/user_analog_proj_example.mag b/mag/user_analog_proj_example.mag
index fcac6f8..7d27792 100644
--- a/mag/user_analog_proj_example.mag
+++ b/mag/user_analog_proj_example.mag
@@ -1,5 +1,5 @@
 magic
-tech $PDK
+tech sky130A
 magscale 1 2
 timestamp 1639841760
 << error_p >>
diff --git a/mag/user_analog_project_wrapper-example.mag b/mag/user_analog_project_wrapper-example.mag
new file mode 100644
index 0000000..3be66a6
--- /dev/null
+++ b/mag/user_analog_project_wrapper-example.mag
@@ -0,0 +1,2362 @@
+magic
+tech sky130B
+magscale 1 2
+timestamp 1639841760
+<< mvpsubdiff >>
+rect 345740 628255 345764 629032
+rect 371078 628255 371102 629032
+<< mvpsubdiffcont >>
+rect 345764 628255 371078 629032
+<< locali >>
+rect 345748 628255 345764 629032
+rect 371078 628255 371094 629032
+<< viali >>
+rect 357593 628300 359298 629000
+<< metal1 >>
+rect 357470 629399 359442 629457
+rect 357470 628057 357538 629399
+rect 359388 628057 359442 629399
+rect 357470 627990 359442 628057
+<< via1 >>
+rect 357538 629000 359388 629399
+rect 357538 628300 357593 629000
+rect 357593 628300 359298 629000
+rect 359298 628300 359388 629000
+rect 357538 628057 359388 628300
+<< metal2 >>
+rect 357470 629399 359442 629457
+rect 357470 628057 357538 629399
+rect 359388 628057 359442 629399
+rect 357470 627990 359442 628057
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 357538 628057 359388 629399
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 700788 173094 704800
+rect 170894 690603 173094 700738
+rect -800 680242 1700 685242
+rect 170894 683764 173094 684327
+rect 173394 700786 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 173394 690603 175594 700736
+rect 173394 683764 175594 684327
+rect 222594 700836 224794 704800
+rect 222594 690636 224794 700786
+rect 222594 683913 224794 684360
+rect 225094 700846 227294 704800
+rect 227594 702300 232594 704800
+rect 225094 690636 227294 700796
+rect 225094 683913 227294 684360
+rect 318994 649497 323994 704800
+rect 324294 701130 326494 704800
+rect 324294 690618 326494 701080
+rect 326794 701150 328994 704800
+rect 326794 694292 328994 701100
+rect 329294 694292 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 326794 692092 334294 694292
+rect 324294 684038 326494 684344
+rect -800 643842 1660 648642
+rect 318994 642983 323994 643740
+rect 329294 649497 334294 692092
+rect 329294 642983 334294 643740
+rect 510594 690564 515394 704800
+rect -800 633842 1660 638642
+rect 510594 637598 515394 684332
+rect 510594 631116 515394 631780
+rect 520594 690564 525394 704800
+rect 566594 702300 571594 704800
+rect 520594 637598 525394 684332
+rect 582300 677984 584800 682984
+rect 560050 639784 560566 644584
+rect 566742 639784 584800 644584
+rect 520594 631116 525394 631780
+rect 560050 629784 560566 634584
+rect 566742 629784 584800 634584
+rect 357470 629399 359442 629457
+rect 357470 628057 357538 629399
+rect 359388 628057 359442 629399
+rect 357470 627990 359442 628057
+rect 339960 620294 345660 620363
+rect 371099 620302 533609 620371
+rect -800 559442 1660 564242
+rect -800 549442 1660 554242
+rect 339960 511642 340072 620294
+rect 341733 619574 341739 619684
+rect 341849 619637 341855 619684
+rect 533089 619645 533095 619647
+rect 341849 619577 345660 619637
+rect 371099 619585 533095 619645
+rect 533089 619583 533095 619585
+rect 533159 619583 533165 619647
+rect 341849 619574 341855 619577
+rect 533105 619280 533111 619282
+rect -800 511530 340072 511642
+rect 340967 619212 345660 619272
+rect 371099 619220 533111 619280
+rect 533105 619218 533111 619220
+rect 533175 619218 533181 619282
+rect -800 510348 480 510460
+rect -800 509166 480 509278
+rect -800 507984 480 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect -800 468308 480 468420
+rect -800 467126 480 467238
+rect -800 465944 480 466056
+rect -800 464762 480 464874
+rect 340967 463692 341079 619212
+rect -800 463580 341079 463692
+rect 341738 618632 341850 618638
+rect -800 462398 660 462510
+rect 780 462398 13894 462510
+rect 17564 462398 17711 462510
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect 341738 420470 341850 618520
+rect -800 420358 341850 420470
+rect -800 419176 676 419288
+rect 738 419176 13887 419288
+rect 17599 419176 17694 419288
+rect 533497 405408 533609 620302
+rect 533894 619647 533958 619653
+rect 533958 619585 539606 619645
+rect 533894 619577 533958 619583
+rect 533904 619282 533968 619288
+rect 533968 619220 537488 619280
+rect 533904 619212 533968 619218
+rect 537376 454558 537488 619220
+rect 539494 498980 539606 619585
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
+rect 583520 584744 584800 584856
+rect 583520 583562 584800 583674
+rect 555452 550562 556229 555362
+rect 562346 550562 584800 555362
+rect 555452 540562 556229 545362
+rect 562346 540562 584800 545362
+rect 573371 500050 573548 500162
+rect 576743 500050 583220 500162
+rect 583318 500050 584800 500162
+rect 539494 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect 573405 455628 573556 455740
+rect 576731 455628 583180 455740
+rect 583296 455628 584800 455740
+rect 537376 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 533497 405296 584800 405408
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 582340 235230 584800 240030
+rect 582340 225230 584800 230030
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 13406 191430 13991 196230
+rect 17427 191430 573605 196230
+rect 576629 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 146830 584800 151630
+rect 582340 136830 584800 141630
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< rmetal3 >>
+rect 170894 700738 173094 700788
+rect 173394 700736 175594 700786
+rect 222594 700786 224794 700836
+rect 225094 700796 227294 700846
+rect 324294 701080 326494 701130
+rect 326794 701100 328994 701150
+rect 660 462398 780 462510
+rect 676 419176 738 419288
+rect 583220 500050 583318 500162
+rect 583180 455628 583296 455740
+<< via3 >>
+rect 170894 684327 173094 690603
+rect 173394 684327 175594 690603
+rect 222594 684360 224794 690636
+rect 225094 684360 227294 690636
+rect 324294 684344 326494 690618
+rect 318994 643740 323994 649497
+rect 329294 643740 334294 649497
+rect 510594 684332 515394 690564
+rect 510594 631780 515394 637598
+rect 520594 684332 525394 690564
+rect 560566 639784 566742 644584
+rect 520594 631780 525394 637598
+rect 560566 629784 566742 634584
+rect 357538 628057 359388 629399
+rect 341739 619574 341849 619684
+rect 533095 619583 533159 619647
+rect 533111 619218 533175 619282
+rect 341738 618520 341850 618632
+rect 13894 462398 17564 462510
+rect 13887 419176 17599 419288
+rect 533894 619583 533958 619647
+rect 533904 619218 533968 619282
+rect 556229 550562 562346 555362
+rect 556229 540562 562346 545362
+rect 573548 500050 576743 500162
+rect 573556 455628 576731 455740
+rect 13991 191430 17427 196230
+rect 573605 191430 576629 196230
+<< metal4 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 170628 690636 526162 690737
+rect 170628 690603 222594 690636
+rect 170628 684327 170894 690603
+rect 173094 684327 173394 690603
+rect 175594 684360 222594 690603
+rect 224794 684360 225094 690636
+rect 227294 690618 526162 690636
+rect 227294 684360 324294 690618
+rect 175594 684344 324294 684360
+rect 326494 690564 526162 690618
+rect 326494 684344 510594 690564
+rect 175594 684332 510594 684344
+rect 515394 684332 520594 690564
+rect 525394 684332 526162 690564
+rect 175594 684327 526162 684332
+rect 170628 684183 526162 684327
+rect 318330 649837 359973 649898
+rect 318330 649497 357559 649837
+rect 318330 643740 318994 649497
+rect 323994 643740 329294 649497
+rect 334294 643740 357559 649497
+rect 318330 643394 357559 643740
+rect 359314 643394 359973 649837
+rect 318330 643344 359973 643394
+rect 560425 644584 566979 644980
+rect 560425 639784 560566 644584
+rect 566742 639784 566979 644584
+rect 356144 637598 525696 637898
+rect 356144 631780 510594 637598
+rect 515394 631780 520594 637598
+rect 525394 631780 525696 637598
+rect 356144 631344 525696 631780
+rect 560425 634584 566979 639784
+rect 357442 629399 359470 631344
+rect 357442 628057 357538 629399
+rect 359388 628057 359470 629399
+rect 357442 619873 359470 628057
+rect 560425 629784 560566 634584
+rect 566742 629784 566979 634584
+rect 341738 619684 341850 619685
+rect 341738 619574 341739 619684
+rect 341849 619574 341850 619684
+rect 341738 618633 341850 619574
+rect 356867 619473 359885 619873
+rect 533094 619647 533160 619648
+rect 533094 619583 533095 619647
+rect 533159 619645 533160 619647
+rect 533893 619647 533959 619648
+rect 533893 619645 533894 619647
+rect 533159 619585 533894 619645
+rect 533159 619583 533160 619585
+rect 533094 619582 533160 619583
+rect 533893 619583 533894 619585
+rect 533958 619583 533959 619647
+rect 533893 619582 533959 619583
+rect 533110 619282 533176 619283
+rect 533110 619218 533111 619282
+rect 533175 619280 533176 619282
+rect 533903 619282 533969 619283
+rect 533903 619280 533904 619282
+rect 533175 619220 533904 619280
+rect 533175 619218 533176 619220
+rect 533110 619217 533176 619218
+rect 533903 619218 533904 619220
+rect 533968 619218 533969 619282
+rect 533903 619217 533969 619218
+rect 341737 618632 341851 618633
+rect 341737 618520 341738 618632
+rect 341850 618520 341851 618632
+rect 341737 618519 341851 618520
+rect 345773 613756 346828 618849
+rect 351928 617829 353757 618856
+rect 351928 615249 352028 617829
+rect 353603 615249 353757 617829
+rect 351928 615131 353757 615249
+rect 363328 617835 365157 618884
+rect 363328 615255 363412 617835
+rect 364987 615255 365157 617835
+rect 363328 615131 365157 615255
+rect 369823 613756 370980 618859
+rect 560425 613756 566979 629784
+rect 345256 607202 566979 613756
+rect 362658 601572 562613 601756
+rect 362658 597231 363414 601572
+rect 364992 597231 562613 601572
+rect 362658 595202 562613 597231
+rect 556059 555362 562613 595202
+rect 556059 550562 556229 555362
+rect 562346 550562 562613 555362
+rect 556059 545362 562613 550562
+rect 556059 540562 556229 545362
+rect 562346 540562 562613 545362
+rect 556059 540155 562613 540562
+rect 573464 500162 576816 500473
+rect 573464 500050 573548 500162
+rect 576743 500050 576816 500162
+rect 13814 462510 17684 462771
+rect 13814 462398 13894 462510
+rect 17564 462398 17684 462510
+rect 13814 419288 17684 462398
+rect 13814 419176 13887 419288
+rect 17599 419176 17684 419288
+rect 13814 227257 17684 419176
+rect 573464 455740 576816 500050
+rect 573464 455628 573556 455740
+rect 576731 455628 576816 455740
+rect 13811 196230 17688 227257
+rect 13811 191430 13991 196230
+rect 17427 191430 17688 196230
+rect 13811 191098 17688 191430
+rect 573464 196230 576816 455628
+rect 573464 191430 573605 196230
+rect 576629 191430 576816 196230
+rect 573464 191191 576816 191430
+<< via4 >>
+rect 357559 643394 359314 649837
+rect 352028 615249 353603 617829
+rect 363412 615255 364987 617835
+rect 363414 597231 364992 601572
+<< metal5 >>
+rect 165594 702300 170594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 329294 702300 334294 704800
+rect 357521 649837 359350 649991
+rect 357521 643394 357559 649837
+rect 359314 643394 359350 649837
+rect 351918 617829 353747 617929
+rect 351918 615249 352028 617829
+rect 353603 615249 353747 617829
+rect 351918 614900 353747 615249
+rect 357521 614900 359350 643394
+rect 351918 613071 359350 614900
+rect 363318 617835 365147 617929
+rect 363318 615255 363412 617835
+rect 364987 615255 365147 617835
+rect 363318 601572 365147 615255
+rect 363318 597231 363414 601572
+rect 364992 597231 365147 601572
+rect 363318 597052 365147 597231
+<< comment >>
+rect -100 704000 584100 704100
+rect -100 0 0 704000
+rect 584000 0 584100 704000
+rect -100 -100 584100 0
+use user_analog_proj_example  user_analog_proj_example_0
+timestamp 1639841760
+transform 1 0 345668 0 -1 627114
+box -59 -22 25476 8324
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 572152 640142 580220 644150 0 FreeSans 16000 0 0 0 VCCD1
+flabel metal3 567038 550960 577302 554546 0 FreeSans 16000 0 0 0 VDDA1
+flabel metal3 511190 664896 514962 676272 0 FreeSans 16000 90 0 0 VSSA1
+flabel metal3 561703 191929 571721 195859 0 FreeSans 16000 0 0 0 VSSD1
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index f1198f1..5b787c7 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,33 +1,467 @@
 magic
-tech $PDK
+tech sky130B
 magscale 1 2
-timestamp 1639841760
-<< mvpsubdiff >>
-rect 345740 628255 345764 629032
-rect 371078 628255 371102 629032
-<< mvpsubdiffcont >>
-rect 345764 628255 371078 629032
-<< locali >>
-rect 345748 628255 345764 629032
-rect 371078 628255 371094 629032
+timestamp 1654722173
 << viali >>
-rect 357593 628300 359298 629000
+rect 531009 283461 531043 283495
+rect 537801 282968 537835 283003
 << metal1 >>
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
+rect 141506 696318 582623 696394
+rect 141697 696214 582502 696290
+rect 141858 696110 582381 696186
+rect 142041 696005 582263 696081
+rect 561180 686400 561428 686442
+rect 561180 686254 561216 686400
+rect 561378 686254 561428 686400
+rect 561180 686250 561428 686254
+rect 561180 686190 562138 686250
+rect 558090 686104 561685 686105
+rect 557756 686051 561685 686104
+rect 557756 684830 557940 686051
+rect 561180 685910 561428 685952
+rect 561180 685764 561216 685910
+rect 561378 685764 561428 685910
+rect 561631 685932 561685 686051
+rect 561631 685878 562119 685932
+rect 561180 685760 561428 685764
+rect 561630 685790 562120 685850
+rect 561630 685760 561690 685790
+rect 561180 685700 561690 685760
+rect 560901 685478 562115 685532
+rect 326566 684824 557940 684830
+rect 326566 684652 326572 684824
+rect 326878 684652 557940 684824
+rect 326566 684646 557940 684652
+rect 224866 683795 226828 683796
+rect 560907 683795 560961 685478
+rect 224866 683790 560962 683795
+rect 224866 683618 224872 683790
+rect 225178 683618 560962 683790
+rect 224866 683613 560962 683618
+rect 224866 683612 226828 683613
+rect 51438 666500 51708 666507
+rect 51438 666332 51446 666500
+rect 51700 666332 51708 666500
+rect 43033 663602 50280 663611
+rect 43033 663434 43042 663602
+rect 43190 663434 50280 663602
+rect 43033 663425 50280 663434
+rect 50094 661154 50280 663425
+rect 51438 661176 51708 666332
+rect 53452 663594 56384 663602
+rect 53452 663434 56256 663594
+rect 56376 663434 56384 663594
+rect 53452 663426 56384 663434
+rect 51830 661200 52004 661224
+rect 53452 661220 53628 663426
+rect 51830 661166 51858 661200
+rect 51976 661166 52004 661200
+rect 51830 660998 52004 661166
+rect 51839 657600 52002 660998
+rect 51839 657502 51858 657600
+rect 51982 657502 52002 657600
+rect 51839 657487 52002 657502
+rect 582187 657401 582263 696005
+rect 582305 657401 582381 696110
+rect 582426 657401 582502 696214
+rect 582547 657401 582623 696318
+rect 2534 514428 3363 514438
+rect 2534 514418 2544 514428
+rect 2411 513766 2544 514418
+rect 3353 514418 3363 514428
+rect 3353 513766 3418 514418
+rect 2411 506084 3418 513766
+rect 2412 167769 3417 506084
+rect 252807 427783 253013 427822
+rect 252747 427676 253013 427783
+rect 252807 425753 253013 427676
+rect 250978 425744 253013 425753
+rect 250978 425412 250988 425744
+rect 251371 425412 253013 425744
+rect 250978 425403 253013 425412
+rect 531967 283922 533119 283942
+rect 531967 283849 531987 283922
+rect 530832 283729 531987 283849
+rect 531966 283615 531987 283729
+rect 533099 283615 533119 283922
+rect 531966 283596 533119 283615
+rect 531967 283594 533119 283596
+rect 530983 283508 531063 283518
+rect 530983 283448 530993 283508
+rect 531053 283448 531063 283508
+rect 530983 283438 531063 283448
+rect 530543 283195 530615 283201
+rect 530543 283136 530549 283195
+rect 530610 283136 530615 283195
+rect 530543 283129 530615 283136
+rect 531965 283024 533029 283148
+rect 530627 282920 533029 283024
+rect 537781 283011 537855 283023
+rect 537781 282957 537790 283011
+rect 537844 282957 537855 283011
+rect 537781 282948 537855 282957
+rect 531965 282904 533029 282920
+rect 531965 282730 531975 282904
+rect 533000 282853 533029 282904
+rect 533000 282730 533030 282853
+rect 531965 282727 533030 282730
+rect 531965 282725 533029 282727
+rect 2412 167613 10290 167769
+rect 2412 167602 3417 167613
+rect 8165 167172 9117 167182
+rect 8165 167095 8175 167172
+rect 8252 167095 9117 167172
+rect 8165 167085 9117 167095
+rect 13844 167048 13917 167058
+rect 13844 166995 13854 167048
+rect 13907 166995 13917 167048
+rect 13844 166985 13917 166995
 << via1 >>
-rect 357538 629000 359388 629399
-rect 357538 628300 357593 629000
-rect 357593 628300 359298 629000
-rect 359298 628300 359388 629000
-rect 357538 628057 359388 628300
+rect 561216 686254 561378 686400
+rect 561216 685764 561378 685910
+rect 326572 684652 326878 684824
+rect 224872 683618 225178 683790
+rect 51446 666332 51700 666500
+rect 43042 663434 43190 663602
+rect 56256 663434 56376 663594
+rect 51858 657502 51982 657600
+rect 2544 513766 3353 514428
+rect 250988 425412 251371 425744
+rect 531987 283615 533099 283922
+rect 537783 283728 537836 283780
+rect 530993 283495 531053 283508
+rect 530993 283461 531009 283495
+rect 531009 283461 531043 283495
+rect 531043 283461 531053 283495
+rect 530993 283448 531053 283461
+rect 530549 283136 530610 283195
+rect 537790 283003 537844 283011
+rect 537790 282968 537801 283003
+rect 537801 282968 537835 283003
+rect 537835 282968 537844 283003
+rect 537790 282957 537844 282968
+rect 531975 282730 533000 282904
+rect 13846 167762 13899 167815
+rect 8175 167095 8252 167172
+rect 13854 166995 13907 167048
 << metal2 >>
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
+rect 512622 703358 513362 703376
+rect 415430 703206 416162 703216
+rect 415430 702616 415440 703206
+rect 416152 702616 416162 703206
+rect 415430 702606 416162 702616
+rect 467610 703066 468342 703076
+rect 224634 698474 225366 698484
+rect 224634 697884 224644 698474
+rect 225356 697884 225366 698474
+rect 224634 697874 225366 697884
+rect 326334 698474 327066 698484
+rect 326334 697884 326344 698474
+rect 327056 697884 327066 698474
+rect 326334 697874 327066 697884
+rect 224864 697868 225187 697874
+rect 326564 697868 326887 697874
+rect 224864 697461 225186 697868
+rect 224862 683790 225189 697461
+rect 326564 697413 326886 697868
+rect 326562 684824 326889 697413
+rect 415661 685994 415983 702606
+rect 467610 702476 467620 703066
+rect 468332 702476 468342 703066
+rect 467610 702466 468342 702476
+rect 512622 702658 512642 703358
+rect 513342 702658 513362 703358
+rect 467832 686486 468157 702466
+rect 512622 687290 513362 702658
+rect 522684 702928 523010 702938
+rect 522684 702578 522694 702928
+rect 523000 702578 523010 702928
+rect 568938 702909 569264 702910
+rect 522684 702447 523010 702578
+rect 568933 702900 569264 702909
+rect 568933 702550 568942 702900
+rect 569254 702550 569264 702900
+rect 522682 700528 523013 702447
+rect 568933 700536 569264 702550
+rect 522681 700196 562334 700528
+rect 564007 700208 569264 700536
+rect 512622 687270 513364 687290
+rect 512622 686570 512642 687270
+rect 513342 686570 513364 687270
+rect 562009 686923 562327 700196
+rect 564010 687532 564332 700208
+rect 568933 700207 569264 700208
+rect 512622 686558 513364 686570
+rect 512624 686550 513364 686558
+rect 467832 686484 560486 686486
+rect 467832 686400 561462 686484
+rect 467832 686254 561216 686400
+rect 561378 686254 561462 686400
+rect 467832 686162 561462 686254
+rect 562136 686482 562200 686923
+rect 564010 686610 564330 687532
+rect 563367 686482 564330 686610
+rect 562136 686418 562632 686482
+rect 562136 686186 562200 686418
+rect 467832 686161 560486 686162
+rect 562568 686142 562632 686418
+rect 562708 686422 564330 686482
+rect 562708 686182 562768 686422
+rect 563367 686291 564330 686422
+rect 564010 686289 564330 686291
+rect 415661 685910 561462 685994
+rect 415661 685764 561216 685910
+rect 561378 685764 561462 685910
+rect 415661 685672 561462 685764
+rect 326562 684652 326572 684824
+rect 326878 684652 326889 684824
+rect 326562 684641 326889 684652
+rect 512610 685570 513366 685590
+rect 512610 684870 512642 685570
+rect 513342 684870 513366 685570
+rect 562270 685199 562343 685519
+rect 224862 683618 224872 683790
+rect 225178 683618 225189 683790
+rect 224862 683607 225189 683618
+rect 512610 682292 513366 684870
+rect 261360 681546 513366 682292
+rect 261360 681536 513364 681546
+rect 261360 681000 262082 681536
+rect 562127 681532 562489 685199
+rect 582202 681532 583046 681536
+rect 562127 681526 583046 681532
+rect 562127 681176 582730 681526
+rect 583036 681176 583046 681526
+rect 562127 681170 583046 681176
+rect 582202 681166 583046 681170
+rect 51436 666500 51710 666510
+rect 51436 666332 51446 666500
+rect 51700 666332 51710 666500
+rect 51436 666322 51710 666332
+rect 43032 663602 43200 663612
+rect 43032 663434 43042 663602
+rect 43190 663434 43200 663602
+rect 43032 663424 43200 663434
+rect 56246 663594 56386 663604
+rect 56246 663434 56256 663594
+rect 56376 663434 56386 663594
+rect 56246 663424 56386 663434
+rect 51838 657602 52004 657616
+rect 51838 657502 51856 657602
+rect 51982 657502 52004 657602
+rect 51838 657486 52004 657502
+rect 898 635888 3366 635898
+rect 898 634978 909 635888
+rect 1330 635148 3366 635888
+rect 898 634578 908 634978
+rect 1330 634889 3364 635148
+rect 898 634577 909 634578
+rect 1330 634577 3362 634889
+rect 898 634568 3362 634577
+rect 2517 634504 3362 634568
+rect 2537 514438 3362 634504
+rect 261362 615323 262080 681000
+rect 531988 633060 583933 633070
+rect 531988 632030 582893 633060
+rect 583923 632030 583933 633060
+rect 531988 632020 583933 632030
+rect 261362 581646 262080 615247
+rect 261362 581378 262072 581646
+rect 261362 579878 262074 581378
+rect 261365 554940 262072 579878
+rect 532007 556852 533019 632020
+rect 532007 555914 532017 556852
+rect 533010 555914 533019 556852
+rect 532007 555904 533019 555914
+rect 261365 554398 261420 554940
+rect 262020 554398 262072 554940
+rect 261365 554343 262072 554398
+rect 227322 553478 227510 553481
+rect 532007 553478 533019 553482
+rect 227322 553476 580792 553478
+rect 227322 553460 583264 553476
+rect 227322 552760 582550 553460
+rect 583250 552760 583264 553460
+rect 227322 552736 583264 552760
+rect 227322 552004 227946 552736
+rect 2534 514428 3362 514438
+rect 2534 513766 2544 514428
+rect 3353 513766 3362 514428
+rect 2534 513757 3362 513766
+rect 2534 513756 3336 513757
+rect 4346 511652 4478 511670
+rect 340 511642 180146 511652
+rect 480 511530 180146 511642
+rect 340 511508 180146 511530
+rect 360 468404 180146 468414
+rect 462 468320 180146 468404
+rect 360 468310 180146 468320
+rect 227325 428351 227943 552004
+rect 261360 551706 262080 551820
+rect 261360 551164 261434 551706
+rect 262034 551164 262080 551706
+rect 261360 549780 262080 551164
+rect 532007 550932 533019 551027
+rect 532007 549939 532017 550932
+rect 533010 549939 533019 550932
+rect 222907 427602 225194 427618
+rect 222907 427508 225371 427602
+rect 222907 427499 225194 427508
+rect 222908 425202 223024 427499
+rect 386 425192 223024 425202
+rect 386 425092 396 425192
+rect 474 425092 223024 425192
+rect 386 425086 223024 425092
+rect 223535 427452 225194 427467
+rect 223535 427358 225399 427452
+rect 223535 427345 225194 427358
+rect 386 425082 590 425086
+rect 223535 381982 223657 427345
+rect 225034 427207 225414 427247
+rect 225034 427165 225203 427207
+rect 225035 427121 225203 427165
+rect 362 381971 223657 381982
+rect 362 381870 372 381971
+rect 475 381870 223657 381971
+rect 362 381860 223657 381870
+rect 225043 338754 225176 427121
+rect 251643 426642 252354 427129
+rect 261364 426642 262077 549780
+rect 526839 432604 526950 432624
+rect 526839 432533 526859 432604
+rect 526930 432533 526950 432604
+rect 526839 432413 526950 432533
+rect 251643 425931 262077 426642
+rect 261364 425930 262077 425931
+rect 250770 425754 250880 425755
+rect 226412 425744 251381 425754
+rect 226412 425412 250988 425744
+rect 251371 425412 251381 425744
+rect 226412 425402 251381 425412
+rect 226412 425394 251114 425402
+rect 164 338744 225176 338754
+rect 164 338652 174 338744
+rect 470 338652 225176 338744
+rect 164 338642 225176 338652
+rect 38 295530 580 295532
+rect 226416 295530 226769 425394
+rect 526841 420691 526948 432413
+rect 38 295522 226769 295530
+rect 38 295430 48 295522
+rect 470 295430 226769 295522
+rect 38 295420 226769 295430
+rect 526846 283869 526943 420691
+rect 532007 291957 533019 549939
+rect 580478 358976 584000 359007
+rect 580478 358884 583530 358976
+rect 583990 358884 584000 358976
+rect 580478 358834 584000 358884
+rect 580479 291957 580600 358834
+rect 530528 291949 531682 291957
+rect 530528 291852 531552 291949
+rect 531671 291852 531682 291949
+rect 530528 291841 531682 291852
+rect 532006 291841 533022 291957
+rect 533346 291950 580600 291957
+rect 533346 291849 533355 291950
+rect 533473 291849 580600 291950
+rect 533346 291841 580600 291849
+rect 530528 284645 530644 291841
+rect 532007 291212 533019 291841
+rect 580479 291839 580600 291841
+rect 581228 313754 584000 313771
+rect 581228 313662 583530 313754
+rect 583990 313662 584000 313754
+rect 581228 313600 584000 313662
+rect 532008 291067 533019 291212
+rect 581228 291067 581379 313600
+rect 529964 284529 530644 284645
+rect 530967 291044 531681 291067
+rect 530967 290947 531542 291044
+rect 531661 290947 531681 291044
+rect 530967 290921 531681 290947
+rect 532008 290921 533021 291067
+rect 533346 291043 581379 291067
+rect 533346 290942 533388 291043
+rect 533506 290942 581379 291043
+rect 533346 290921 581379 290942
+rect 526846 283772 528992 283869
+rect 526849 283761 528992 283772
+rect 529964 283223 530080 284529
+rect 530967 283508 531113 290921
+rect 532008 290717 533019 290921
+rect 532007 284932 533019 290717
+rect 531977 283922 533109 284932
+rect 531977 283615 531987 283922
+rect 533099 283615 533109 283922
+rect 531977 283605 533109 283615
+rect 537759 283780 537859 283802
+rect 537759 283728 537783 283780
+rect 537836 283728 537859 283780
+rect 530967 283448 530993 283508
+rect 531053 283448 531113 283508
+rect 537759 283473 537859 283728
+rect 530967 283432 531113 283448
+rect 531982 283373 537859 283473
+rect 539672 283384 578107 283489
+rect 529964 283195 530634 283223
+rect 529964 283136 530549 283195
+rect 530610 283136 530634 283195
+rect 529964 283107 530634 283136
+rect 529964 283106 530080 283107
+rect 539672 283023 539736 283384
+rect 537781 283011 539736 283023
+rect 537781 282957 537790 283011
+rect 537844 282957 539736 283011
+rect 537781 282947 539736 282957
+rect 531965 282904 533010 282914
+rect 531965 282730 531975 282904
+rect 533000 282730 533010 282904
+rect 531965 281720 533010 282730
+rect 1 251328 12266 251341
+rect 0 251318 12266 251328
+rect 0 251226 10 251318
+rect 470 251226 12266 251318
+rect 0 251216 12266 251226
+rect 1 251209 12266 251216
+rect 12136 168194 12264 251209
+rect 531975 193883 532966 281720
+rect 578002 270525 578107 283384
+rect 578002 270515 584000 270525
+rect 578002 270420 583528 270515
+rect 583990 270420 584000 270515
+rect 578002 270410 584000 270420
+rect 531975 193873 583668 193883
+rect 531975 192902 582687 193873
+rect 583658 192902 583668 193873
+rect 531975 192892 583668 192902
+rect 12136 168066 13933 168194
+rect 13805 167815 13933 168066
+rect 13805 167762 13846 167815
+rect 13899 167762 13933 167815
+rect 13805 167732 13933 167762
+rect 16468 167421 16580 167429
+rect 15741 167309 16580 167421
+rect 8165 167172 8262 167182
+rect 8165 167095 8175 167172
+rect 8252 167095 8262 167172
+rect 8165 167085 8262 167095
+rect 13694 167075 13847 167076
+rect 13694 167048 13955 167075
+rect 13694 166995 13854 167048
+rect 13907 166995 13955 167048
+rect 13694 166383 13955 166995
+rect 13694 166230 13959 166383
+rect 13694 123720 13957 166230
+rect 0 123696 13957 123720
+rect 0 123604 10 123696
+rect 470 123604 13957 123696
+rect 0 123567 13957 123604
+rect 16468 80484 16580 167309
+rect 0 80474 16580 80484
+rect 0 80382 10 80474
+rect 470 80382 16580 80474
+rect 0 80372 16580 80382
 rect 524 -800 636 480
 rect 1706 -800 1818 480
 rect 2888 -800 3000 480
@@ -523,144 +957,235 @@
 rect 582068 -800 582180 480
 rect 583250 -800 583362 480
 << via2 >>
-rect 357538 628057 359388 629399
+rect 415440 702616 416152 703206
+rect 224644 697884 225356 698474
+rect 326344 697884 327056 698474
+rect 467620 702476 468332 703066
+rect 512642 702658 513342 703358
+rect 522694 702578 523000 702928
+rect 568942 702550 569254 702900
+rect 512642 686570 513342 687270
+rect 512642 684870 513342 685570
+rect 582730 681176 583036 681526
+rect 51446 666332 51700 666500
+rect 43042 663434 43190 663602
+rect 56256 663434 56376 663594
+rect 51856 657600 51982 657602
+rect 51856 657502 51858 657600
+rect 51858 657502 51982 657600
+rect 909 634978 1330 635888
+rect 908 634578 1330 634978
+rect 909 634577 1330 634578
+rect 582893 632030 583923 633060
+rect 532017 555914 533010 556852
+rect 261420 554398 262020 554940
+rect 582550 552760 583250 553460
+rect 340 511530 480 511642
+rect 360 468320 462 468404
+rect 261434 551164 262034 551706
+rect 532017 549939 533010 550932
+rect 396 425092 474 425192
+rect 372 381870 475 381971
+rect 526859 432533 526930 432604
+rect 174 338652 470 338744
+rect 48 295430 470 295522
+rect 583530 358884 583990 358976
+rect 531552 291852 531671 291949
+rect 533355 291849 533473 291950
+rect 583530 313662 583990 313754
+rect 531542 290947 531661 291044
+rect 533388 290942 533506 291043
+rect 10 251226 470 251318
+rect 583528 270420 583990 270515
+rect 582687 192902 583658 193873
+rect 8175 167095 8252 167172
+rect 10 123604 470 123696
+rect 10 80382 470 80474
 << metal3 >>
 rect 16194 702300 21194 704800
 rect 68194 702300 73194 704800
 rect 120194 702300 125194 704800
 rect 165594 702300 170594 704800
-rect 170894 700788 173094 704800
-rect 170894 690603 173094 700738
-rect -800 680242 1700 685242
-rect 170894 683764 173094 684327
-rect 173394 700786 175594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
 rect 175894 702300 180894 704800
 rect 217294 702300 222294 704800
-rect 173394 690603 175594 700736
-rect 173394 683764 175594 684327
-rect 222594 700836 224794 704800
-rect 222594 690636 224794 700786
-rect 222594 683913 224794 684360
-rect 225094 700846 227294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
 rect 227594 702300 232594 704800
-rect 225094 690636 227294 700796
-rect 225094 683913 227294 684360
-rect 318994 649497 323994 704800
-rect 324294 701130 326494 704800
-rect 324294 690618 326494 701080
-rect 326794 701150 328994 704800
-rect 326794 694292 328994 701100
-rect 329294 694292 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 326794 692092 334294 694292
-rect 324294 684038 326494 684344
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 703206 418394 704800
+rect 413394 702616 415440 703206
+rect 416152 702616 418394 703206
+rect 413394 702300 418394 702616
+rect 465394 703066 470394 704800
+rect 465394 702476 467620 703066
+rect 468332 702476 470394 703066
+rect 465394 702300 470394 702476
+rect 510594 703358 515394 704800
+rect 510594 702658 512642 703358
+rect 513342 702658 515394 703358
+rect 510594 702340 515394 702658
+rect 520594 702928 525394 704800
+rect 520594 702578 522694 702928
+rect 523000 702578 525394 702928
+rect 520594 702340 525394 702578
+rect 566594 702900 571594 704800
+rect 566594 702550 568942 702900
+rect 569254 702550 571594 702900
+rect 566594 702300 571594 702550
+rect 16194 702298 21193 702300
+rect 16193 695290 21193 702298
+rect 16193 690290 54418 695290
+rect 68196 695160 73195 702300
+rect 165596 701002 170590 702300
+rect 175896 701002 180890 702300
+rect 165556 698298 180890 701002
+rect 217296 699170 222286 702300
+rect 227598 699170 232588 702300
+rect 217296 698474 232588 699170
+rect 165556 698198 180868 698298
+rect -800 680242 44130 685242
+rect 39130 663602 44130 680242
+rect 49418 666500 54418 690290
+rect 49418 666332 51446 666500
+rect 51700 666332 54418 666500
+rect 49418 665316 54418 666332
+rect 56069 690161 73195 695160
+rect 39130 663434 43042 663602
+rect 43190 663434 44130 663602
+rect 39130 660904 44130 663434
+rect 56069 663594 61068 690161
+rect 173682 675152 173793 698198
+rect 217296 697884 224644 698474
+rect 225356 697884 232588 698474
+rect 217296 697340 232588 697884
+rect 318994 699170 323986 702300
+rect 329298 699170 334288 702300
+rect 318994 698474 334288 699170
+rect 318994 697884 326344 698474
+rect 327056 697884 334288 698474
+rect 318994 697340 334288 697884
+rect 512620 687270 513364 687278
+rect 512620 686570 512642 687270
+rect 513342 686570 513364 687270
+rect 512620 685570 513364 686570
+rect 512620 684870 512642 685570
+rect 513342 684870 513364 685570
+rect 512620 684846 513364 684870
+rect 582300 681526 584800 682984
+rect 582300 681176 582730 681526
+rect 583036 681176 584800 681526
+rect 582300 677984 584800 681176
+rect 173682 675041 526950 675152
+rect 56069 663434 56256 663594
+rect 56376 663434 61068 663594
+rect 56069 662793 61068 663434
+rect 49246 657602 54048 658227
+rect 49246 657502 51856 657602
+rect 51982 657502 54048 657602
 rect -800 643842 1660 648642
-rect 318994 642983 323994 643740
-rect 329294 649497 334294 692092
-rect 329294 642983 334294 643740
-rect 510594 690564 515394 704800
-rect -800 633842 1660 638642
-rect 510594 637598 515394 684332
-rect 510594 631116 515394 631780
-rect 520594 690564 525394 704800
-rect 566594 702300 571594 704800
-rect 520594 637598 525394 684332
-rect 582300 677984 584800 682984
-rect 560050 639784 560566 644584
-rect 566742 639784 584800 644584
-rect 520594 631116 525394 631780
-rect 560050 629784 560566 634584
-rect 566742 629784 584800 634584
-rect 357470 629399 359442 629457
-rect 357470 628057 357538 629399
-rect 359388 628057 359442 629399
-rect 357470 627990 359442 628057
-rect 339960 620294 345660 620363
-rect 371099 620302 533609 620371
-rect -800 559442 1660 564242
+rect -800 635888 1660 638642
+rect -800 634978 909 635888
+rect -800 634578 908 634978
+rect -800 634577 909 634578
+rect 1330 634577 1660 635888
+rect -800 633842 1660 634577
+rect -800 564240 1660 564242
+rect 49246 564240 54048 657502
+rect -800 559445 54048 564240
+rect -800 559442 1660 559445
+rect 49246 559441 54048 559445
+rect 261364 554940 262080 554962
+rect 261364 554398 261420 554940
+rect 262020 554398 262080 554940
 rect -800 549442 1660 554242
-rect 339960 511642 340072 620294
-rect 341733 619574 341739 619684
-rect 341849 619637 341855 619684
-rect 533089 619645 533095 619647
-rect 341849 619577 345660 619637
-rect 371099 619585 533095 619645
-rect 533089 619583 533095 619585
-rect 533159 619583 533165 619647
-rect 341849 619574 341855 619577
-rect 533105 619280 533111 619282
-rect -800 511530 340072 511642
-rect 340967 619212 345660 619272
-rect 371099 619220 533111 619280
-rect 533105 619218 533111 619220
-rect 533175 619218 533181 619282
+rect 261364 551706 262080 554398
+rect 261364 551164 261434 551706
+rect 262034 551164 262080 551706
+rect 261364 551112 262080 551164
+rect 330 511642 490 511647
+rect -800 511530 340 511642
+rect 480 511530 490 511642
+rect 330 511525 490 511530
 rect -800 510348 480 510460
 rect -800 509166 480 509278
 rect -800 507984 480 508096
 rect -800 506802 480 506914
 rect -800 505620 480 505732
-rect -800 468308 480 468420
+rect -800 468404 480 468420
+rect -800 468320 360 468404
+rect 462 468320 480 468404
+rect -800 468308 480 468320
 rect -800 467126 480 467238
 rect -800 465944 480 466056
 rect -800 464762 480 464874
-rect 340967 463692 341079 619212
-rect -800 463580 341079 463692
-rect 341738 618632 341850 618638
-rect -800 462398 660 462510
-rect 780 462398 13894 462510
-rect 17564 462398 17711 462510
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect 341738 420470 341850 618520
-rect -800 420358 341850 420470
-rect -800 419176 676 419288
-rect 738 419176 13887 419288
-rect 17599 419176 17694 419288
-rect 533497 405408 533609 620302
-rect 533894 619647 533958 619653
-rect 533958 619585 539606 619645
-rect 533894 619577 533958 619583
-rect 533904 619282 533968 619288
-rect 533968 619220 537488 619280
-rect 533904 619212 533968 619218
-rect 537376 454558 537488 619220
-rect 539494 498980 539606 619585
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 526839 432604 526950 675041
+rect 582340 639784 584800 644584
+rect 582340 633060 584800 634584
+rect 582340 632030 582893 633060
+rect 583923 632030 584800 633060
+rect 582340 629784 584800 632030
 rect 583520 589472 584800 589584
 rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
+rect 583510 587220 583742 587225
+rect 583510 587108 584800 587220
+rect 583510 587103 583742 587108
 rect 583520 585926 584800 586038
 rect 583520 584744 584800 584856
 rect 583520 583562 584800 583674
-rect 555452 550562 556229 555362
-rect 562346 550562 584800 555362
-rect 555452 540562 556229 545362
-rect 562346 540562 584800 545362
-rect 573371 500050 573548 500162
-rect 576743 500050 583220 500162
-rect 583318 500050 584800 500162
-rect 539494 498868 584800 498980
+rect 532007 556852 533020 556862
+rect 532007 555914 532017 556852
+rect 533010 555914 533020 556852
+rect 532007 550932 533020 555914
+rect 582339 553554 584800 555362
+rect 532007 549939 532017 550932
+rect 533010 549939 533020 550932
+rect 582340 553460 584800 553554
+rect 582340 552760 582550 553460
+rect 583250 552760 584800 553460
+rect 582340 550562 584800 552760
+rect 532007 549929 533020 549939
+rect 582340 540562 584800 545362
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
 rect 583520 497686 584800 497798
 rect 583520 496504 584800 496616
 rect 583520 495322 584800 495434
 rect 583520 494140 584800 494252
-rect 573405 455628 573556 455740
-rect 576731 455628 583180 455740
-rect 583296 455628 584800 455740
-rect 537376 454446 584800 454558
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
 rect 583520 453264 584800 453376
 rect 583520 452082 584800 452194
 rect 583520 450900 584800 451012
 rect 583520 449718 584800 449830
+rect 526839 432533 526859 432604
+rect 526930 432533 526950 432604
+rect 526839 432513 526950 432533
+rect -800 425192 480 425198
+rect -800 425092 396 425192
+rect 474 425092 480 425192
+rect -800 425086 480 425092
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
 rect 583520 411206 584800 411318
 rect 583520 410024 584800 410136
 rect 583520 408842 584800 408954
 rect 583520 407660 584800 407772
 rect 583520 406478 584800 406590
-rect 533497 405296 584800 405408
-rect -800 381864 480 381976
+rect 583520 405296 584800 405408
+rect -800 381971 480 381976
+rect -800 381870 372 381971
+rect 475 381870 480 381971
+rect -800 381864 480 381870
 rect -800 380682 480 380794
 rect -800 379500 480 379612
 rect -800 378318 480 378430
@@ -671,8 +1196,14 @@
 rect 583520 362420 584800 362532
 rect 583520 361238 584800 361350
 rect 583520 360056 584800 360168
-rect 583520 358874 584800 358986
-rect -800 338642 480 338754
+rect 583520 358976 584800 358986
+rect 583520 358884 583530 358976
+rect 583990 358884 584800 358976
+rect 583520 358874 584800 358884
+rect -800 338744 480 338754
+rect -800 338652 174 338744
+rect 470 338652 480 338744
+rect -800 338642 480 338652
 rect -800 337460 480 337572
 rect -800 336278 480 336390
 rect -800 335096 480 335208
@@ -683,21 +1214,48 @@
 rect 583520 317198 584800 317310
 rect 583520 316016 584800 316128
 rect 583520 314834 584800 314946
-rect 583520 313652 584800 313764
-rect -800 295420 480 295532
+rect 583520 313754 584800 313764
+rect 583520 313662 583530 313754
+rect 583990 313662 584800 313754
+rect 583520 313652 584800 313662
+rect -800 295522 480 295532
+rect -800 295430 48 295522
+rect 470 295430 480 295522
+rect -800 295420 480 295430
 rect -800 294238 480 294350
 rect -800 293056 480 293168
 rect -800 291874 480 291986
+rect 531530 291950 533493 291970
+rect 531530 291949 533355 291950
+rect 531530 291852 531552 291949
+rect 531671 291852 533355 291949
+rect 531530 291849 533355 291852
+rect 533473 291849 533493 291950
+rect 531530 291829 533493 291849
+rect 531502 291044 533544 291084
+rect 531502 290947 531542 291044
+rect 531661 291043 533544 291044
+rect 531661 290947 533388 291043
+rect 531502 290942 533388 290947
+rect 533506 290942 533544 291043
+rect 531502 290907 533544 290942
+rect 531528 290906 533544 290907
 rect -800 290692 480 290804
 rect -800 289510 480 289622
 rect 583520 275140 584800 275252
 rect 583520 273958 584800 274070
 rect 583520 272776 584800 272888
 rect 583520 271594 584800 271706
-rect 583520 270412 584800 270524
+rect 583520 270515 584800 270524
+rect 583520 270420 583528 270515
+rect 583990 270420 584800 270515
+rect 583520 270412 584800 270420
 rect 583520 269230 584800 269342
 rect -800 252398 480 252510
-rect -800 251216 480 251328
+rect -800 251318 480 251328
+rect -800 251226 10 251318
+rect 470 251226 480 251318
+rect -800 251216 480 251226
 rect -800 250034 480 250146
 rect -800 248852 480 248964
 rect -800 247670 480 247782
@@ -706,16 +1264,25 @@
 rect 582340 225230 584800 230030
 rect -800 214888 1660 219688
 rect -800 204888 1660 209688
-rect 13406 191430 13991 196230
-rect 17427 191430 573605 196230
-rect 576629 191430 584800 196230
+rect 582340 193873 584800 196230
+rect 582340 192902 582687 193873
+rect 583658 192902 584800 193873
+rect 582340 191430 584800 192902
 rect 582340 181430 584800 186230
 rect -800 172888 1660 177688
-rect -800 162888 1660 167688
+rect -800 167192 1660 167688
+rect -800 167172 8272 167192
+rect -800 167095 8175 167172
+rect 8252 167095 8272 167172
+rect -800 167075 8272 167095
+rect -800 162888 1660 167075
 rect 582340 146830 584800 151630
 rect 582340 136830 584800 141630
 rect -800 124776 480 124888
-rect -800 123594 480 123706
+rect -800 123696 480 123706
+rect -800 123604 10 123696
+rect 470 123604 480 123696
+rect -800 123594 480 123604
 rect -800 122412 480 122524
 rect -800 121230 480 121342
 rect -800 120048 480 120160
@@ -725,7 +1292,10 @@
 rect 583520 92754 584800 92866
 rect 583520 91572 584800 91684
 rect -800 81554 480 81666
-rect -800 80372 480 80484
+rect -800 80474 480 80484
+rect -800 80382 10 80474
+rect 470 80382 480 80474
+rect -800 80372 480 80382
 rect -800 79190 480 79302
 rect -800 78008 480 78120
 rect -800 76826 480 76938
@@ -774,46 +1344,6 @@
 rect 583520 2726 584800 2838
 rect -800 1544 480 1656
 rect 583520 1544 584800 1656
-<< rmetal3 >>
-rect 170894 700738 173094 700788
-rect 173394 700736 175594 700786
-rect 222594 700786 224794 700836
-rect 225094 700796 227294 700846
-rect 324294 701080 326494 701130
-rect 326794 701100 328994 701150
-rect 660 462398 780 462510
-rect 676 419176 738 419288
-rect 583220 500050 583318 500162
-rect 583180 455628 583296 455740
-<< via3 >>
-rect 170894 684327 173094 690603
-rect 173394 684327 175594 690603
-rect 222594 684360 224794 690636
-rect 225094 684360 227294 690636
-rect 324294 684344 326494 690618
-rect 318994 643740 323994 649497
-rect 329294 643740 334294 649497
-rect 510594 684332 515394 690564
-rect 510594 631780 515394 637598
-rect 520594 684332 525394 690564
-rect 560566 639784 566742 644584
-rect 520594 631780 525394 637598
-rect 560566 629784 566742 634584
-rect 357538 628057 359388 629399
-rect 341739 619574 341849 619684
-rect 533095 619583 533159 619647
-rect 533111 619218 533175 619282
-rect 341738 618520 341850 618632
-rect 13894 462398 17564 462510
-rect 13887 419176 17599 419288
-rect 533894 619583 533958 619647
-rect 533904 619218 533968 619282
-rect 556229 550562 562346 555362
-rect 556229 540562 562346 545362
-rect 573548 500050 576743 500162
-rect 573556 455628 576731 455740
-rect 13991 191430 17427 196230
-rect 573605 191430 576629 196230
 << metal4 >>
 rect 165594 702300 170594 704800
 rect 175894 702300 180894 704800
@@ -821,125 +1351,6 @@
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-rect 170628 690636 526162 690737
-rect 170628 690603 222594 690636
-rect 170628 684327 170894 690603
-rect 173094 684327 173394 690603
-rect 175594 684360 222594 690603
-rect 224794 684360 225094 690636
-rect 227294 690618 526162 690636
-rect 227294 684360 324294 690618
-rect 175594 684344 324294 684360
-rect 326494 690564 526162 690618
-rect 326494 684344 510594 690564
-rect 175594 684332 510594 684344
-rect 515394 684332 520594 690564
-rect 525394 684332 526162 690564
-rect 175594 684327 526162 684332
-rect 170628 684183 526162 684327
-rect 318330 649837 359973 649898
-rect 318330 649497 357559 649837
-rect 318330 643740 318994 649497
-rect 323994 643740 329294 649497
-rect 334294 643740 357559 649497
-rect 318330 643394 357559 643740
-rect 359314 643394 359973 649837
-rect 318330 643344 359973 643394
-rect 560425 644584 566979 644980
-rect 560425 639784 560566 644584
-rect 566742 639784 566979 644584
-rect 356144 637598 525696 637898
-rect 356144 631780 510594 637598
-rect 515394 631780 520594 637598
-rect 525394 631780 525696 637598
-rect 356144 631344 525696 631780
-rect 560425 634584 566979 639784
-rect 357442 629399 359470 631344
-rect 357442 628057 357538 629399
-rect 359388 628057 359470 629399
-rect 357442 619873 359470 628057
-rect 560425 629784 560566 634584
-rect 566742 629784 566979 634584
-rect 341738 619684 341850 619685
-rect 341738 619574 341739 619684
-rect 341849 619574 341850 619684
-rect 341738 618633 341850 619574
-rect 356867 619473 359885 619873
-rect 533094 619647 533160 619648
-rect 533094 619583 533095 619647
-rect 533159 619645 533160 619647
-rect 533893 619647 533959 619648
-rect 533893 619645 533894 619647
-rect 533159 619585 533894 619645
-rect 533159 619583 533160 619585
-rect 533094 619582 533160 619583
-rect 533893 619583 533894 619585
-rect 533958 619583 533959 619647
-rect 533893 619582 533959 619583
-rect 533110 619282 533176 619283
-rect 533110 619218 533111 619282
-rect 533175 619280 533176 619282
-rect 533903 619282 533969 619283
-rect 533903 619280 533904 619282
-rect 533175 619220 533904 619280
-rect 533175 619218 533176 619220
-rect 533110 619217 533176 619218
-rect 533903 619218 533904 619220
-rect 533968 619218 533969 619282
-rect 533903 619217 533969 619218
-rect 341737 618632 341851 618633
-rect 341737 618520 341738 618632
-rect 341850 618520 341851 618632
-rect 341737 618519 341851 618520
-rect 345773 613756 346828 618849
-rect 351928 617829 353757 618856
-rect 351928 615249 352028 617829
-rect 353603 615249 353757 617829
-rect 351928 615131 353757 615249
-rect 363328 617835 365157 618884
-rect 363328 615255 363412 617835
-rect 364987 615255 365157 617835
-rect 363328 615131 365157 615255
-rect 369823 613756 370980 618859
-rect 560425 613756 566979 629784
-rect 345256 607202 566979 613756
-rect 362658 601572 562613 601756
-rect 362658 597231 363414 601572
-rect 364992 597231 562613 601572
-rect 362658 595202 562613 597231
-rect 556059 555362 562613 595202
-rect 556059 550562 556229 555362
-rect 562346 550562 562613 555362
-rect 556059 545362 562613 550562
-rect 556059 540562 556229 545362
-rect 562346 540562 562613 545362
-rect 556059 540155 562613 540562
-rect 573464 500162 576816 500473
-rect 573464 500050 573548 500162
-rect 576743 500050 576816 500162
-rect 13814 462510 17684 462771
-rect 13814 462398 13894 462510
-rect 17564 462398 17684 462510
-rect 13814 419288 17684 462398
-rect 13814 419176 13887 419288
-rect 17599 419176 17684 419288
-rect 13814 227257 17684 419176
-rect 573464 455740 576816 500050
-rect 573464 455628 573556 455740
-rect 576731 455628 576816 455740
-rect 13811 196230 17688 227257
-rect 13811 191430 13991 196230
-rect 17427 191430 17688 196230
-rect 13811 191098 17688 191430
-rect 573464 196230 576816 455628
-rect 573464 191430 573605 196230
-rect 576629 191430 576816 196230
-rect 573464 191191 576816 191430
-<< via4 >>
-rect 357559 643394 359314 649837
-rect 352028 615249 353603 617829
-rect 363412 615255 364987 617835
-rect 363414 597231 364992 601572
 << metal5 >>
 rect 165594 702300 170594 704800
 rect 175894 702300 180894 704800
@@ -947,108 +1358,38 @@
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
-rect 357521 649837 359350 649991
-rect 357521 643394 357559 649837
-rect 359314 643394 359350 649837
-rect 351918 617829 353747 617929
-rect 351918 615249 352028 617829
-rect 353603 615249 353747 617829
-rect 351918 614900 353747 615249
-rect 357521 614900 359350 643394
-rect 351918 613071 359350 614900
-rect 363318 617835 365147 617929
-rect 363318 615255 363412 617835
-rect 364987 615255 365147 617835
-rect 363318 601572 365147 615255
-rect 363318 597231 363414 601572
-rect 364992 597231 365147 601572
-rect 363318 597052 365147 597231
 << comment >>
 rect -100 704000 584100 704100
 rect -100 0 0 704000
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
-use user_analog_proj_example  user_analog_proj_example_0
-timestamp 1639841760
-transform 1 0 345668 0 -1 627114
-box -59 -22 25476 8324
+use 1T1R_2x2  1T1R_2x2_0
+timestamp 1647533459
+transform 1 0 562058 0 1 685450
+box 0 0 864 800
+use C4  C4_0
+timestamp 1654715540
+transform 1 0 530809 0 1 283177
+box -3132 -258 1273 678
+use FG_pfet  FG_pfet_0
+timestamp 1654453464
+transform 1 0 52313 0 1 660624
+box -2563 -40 1390 600
+use hv_tgate  hv_tgate_0
+timestamp 1648694146
+transform 1 0 230448 0 1 427446
+box -5090 -470 22390 1062
+use sky130_sc_ams__ota_1  sky130_sc_ams__ota_1_0
+timestamp 1654715540
+transform 1 0 533383 0 1 283100
+box -398 -153 6394 687
+use sky130_sc_ams__ota_1  sky130_sc_ams__ota_1_1
+timestamp 1654715540
+transform 1 0 9447 0 1 167134
+box -398 -153 6394 687
 << labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
 flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
 port 36 nsew signal bidirectional
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
 flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
 port 38 nsew signal bidirectional
 flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
@@ -1067,1296 +1408,1366 @@
 port 42 nsew signal bidirectional
 flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 42 nsew signal bidirectional
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
 flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
 flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
 flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
 flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
 port 50 nsew signal bidirectional
 flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
 port 51 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
 flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
 port 53 nsew signal bidirectional
 flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
 port 54 nsew signal bidirectional
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
-port 561 nsew signal bidirectional
 flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
 port 562 nsew signal bidirectional
 flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
 port 563 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
-port 568 nsew signal bidirectional
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
-port 676 nsew signal input
 flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
 port 677 nsew signal input
-flabel metal3 572152 640142 580220 644150 0 FreeSans 16000 0 0 0 VCCD1
-flabel metal3 567038 550960 577302 554546 0 FreeSans 16000 0 0 0 VDDA1
-flabel metal3 511190 664896 514962 676272 0 FreeSans 16000 90 0 0 VSSA1
-flabel metal3 561703 191929 571721 195859 0 FreeSans 16000 0 0 0 VSSD1
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/netgen/run_lvs_wrapper_xschem.sh b/netgen/run_lvs_wrapper_xschem.sh
index 2fa97c0..cc74cbd 100755
--- a/netgen/run_lvs_wrapper_xschem.sh
+++ b/netgen/run_lvs_wrapper_xschem.sh
@@ -19,4 +19,4 @@
 # top-level xschem subcircuit from the wrapper testbench.
 #
 #--------------------------------------------------------------------------------
-netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../xschem/analog_wrapper_tb.spice user_analog_project_wrapper" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out
+netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../xschem/top.spice user_analog_project_wrapper" sky130A_setup.tcl comp.out
diff --git a/netgen/sky130A_setup.tcl b/netgen/sky130A_setup.tcl
new file mode 100644
index 0000000..6ff36d3
--- /dev/null
+++ b/netgen/sky130A_setup.tcl
@@ -0,0 +1,450 @@
+#---------------------------------------------------------------
+# Setup file for netgen LVS
+# SkyWater sky130A
+#---------------------------------------------------------------
+permute default
+property default
+property parallel none
+
+# Allow override of default #columns in the output format.
+catch {format $env(NETGEN_COLUMNS)}
+
+#---------------------------------------------------------------
+# For the following, get the cell lists from
+# circuit1 and circuit2.
+#---------------------------------------------------------------
+
+set cells1 [cells list -all -circuit1]
+set cells2 [cells list -all -circuit2]
+
+# NOTE:  In accordance with the LVS manager GUI, the schematic is
+# always circuit2, so some items like property "par1" only need to
+# be specified for circuit2.
+
+#-------------------------------------------
+# Resistors (except metal)
+#-------------------------------------------
+
+set devices {}
+lappend devices sky130_fd_pr__res_iso_pw
+lappend devices sky130_fd_pr__res_high_po_0p35
+lappend devices sky130_fd_pr__res_high_po_0p69
+lappend devices sky130_fd_pr__res_high_po_1p41
+lappend devices sky130_fd_pr__res_high_po_2p85
+lappend devices sky130_fd_pr__res_high_po_5p73
+lappend devices sky130_fd_pr__res_high_po
+lappend devices sky130_fd_pr__res_xhigh_po_0p35
+lappend devices sky130_fd_pr__res_xhigh_po_0p69
+lappend devices sky130_fd_pr__res_xhigh_po_1p41
+lappend devices sky130_fd_pr__res_xhigh_po_2p85
+lappend devices sky130_fd_pr__res_xhigh_po_5p73
+lappend devices sky130_fd_pr__res_xhigh_po
+lappend devices sky130_fd_pr__res_generic_nd
+lappend devices sky130_fd_pr__res_generic_pd
+lappend devices sky130_fd_pr__res_generic_nd__hv
+lappend devices sky130_fd_pr__res_generic_pd__hv
+lappend devices mrdn_hv mrdp_hv
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	permute "-circuit1 $dev" 1 2
+	property "-circuit1 $dev" series enable
+	property "-circuit1 $dev" series {w critical}
+	property "-circuit1 $dev" series {l add}
+	property "-circuit1 $dev" parallel enable
+	property "-circuit1 $dev" parallel {l critical}
+	property "-circuit1 $dev" parallel {w add}
+	property "-circuit1 $dev" parallel {value par}
+	property "-circuit1 $dev" tolerance {l 0.01} {w 0.01}
+	# Ignore these properties
+	property "-circuit1 $dev" delete mult
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	permute "-circuit2 $dev" 1 2
+	property "-circuit2 $dev" series enable
+	property "-circuit2 $dev" series {w critical}
+	property "-circuit2 $dev" series {l add}
+	property "-circuit2 $dev" parallel enable
+	property "-circuit2 $dev" parallel {l critical}
+	property "-circuit2 $dev" parallel {w add}
+	property "-circuit2 $dev" parallel {value par}
+	property "-circuit2 $dev" tolerance {l 0.01} {w 0.01}
+	# Ignore these properties
+	property "-circuit2 $dev" delete mult
+    }
+}
+
+#-------------------------------------------
+# MRM (metal) resistors and poly resistor
+#-------------------------------------------
+
+set devices {}
+lappend devices sky130_fd_pr__res_generic_po
+lappend devices sky130_fd_pr__res_generic_l1
+lappend devices sky130_fd_pr__res_generic_m1
+lappend devices sky130_fd_pr__res_generic_m2
+lappend devices sky130_fd_pr__res_generic_m3
+lappend devices sky130_fd_pr__res_generic_m4
+lappend devices sky130_fd_pr__res_generic_m5
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	permute "-circuit1 $dev" end_a end_b
+	property "-circuit1 $dev" series enable
+	property "-circuit1 $dev" series {w critical}
+	property "-circuit1 $dev" series {l add}
+	property "-circuit1 $dev" parallel enable
+	property "-circuit1 $dev" parallel {l critical}
+	property "-circuit1 $dev" parallel {w add}
+	property "-circuit1 $dev" parallel {value par}
+	property "-circuit1 $dev" tolerance {l 0.01} {w 0.01}
+	# Ignore these properties
+	property "-circuit1 $dev" delete mult
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	permute "-circuit2 $dev" end_a end_b
+	property "-circuit2 $dev" series enable
+	property "-circuit2 $dev" series {w critical}
+	property "-circuit2 $dev" series {l add}
+	property "-circuit2 $dev" parallel enable
+	property "-circuit2 $dev" parallel {l critical}
+	property "-circuit2 $dev" parallel {w add}
+	property "-circuit2 $dev" parallel {value par}
+	property "-circuit2 $dev" tolerance {l 0.01} {w 0.01}
+	# Ignore these properties
+	property "-circuit2 $dev" delete mult
+    }
+}
+
+#-------------------------------------------
+# (MOS) transistors
+#-------------------------------------------
+
+set devices {}
+lappend devices sky130_fd_pr__nfet_01v8
+lappend devices sky130_fd_pr__nfet_01v8_lvt
+lappend devices sky130_fd_bs_flash__special_sonosfet_star
+lappend devices sky130_fd_pr__nfet_g5v0d10v5
+lappend devices sky130_fd_pr__nfet_05v0_nvt
+lappend devices sky130_fd_pr__nfet_03v3_nvt
+lappend devices sky130_fd_pr__pfet_01v8
+lappend devices sky130_fd_pr__pfet_01v8_lvt
+lappend devices sky130_fd_pr__pfet_01v8_mvt
+lappend devices sky130_fd_pr__pfet_01v8_hvt
+lappend devices sky130_fd_pr__pfet_g5v0d10v5
+lappend devices sky130_fd_pr__special_pfet_pass
+lappend devices sky130_fd_pr__special_nfet_pass
+lappend devices sky130_fd_pr__special_nfet_latch
+lappend devices sky130_fd_pr__cap_var_lvt
+lappend devices sky130_fd_pr__cap_var_hvt
+lappend devices sky130_fd_pr__cap_var
+lappend devices sky130_fd_pr__nfet_20v0_nvt
+lappend devices sky130_fd_pr__nfet_20v0
+lappend devices sky130_fd_pr__pfet_20v0
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	permute "-circuit1 $dev" 1 3
+	property "-circuit1 $dev" parallel enable
+	property "-circuit1 $dev" parallel {l critical}
+	property "-circuit1 $dev" parallel {w add}
+	property "-circuit1 $dev" tolerance {w 0.01} {l 0.01}
+	# Ignore these properties
+	property "-circuit1 $dev" delete as ad ps pd mult sa sb sd nf nrd nrs area perim topography
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	permute "-circuit2 $dev" 1 3
+	property "-circuit2 $dev" parallel enable
+	property "-circuit2 $dev" parallel {l critical}
+	property "-circuit2 $dev" parallel {w add}
+	property "-circuit2 $dev" tolerance {w 0.01} {l 0.01}
+	# Ignore these properties
+	property "-circuit2 $dev" delete as ad ps pd mult sa sb sd nf nrd nrs area perim topography
+    }
+}
+
+#---------------------------------------------------------------------
+# (MOS) ESD transistors.  Note that the ESD transistors have a flanged
+# gate.  Magic disagrees slightly on how to interpret the width of the
+# devices, so the tolerance is increased to 7% to cover the difference
+#---------------------------------------------------------------------
+
+lappend devices sky130_fd_pr__esd_nfet_g5v0d10v5
+lappend devices sky130_fd_pr__esd_pfet_g5v0d10v5
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	permute "-circuit1 $dev" 1 3
+	property "-circuit1 $dev" parallel enable
+	property "-circuit1 $dev" parallel {l critical}
+	property "-circuit1 $dev" parallel {w add}
+	property "-circuit1 $dev" tolerance {w 0.07} {l 0.01}
+	# Ignore these properties
+	property "-circuit1 $dev" delete as ad ps pd mult sa sb sd nf nrd nrs area perim topography
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	permute "-circuit2 $dev" 1 3
+	property "-circuit2 $dev" parallel enable
+	property "-circuit2 $dev" parallel {l critical}
+	property "-circuit2 $dev" parallel {w add}
+	property "-circuit2 $dev" tolerance {w 0.07} {l 0.01}
+	# Ignore these properties
+	property "-circuit2 $dev" delete as ad ps pd mult sa sb sd nf nrd nrs area perim topography
+    }
+}
+
+#-------------------------------------------
+# diodes
+#-------------------------------------------
+
+set devices {}
+lappend devices sky130_fd_pr__diode_pw2nd_05v5
+lappend devices sky130_fd_pr__diode_pw2nd_05v5_lvt
+lappend devices sky130_fd_pr__diode_pw2nd_05v5_nvt
+lappend devices sky130_fd_pr__diode_pd2nw_05v5
+lappend devices sky130_fd_pr__diode_pd2nw_05v5_lvt
+lappend devices sky130_fd_pr__diode_pd2nw_05v5_hvt
+lappend devices sky130_fd_pr__diode_pw2nd_11v0
+lappend devices sky130_fd_pr__diode_pd2nw_11v0
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	property "-circuit1 $dev" parallel enable
+	property "-circuit1 $dev" parallel {area add}
+	property "-circuit1 $dev" parallel {value add}
+	property "-circuit1 $dev" tolerance {area 0.02}
+	# Ignore these properties
+	property "-circuit1 $dev" delete mult perim
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	property "-circuit2 $dev" parallel enable
+	property "-circuit2 $dev" parallel {area add}
+	property "-circuit2 $dev" parallel {value add}
+	property "-circuit2 $dev" tolerance {area 0.02}
+	# Ignore these properties
+	property "-circuit2 $dev" delete mult perim
+    }
+}
+
+#-------------------------------------------
+# capacitors
+# MiM capacitors
+#-------------------------------------------
+
+set devices {}
+lappend devices sky130_fd_pr__cap_mim_m3_1
+lappend devices sky130_fd_pr__cap_mim_m3_2
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	property "-circuit1 $dev" parallel enable
+	property "-circuit1 $dev" parallel {area add}
+	property "-circuit1 $dev" parallel {value add}
+	property "-circuit1 $dev" tolerance {l 0.01} {w 0.01}
+	# Ignore these properties
+	property "-circuit1 $dev" delete mult perim mf
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	property "-circuit2 $dev" parallel enable
+	property "-circuit2 $dev" parallel {area add}
+	property "-circuit2 $dev" parallel {value add}
+	property "-circuit2 $dev" tolerance {l 0.01} {w 0.01}
+	# Ignore these properties
+	property "-circuit2 $dev" delete mult perim mf
+    }
+}
+
+#-------------------------------------------
+# Fixed-layout devices
+# bipolar transistors,
+# VPP capacitors
+#-------------------------------------------
+
+set devices {}
+lappend devices sky130_fd_pr__npn_05v5_W1p00L1p00
+lappend devices sky130_fd_pr__npn_05v5_W1p00L2p00
+lappend devices sky130_fd_pr__pnp_05v5_W0p68L0p68
+lappend devices sky130_fd_pr__pnp_05v5_W3p40L3p40
+lappend devices sky130_fd_pr__npn_05v5
+lappend devices sky130_fd_pr__pnp_05v5
+lappend devices sky130_fd_pr__npn_11v0
+
+lappend devices sky130_fd_pr__cap_vpp_11p5x11p7_lim5_shield
+lappend devices sky130_fd_pr__cap_vpp_11p5x11p7_m3_lim5_shield
+lappend devices sky130_fd_pr__cap_vpp_11p5x11p7_m4_shield
+lappend devices sky130_fd_pr__cap_vpp_11p5x11p7_pom4_shield
+lappend devices sky130_fd_pr__cap_vpp_4p4x4p6_m3_lim5_shield
+lappend devices sky130_fd_pr__cap_vpp_6p8x6p1_lim4_shield
+lappend devices sky130_fd_pr__cap_vpp_6p8x6p1_polym4_shield
+lappend devices sky130_fd_pr__cap_vpp_8p6x7p9_m3_lim5_shield
+lappend devices sky130_fd_pr__cap_vpp_11p5x11p7_m3_li_shield
+lappend devices sky130_fd_pr__cap_vpp_11p5x11p7_m3_shield
+lappend devices sky130_fd_pr__cap_vpp_1p8x1p8_li_shield
+lappend devices sky130_fd_pr__cap_vpp_1p8x1p8_m3_shield
+lappend devices sky130_fd_pr__cap_vpp_4p4x4p6_m3_li_shield
+lappend devices sky130_fd_pr__cap_vpp_4p4x4p6_m3_shield
+lappend devices sky130_fd_pr__cap_vpp_8p6x7p9_m3_li_shield
+lappend devices sky130_fd_pr__cap_vpp_8p6x7p9_m3_shield
+lappend devices sky130_fd_pr__ind_04_01
+lappend devices sky130_fd_pr__ind_04_02
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+	property "-circuit1 $dev" parallel enable
+	# Ignore these properties
+	property "-circuit1 $dev" delete mult
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	property "-circuit2 $dev" parallel enable
+	# Ignore these properties
+	property "-circuit2 $dev" delete mult
+    }
+}
+
+#---------------------------------------------------------------
+# Schematic cells which are not extractable
+#---------------------------------------------------------------
+
+set devices {sky130_fd_io__condiode sky130_fd_io__tap_1}
+
+foreach dev $devices {
+    if {[lsearch $cells1 $dev] >= 0} {
+    	ignore class "-circuit1 $dev"
+    }
+    if {[lsearch $cells2 $dev] >= 0} {
+	ignore class "-circuit2 $dev"
+    }
+}
+
+#---------------------------------------------------------------
+# Digital cells (ignore decap, fill, and tap cells)
+# Make a separate list for each supported library
+#---------------------------------------------------------------
+# e.g., ignore class "-circuit2 sky130_fc_sc_hd__decap_3"
+#---------------------------------------------------------------
+
+if { [info exist ::env(MAGIC_EXT_USE_GDS)] && $::env(MAGIC_EXT_USE_GDS) } {
+    foreach cell $cells1 {
+#        if {[regexp {sky130_fd_sc_[^_]+__decap_[[:digit:]]+} $cell match]} {
+#            ignore class "-circuit1 $cell"
+#        }
+        if {[regexp {sky130_fd_sc_[^_]+__fill_[[:digit:]]+} $cell match]} {
+            ignore class "-circuit1 $cell"
+        }
+        if {[regexp {sky130_fd_sc_[^_]+__tapvpwrvgnd_[[:digit:]]+} $cell match]} {
+            ignore class "-circuit1 $cell"
+        }
+        if {[regexp {sky130_ef_sc_[^_]+__fakediode_[[:digit:]]+} $cell match]} {
+            ignore class "-circuit1 $cell"
+        }
+    }
+    foreach cell $cells2 {
+#        if {[regexp {sky130_fd_sc_[^_]+__decap_[[:digit:]]+} $cell match]} {
+#            ignore class "-circuit2 $cell"
+#        }
+        if {[regexp {sky130_fd_sc_[^_]+__fill_[[:digit:]]+} $cell match]} {
+            ignore class "-circuit2 $cell"
+        }
+        if {[regexp {sky130_fd_sc_[^_]+__tapvpwrvgnd_[[:digit:]]+} $cell match]} {
+            ignore class "-circuit2 $cell"
+        }
+        if {[regexp {sky130_ef_sc_[^_]+__fakediode_[[:digit:]]+} $cell match]} {
+            ignore class "-circuit2 $cell"
+        }
+    }
+}
+
+#---------------------------------------------------------------
+# Allow the fill, decap, etc., cells to be parallelized
+#---------------------------------------------------------------
+
+foreach cell $cells1 {
+    if {[regexp {sky130_fd_sc_[^_]+__decap_[[:digit:]]+} $cell match]} {
+	property "-circuit1 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__fill_[[:digit:]]+} $cell match]} {
+	property "-circuit1 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__tapvpwrvgnd_[[:digit:]]+} $cell match]} {
+	property "-circuit1 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__diode_[[:digit:]]+} $cell match]} {
+	property "-circuit1 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__fill_diode_[[:digit:]]+} $cell match]} {
+	property "-circuit1 $cell" parallel enable
+    }
+    if {[regexp {sky130_ef_sc_[^_]+__fakediode_[[:digit:]]+} $cell match]} {
+	property "-circuit1 $cell" parallel enable
+    }
+}
+foreach cell $cells2 {
+    if {[regexp {sky130_fd_sc_[^_]+__decap_[[:digit:]]+} $cell match]} {
+	property "-circuit2 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__fill_[[:digit:]]+} $cell match]} {
+	property "-circuit2 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__tapvpwrvgnd_[[:digit:]]+} $cell match]} {
+	property "-circuit2 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__diode_[[:digit:]]+} $cell match]} {
+	property "-circuit2 $cell" parallel enable
+    }
+    if {[regexp {sky130_fd_sc_[^_]+__fill_diode_[[:digit:]]+} $cell match]} {
+	property "-circuit2 $cell" parallel enable
+    }
+    if {[regexp {sky130_ef_sc_[^_]+__fakediode_[[:digit:]]+} $cell match]} {
+	property "-circuit2 $cell" parallel enable
+    }
+}
+
+#---------------------------------------------------------------
+# Handle cells captured from Electric
+#
+# Find cells of the form "<library>__<cellname>" in the netlist
+# from Electric where the extracted layout netlist has only
+# "<cellname>".  Cross-check by ensuring that the full name
+# "<library>__<cellname>" does not exist in both cells, and that
+# the truncated name "<cellname>" does not exist in both cells.
+#---------------------------------------------------------------
+# e.g., hydra_spi_controller__hydra_spi_controller
+#---------------------------------------------------------------
+
+foreach cell $cells1 {
+    if {[regexp "(.+)__(.+)" $cell match library cellname]} {
+        if {([lsearch $cells2 $cell] < 0) && \
+                ([lsearch $cells2 $cellname] >= 0) && \
+                ([lsearch $cells1 $cellname] < 0)} {
+            equate classes "-circuit1 $cell" "-circuit2 $cellname"
+	    puts stdout "Matching pins of $cell in circuit 1 and $cellname in circuit 2"
+	    equate pins "-circuit1 $cell" "-circuit2 $cellname"
+        }
+    }
+}
+
+foreach cell $cells2 {
+    if {[regexp "(.+)__(.+)" $cell match library cellname]} {
+        if {([lsearch $cells1 $cell] < 0) && \
+                ([lsearch $cells1 $cellname] >= 0) && \
+                ([lsearch $cells2 $cellname] < 0)} {
+            equate classes "-circuit1 $cellname" "-circuit2 $cell"
+	    puts stdout "Matching pins of $cellname in circuit 1 and $cell in circuit 2"
+	    equate pins "-circuit1 $cellname" "-circuit2 $cell"
+        }
+    }
+}
+
+# Match pins on black-box cells if LVS is called with "-blackbox"
+if {[model blackbox]} {
+    foreach cell $cells1 {
+	if {[model "-circuit1 $cell"] == "blackbox"} {
+	    if {[lsearch $cells2 $cell] >= 0} {
+		puts stdout "Matching pins of $cell in circuits 1 and 2"
+		equate pins "-circuit1 $cell" "-circuit2 $cell"
+	    }
+	}
+    }
+}
+
+#---------------------------------------------------------------
diff --git a/xschem/1T1R_2x2.sch b/xschem/1T1R_2x2.sch
new file mode 100644
index 0000000..e07a66f
--- /dev/null
+++ b/xschem/1T1R_2x2.sch
@@ -0,0 +1,83 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 82.5 -230 132.5 -230 { lab=VSS}
+N -520 -540 -520 -180 { lab=sl1}
+N -280 -540 -280 -180 { lab=sl2}
+N -590 -140 -190 -140 { lab=wl2}
+N -590 -380 -190 -380 { lab=wl1}
+N -430 -440 -430 -420 { lab=VSS}
+N -190 -440 -190 -420 { lab=VSS}
+N -430 -200 -430 -180 { lab=VSS}
+N -190 -200 -190 -180 { lab=VSS}
+N 132.5 -230 142.5 -230 { lab=VSS}
+N -400 -100 -60 -100 { lab=bl2}
+N -400 -340 -60 -340 { lab=bl1}
+N -400 -420 -400 -340 { lab=bl1}
+N -160 -420 -160 -340 { lab=bl1}
+N -400 -180 -400 -100 { lab=bl2}
+N -160 -180 -160 -100 { lab=bl2}
+C {reram.sym} -250 -420 3 0 {name=XRERAM1
+device=RERAM
+m=1}
+C {reram.sym} -490 -420 3 0 {name=XRERAM2
+device=RERAM
+m=1}
+C {reram.sym} -250 -180 3 0 {name=XRERAM3
+device=RERAM
+m=1}
+C {reram.sym} -490 -180 3 0 {name=XRERAM4
+device=RERAM
+m=1}
+C {sky130/nfet_03v3_nvt.sym} -430 -400 1 1 {name=M1
+L=0.5
+W=1
+nf=1 
+mult=1
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {sky130/nfet_03v3_nvt.sym} -190 -400 1 1 {name=M2
+L=0.5
+W=1
+nf=1 
+mult=1
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {sky130/nfet_03v3_nvt.sym} -430 -160 1 1 {name=M3
+L=0.5
+W=1
+nf=1
+mult=1
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {sky130/nfet_03v3_nvt.sym} -190 -160 1 1 {name=M4
+L=0.5
+W=1
+nf=1
+mult=1
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+}
+C {devices/iopin.sym} -280 -540 0 0 {name=p1 lab=sl2}
+C {devices/iopin.sym} -520 -540 0 0 {name=p2 lab=sl1}
+C {devices/iopin.sym} -580 -380 2 0 {name=p3 lab=wl1}
+C {devices/iopin.sym} -580 -140 2 0 {name=p4 lab=wl2}
+C {devices/iopin.sym} -60 -340 0 0 {name=p5 lab=bl1}
+C {devices/iopin.sym} -60 -100 0 0 {name=p6 lab=bl2}
+C {devices/iopin.sym} 125 -230 0 0 {name=p9 lab=VSS}
+C {devices/lab_wire.sym} 82.5 -230 0 0 {name=l1 lab=VSS}
+C {devices/lab_wire.sym} -430 -200 1 0 {name=l2 lab=VSS}
+C {devices/lab_wire.sym} -430 -440 1 0 {name=l3 lab=VSS}
+C {devices/lab_wire.sym} -190 -440 1 0 {name=l4 lab=VSS}
+C {devices/lab_wire.sym} -190 -200 1 0 {name=l5 lab=VSS}
+C {devices/code_shown.sym} 55 -377.5 0 0 {name=seRAM only_toplevel=false value=".model RERAM sky130_fd_pr_reram__reram_cell"}
diff --git a/xschem/1T1R_2x2.sym b/xschem/1T1R_2x2.sym
new file mode 100644
index 0000000..e2a54f1
--- /dev/null
+++ b/xschem/1T1R_2x2.sym
@@ -0,0 +1,36 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"}
+V {}
+S {}
+E {}
+L 4 -60 -60 60 -60 {}
+L 4 60 -40 60 60 {}
+L 4 -60 60 60 60 {}
+L 4 -60 -40 -60 60 {}
+L 4 -60 -60 -60 -40 {}
+L 4 60 -60 60 -40 {}
+L 4 -40 60 -40 80 {}
+L 4 40 60 40 80 {}
+L 4 60 20 82.5 20 {}
+L 4 -40 -80 -40 -60 {}
+L 4 40 -80 40 -60 {}
+L 4 -80 -20 -60 -20 {}
+L 4 -80 20 -60 20 {}
+B 5 -82.5 -22.5 -77.5 -17.5 {name=wl1 dir=inout}
+B 5 -82.5 17.5 -77.5 22.5 {name=wl2 dir=inout}
+B 5 37.5 -82.5 42.5 -77.5 {name=sl2 dir=inout}
+B 5 -42.5 77.5 -37.5 82.5 {name=bl1	dir=inout}
+B 5 37.5 77.5 42.5 82.5 {name=bl2 dir=inout}
+B 5 -42.5 -82.5 -37.5 -77.5 {name=sl1 dir=inout propag=1 pinnumber=1 goto=1}
+B 5 80 17.5 85 22.5 {name=VSS dir=inout}
+T {2x2 1T1R ReRAM} -45 -7.5 0 0 0.2 0.2 {}
+T {wl1} -52.5 -25 0 0 0.2 0.2 {}
+T {wl2} -55 12.5 0 0 0.2 0.2 {}
+T {bl1} -47.5 45 0 0 0.2 0.2 {}
+T {bl2} 32.5 45 0 0 0.2 0.2 {}
+T {sl2} 30 -57.5 0 0 0.2 0.2 {}
+T {sl1} -50 -57.5 0 0 0.2 0.2 {}
+T {vss} 37.5 12.5 0 0 0.2 0.2 {}
diff --git a/xschem/C4.sch b/xschem/C4.sch
new file mode 100644
index 0000000..a96107c
--- /dev/null
+++ b/xschem/C4.sch
@@ -0,0 +1,147 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 80 -190 130 -190 {
+lab=#net1}
+N 250 -200 250 -190 {
+lab=#net1}
+N 130 -190 250 -190 {
+lab=#net1}
+N 250 -270 250 -260 {
+lab=#net1}
+N 250 -300 250 -270 {
+lab=#net1}
+N 250 -200 250 -150 {
+lab=#net1}
+N 250 -90 250 -50 {
+lab=vssa}
+N 250 -380 250 -360 {
+lab=1v8}
+N 430 -160 430 -120 {
+lab=vssa}
+N 250 -190 390 -190 {
+lab=#net1}
+N 430 -190 450 -190 {
+lab=vssa}
+N 450 -190 450 -150 {
+lab=vssa}
+N 430 -150 450 -150 {
+lab=vssa}
+N 230 -120 250 -120 {
+lab=vssa}
+N 230 -120 230 -80 {
+lab=vssa}
+N 230 -80 250 -80 {
+lab=vssa}
+N 220 -330 250 -330 {
+lab=vssa}
+N 430 -360 430 -220 {
+lab=vout}
+N 430 -460 430 -420 {
+lab=1v8}
+N 430 -390 460 -390 {
+lab=1v8}
+N 460 -440 460 -390 {
+lab=1v8}
+N 430 -440 460 -440 {
+lab=1v8}
+N 290 -330 430 -330 {
+lab=vout}
+N 370 -390 390 -390 {
+lab=vth}
+N 290 -120 320 -120 {
+lab=vtl}
+N -20 -190 20 -190 {
+lab=vin}
+N 250 -260 250 -190 {
+lab=#net1}
+N -110 -480 -90 -480 {
+lab=vin}
+N -110 -540 -90 -540 {
+lab=vth}
+N -110 -510 -90 -510 {
+lab=vtl}
+N -120 -450 -100 -450 {
+lab=vout}
+N 430 -120 430 -50 {
+lab=vssa}
+N 250 -50 430 -50 {
+lab=vssa}
+N 250 -460 430 -460 {
+lab=1v8}
+N 430 -330 650 -330 {
+lab=vout}
+N -160 -410 -110 -410 {
+lab=1v8}
+N -160 -380 -110 -380 {
+lab=vssa}
+N 250 -460 250 -380 {
+lab=1v8}
+N 340 -500 340 -460 {
+lab=1v8}
+N 340 -50 340 -0 {
+lab=vssa}
+N 130 -250 130 -190 {
+lab=#net1}
+N 130 -460 130 -310 {
+lab=1v8}
+N 130 -460 250 -460 {
+lab=1v8}
+N 570 -270 570 -180 {
+lab=vssa}
+N 630 -330 790 -330 {
+lab=vout}
+C {sky130_fd_pr/nfet_01v8.sym} 270 -120 0 1 {name=M2
+L=0.15
+W=0.42  
+nf=1 mult=1
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 270 -330 0 1 {name=M1
+L=0.15
+W=0.42  
+nf=1 mult=1
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 410 -190 0 0 {name=M4
+L=0.15
+W=0.42 
+nf=1 mult=1
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 410 -390 0 0 {name=M3
+L=0.15
+W=0.42
+nf=1 mult=1
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 370 -390 0 0 {name=l10 sig_type=std_logic lab=vth}
+C {devices/lab_pin.sym} 320 -120 0 1 {name=l11 sig_type=std_logic lab=vtl}
+C {devices/lab_pin.sym} -20 -190 0 0 {name=l12 sig_type=std_logic lab=vin}
+C {devices/lab_pin.sym} 790 -330 0 1 {name=l13 sig_type=std_logic lab=vout}
+C {devices/ipin.sym} -100 -540 0 0 {name=p1 lab=vth}
+C {devices/ipin.sym} -100 -510 0 0 {name=p2 lab=vtl}
+C {devices/ipin.sym} -100 -480 0 0 {name=p3 lab=vin}
+C {devices/opin.sym} -110 -450 0 0 {name=p4 lab=vout}
+C {devices/lab_pin.sym} -90 -540 0 1 {name=l8 sig_type=std_logic lab=vth}
+C {devices/lab_pin.sym} -90 -510 0 1 {name=l9 sig_type=std_logic lab=vtl}
+C {devices/lab_pin.sym} -90 -480 0 1 {name=l14 sig_type=std_logic lab=vin}
+C {devices/lab_pin.sym} -120 -450 0 0 {name=l15 sig_type=std_logic lab=vout}
+C {devices/iopin.sym} -120 -380 0 0 {name=p5 lab=vssa}
+C {devices/iopin.sym} -120 -410 0 0 {name=p6 lab=1v8}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 50 -190 1 0 {name=C2 model=cap_mim_m3_1 W=5.5 L=4.4 MF=1 spiceprefix=X}
+C {devices/lab_pin.sym} -160 -410 0 0 {name=l1 sig_type=std_logic lab=1v8}
+C {devices/lab_pin.sym} -160 -380 0 0 {name=l2 sig_type=std_logic lab=vssa}
+C {devices/lab_pin.sym} 340 -500 0 0 {name=l3 sig_type=std_logic lab=1v8}
+C {devices/lab_pin.sym} 340 0 0 0 {name=l6 sig_type=std_logic lab=vssa}
+C {devices/lab_pin.sym} 220 -330 0 0 {name=l7 sig_type=std_logic lab=vssa}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 130 -280 0 0 {name=C1 model=cap_mim_m3_1 W=5.5 L=4.4 MF=1 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 570 -300 0 0 {name=C3 model=cap_mim_m3_1 W=3.22 L=4.38 MF=1 spiceprefix=X}
+C {devices/lab_pin.sym} 570 -180 0 0 {name=l4 sig_type=std_logic lab=vssa}
diff --git a/xschem/C4.sym b/xschem/C4.sym
new file mode 100644
index 0000000..5aaede4
--- /dev/null
+++ b/xschem/C4.sym
@@ -0,0 +1,31 @@
+v {xschem version=3.0.0 file_version=1.2}
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+
+T {@symname} -27 -6 0 0 0.3 0.3 {}
+T {@name} 135 -42 0 0 0.2 0.2 {}
+L 4 -130 -30 130 -30 {}
+L 4 -130 30 130 30 {}
+L 4 -130 -30 -130 30 {}
+L 4 130 -30 130 30 {}
+B 5 -152.5 -22.5 -147.5 -17.5 {name=vth dir=in }
+L 4 -150 -20 -130 -20 {}
+T {vth} -125 -24 0 0 0.2 0.2 {}
+B 5 -152.5 -2.5 -147.5 2.5 {name=vtl dir=in }
+L 4 -150 0 -130 0 {}
+T {vtl} -125 -4 0 0 0.2 0.2 {}
+B 5 -152.5 17.5 -147.5 22.5 {name=vin dir=in }
+L 4 -150 20 -130 20 {}
+T {vin} -125 16 0 0 0.2 0.2 {}
+B 5 147.5 -22.5 152.5 -17.5 {name=vout dir=out }
+L 4 130 -20 150 -20 {}
+T {vout} 125 -24 0 1 0.2 0.2 {}
+B 5 147.5 -2.5 152.5 2.5 {name=1v8 dir=inout }
+L 7 130 0 150 0 {}
+T {1v8} 125 -4 0 1 0.2 0.2 {}
+B 5 147.5 17.5 152.5 22.5 {name=vssa dir=inout }
+L 7 130 20 150 20 {}
+T {vssa} 125 16 0 1 0.2 0.2 {}
diff --git a/xschem/FG_pfet.sch b/xschem/FG_pfet.sch
new file mode 100644
index 0000000..8918739
--- /dev/null
+++ b/xschem/FG_pfet.sch
@@ -0,0 +1,56 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 130 -40 150 -40 { lab=vd}
+N 150 -90 150 -40 { lab=vd}
+N 130 -90 150 -90 { lab=vd}
+N 130 -90 130 -70 { lab=vd}
+N 130 -10 130 20 { lab=vsource}
+N -20 -40 90 -40 { lab=#net1}
+N 30 -100 30 -40 { lab=#net1}
+N 0 -170 -0 -140 { lab=vtun}
+N -0 -170 30 -170 { lab=vtun}
+N 30 -170 30 -140 { lab=vtun}
+N 60 -170 60 -140 { lab=vtun}
+N 30 -170 60 -170 { lab=vtun}
+N 30 -200 30 -170 { lab=vtun}
+N -80 -70 -60 -70 { lab=vin}
+N -80 -70 -80 -40 { lab=vin}
+N -80 -40 -60 -40 { lab=vin}
+N -80 -10 -60 -10 { lab=vin}
+N -80 -40 -80 -10 { lab=vin}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 110 -40 0 0 {name=M1
+L=0.5
+W=2
+nf=1 mult=1
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 30 -120 3 0 {name=M2
+L=0.5
+W=1
+nf=1 mult=1
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} -40 -40 2 0 {name=M3
+L=3
+W=2
+nf=1 mult=1
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 130 -90 0 0 {name=l1 sig_type=std_logic lab=vd}
+C {devices/lab_pin.sym} 30 -200 0 0 {name=l2 sig_type=std_logic lab=vtun}
+C {devices/lab_pin.sym} -80 -50 0 0 {name=l3 sig_type=std_logic lab=vin}
+C {devices/lab_pin.sym} 130 20 0 0 {name=l4 sig_type=std_logic lab=vsource}
+C {devices/iopin.sym} -240 -140 0 0 {name=p1 lab=vtun
+}
+C {devices/iopin.sym} -240 -110 0 0 {name=p2 lab=vin
+}
+C {devices/iopin.sym} -240 -80 0 0 {name=p3 lab=vsource
+}
+C {devices/iopin.sym} -240 -60 0 0 {name=p4 lab=vd}
diff --git a/xschem/FG_pfet.sym b/xschem/FG_pfet.sym
new file mode 100644
index 0000000..ceb1862
--- /dev/null
+++ b/xschem/FG_pfet.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -70 -30 -70 0 {}
+L 4 -60 -30 -60 -0 {}
+L 4 -60 -30 -40 -30 {}
+L 4 -60 0 -40 0 {}
+L 4 -40 -60 -40 -30 {}
+L 4 -40 0 -40 30 {}
+L 4 -110 -20 -70 -20 {}
+L 4 -110 -30 -110 -10 {}
+L 4 -120 -30 -120 -10 {}
+L 4 -90 -40 -90 -20 {}
+L 4 -100 -40 -80 -40 {}
+L 4 -100 -50 -80 -50 {}
+L 4 -90 -70 -90 -50 {}
+L 4 -130 -20 -120 -20 {}
+L 7 -90 -70 -70 -70 {}
+L 7 -150 -20 -130 -20 {}
+L 7 -40 -60 -20 -60 {}
+L 7 -40 30 -20 30 {}
+B 5 -72.5 -72.5 -67.5 -67.5 {name=vtun dir=inout }
+B 5 -152.5 -22.5 -147.5 -17.5 {name=vin dir=inout }
+B 5 -22.5 -62.5 -17.5 -57.5 {name=vsource dir=inout }
+B 5 -22.5 27.5 -17.5 32.5 {name=vd dir=inout }
+T {@symname} -39.5 -6 0 0 0.3 0.3 {}
+T {@name} -35 -22 0 0 0.2 0.2 {}
+T {vtun} -95 -74 0 1 0.2 0.2 {}
+T {vin} -175 -24 2 1 0.2 0.2 {}
+T {vsource} -25 -74 0 1 0.2 0.2 {}
+T {vd} -45 26 0 1 0.2 0.2 {}
diff --git a/xschem/amux.sch b/xschem/amux.sch
new file mode 100644
index 0000000..d71e58a
--- /dev/null
+++ b/xschem/amux.sch
@@ -0,0 +1,1528 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+C {devices/code_shown.sym} -85 -75 0 0 {name=s1 only_toplevel=false value="X0 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=1.7864e+14p pd=1.75523e+09u as=0p ps=0u w=870000u l=4.73e+06u
+X1 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X2 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X3 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=1.14715e+14p pd=1.27912e+09u as=0p ps=0u w=550000u l=4.73e+06u
+X4 VPWR _148_/A a_14025_8797# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X5 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X6 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X7 VPWR _134_/X a_12119_7439# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X8 VGND _098_/D_N a_10515_5737# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X9 chan[8].tgate_inst/A_NOT a_10055_15823# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X10 a_14216_8751# _132_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X11 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X12 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X13 VPWR _142_/A a_10791_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X14 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X15 _161_/A a_13257_5853# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X16 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X17 a_12683_6549# _122_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X18 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X19 VPWR _148_/A a_11329_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X20 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X21 chan[2].tgate_inst/A _132_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X22 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X23 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X24 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X25 a_12143_9991# _148_/D_N VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X26 a_11163_9411# a_11057_9411# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X27 chan[4].tgate_inst/A _148_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X28 a[12] chan[12].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=1.14688e+13p ps=9.088e+07u w=2.56e+06u l=150000u
+X29 a_11475_5533# a_11421_5639# a_11375_5533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X30 a_13977_6263# _093_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X31 VPWR a_11991_6005# a_11522_6263# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X32 _155_/A a_12337_8029# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X33 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X34 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X35 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X36 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X37 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X38 VGND _108_/A a_13183_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X39 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X40 VGND a_2695_16911# input3/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X41 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X42 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X43 a_12205_7439# a_11803_7119# a_12119_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X44 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X45 a_12451_5461# _139_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X46 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X47 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X48 VPWR _143_/X a_11803_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X49 a_11057_9411# _090_/D_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X50 VGND _105_/A a_11930_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X51 a_11706_6727# a_12175_6549# a_12119_6621# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X52 com chan[12].tgate_inst/A a[12] VGND sky130_fd_pr__nfet_01v8 ad=1.14688e+13p pd=9.088e+07u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X53 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X54 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X55 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X56 VGND _139_/X a_12723_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X57 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X58 _148_/D_N a_11930_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X59 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X60 chan[11].tgate_inst/A_NOT a_13841_9615# a_14223_9615# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X61 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X62 a_9561_8439# _108_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X63 chan[11].tgate_inst/A a_13735_10927# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X64 chan[8].tgate_inst/A _148_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X65 VPWR a_14025_8797# _124_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X66 a_10057_6825# a_9595_6938# a_9961_6941# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X67 VGND _107_/A a_9819_6005# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X68 VGND _148_/D_N a_12249_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X69 VGND _119_/A a_12723_12559# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X70 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X71 a_13261_6575# _143_/X a_13173_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X72 _121_/A a_9319_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X73 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X74 VPWR _090_/D_N a_10765_5737# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X75 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X76 a_10129_9001# _098_/D_N a_10057_9001# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X77 VGND _154_/B a_13367_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X78 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X79 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X80 _134_/X a_11251_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X81 _117_/C a_13183_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X82 VPWR a_12919_7119# a_13039_7439# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X83 VPWR _101_/X a_10055_3311# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X84 VGND _090_/D_N a_10799_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X85 _101_/X a_9879_6941# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X86 VGND _148_/A a_11329_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X87 _129_/A a_10515_5737# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X88 VPWR _088_/A a_10642_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X89 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X90 VPWR _148_/A a_12393_10089# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X91 a_12143_9991# _148_/D_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X92 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X93 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X94 _131_/Y _132_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X95 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X96 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X97 a_12709_8207# a_12532_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X98 a_9275_7815# a_9539_7815# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X99 VPWR _088_/A a_10826_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X100 VGND _142_/A a_10791_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X101 a_11421_6031# a_11244_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X102 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X103 chan[11].tgate_inst/A a_13735_10927# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X104 _124_/Y _148_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X105 a_12191_5533# _158_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X106 _161_/X a_14103_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X107 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X108 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X109 VPWR _098_/A a_11010_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X110 VPWR _090_/D_N a_11049_7235# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X111 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X112 VGND a_13551_8207# _148_/C VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X113 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X114 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X115 VPWR _107_/A a_10961_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X116 VPWR a_11706_6727# _144_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X117 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X118 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X119 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X120 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X121 a[2] chan[2].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X122 a_11575_7338# _093_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X123 a_13691_7338# _125_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X124 a_11062_5639# a_11531_5461# a_11475_5533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X125 VPWR _139_/X a_12447_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X126 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X127 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X128 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X129 chan[2].tgate_inst/A a_14514_9372# a_14873_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X130 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X131 VPWR a_9183_6250# _104_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X132 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X133 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X134 a_12523_8751# _148_/B a_12333_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X135 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X136 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X137 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X138 a_9961_6941# a_9773_6621# a_9879_6941# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X139 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X140 VPWR _125_/A a_13183_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X141 a_14116_9615# _117_/C a_14032_9615# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X142 a_9539_7815# _108_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X143 VGND _117_/C _131_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X144 a_11877_5309# _158_/B a_11777_5309# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X145 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X146 a_12291_7439# a_11999_7119# a_12205_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X147 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X148 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X149 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X150 VPWR a_11506_8751# a_11612_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X151 VPWR a_13622_8751# a_13728_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X152 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X153 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X154 VPWR _148_/C _124_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X155 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X156 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X157 a_12919_7119# _143_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X158 a_10471_6740# _088_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X159 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X160 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X161 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X162 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X163 VGND a_9183_6250# _104_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X164 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X165 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X166 a_9735_9527# _090_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X167 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X168 VPWR _140_/X a_13257_5853# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X169 chan[2].tgate_inst/A _132_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X170 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X171 _091_/A a_11163_9411# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X172 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X173 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X174 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X175 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X176 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X177 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X178 a_11915_6621# _134_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X179 a[8] chan[8].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X180 com chan[10].tgate_inst/A a[10] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X181 VGND _090_/B a_11527_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X182 VGND _121_/A a_8767_6938# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X183 VPWR _107_/A a_9819_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X184 VPWR _154_/B a_11982_5639# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X185 a_10693_5737# _098_/D_N a_10597_5737# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X186 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X187 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X188 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X189 VGND _098_/A a_11010_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X190 VGND a_13694_6263# a_13643_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X191 VGND a_11522_6263# _137_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X192 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X193 VGND _121_/A a_9595_6938# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X194 VGND a_9275_7815# _097_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X195 VGND _101_/X a_10055_3311# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X196 VPWR a_11023_4564# _151_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X197 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X198 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X199 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X200 a_10563_7815# a_10927_7643# a_10862_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X201 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X202 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X203 VPWR _091_/A a_14103_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X204 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X205 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X206 VPWR a_1407_12015# input2/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X207 VGND _125_/A a_11145_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X208 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X209 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X210 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X211 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X212 _116_/A a_9879_9117# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X213 VGND _154_/B a_13311_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X214 _161_/X a_14103_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X215 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X216 chan[11].tgate_inst/A_NOT _148_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X217 VPWR _108_/A a_13257_5853# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X218 chan[10].tgate_inst/A_NOT a_14287_15279# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X219 a_10195_8426# input2/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X220 VPWR _133_/X a_12171_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X221 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X222 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X223 a_13341_7913# _148_/C a_13091_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X224 _132_/C a_12683_6549# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X225 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X226 VGND a_11506_8751# a_11612_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X227 VGND a_13622_8751# a_13728_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X228 a_13091_6575# _140_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X229 VGND a_10099_9385# a_9735_9527# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X230 VGND _132_/A a_14669_8797# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X231 VPWR a_10287_5652# chan[6].tgate_inst/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X232 a[4] chan[4].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X233 VGND _090_/B a_10563_8439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X234 _098_/D_N a_9687_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X235 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X236 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X237 VGND _091_/A a_14103_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X238 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X239 VGND _125_/A a_13183_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X240 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X241 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X242 chan[12].tgate_inst/A a_14103_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X243 VPWR _148_/D_N _126_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X244 _130_/Y _148_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X245 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X246 VPWR _140_/X a_11706_6727# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X247 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X248 a_11322_6575# a_11145_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X249 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X250 VGND a_9595_9114# a_9879_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X251 a_10471_6740# _088_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X252 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X253 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X254 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X255 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X256 a_11522_6263# _158_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X257 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X258 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X259 VPWR a_9880_8439# _108_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X260 _147_/A a_11601_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X261 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X262 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X263 VPWR a_10563_8439# _086_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X264 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X265 a_11705_5309# a_11435_4943# a_11601_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X266 VGND _143_/X a_13091_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X267 VGND _122_/X a_11421_5639# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X268 _131_/Y a_13758_7637# a_13538_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X269 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X270 a_12434_9295# a_12654_9269# chan[4].tgate_inst/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X271 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X272 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X273 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X274 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X275 VPWR _148_/D_N a_11895_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X276 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X277 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X278 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X279 a_9879_6941# _105_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X280 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X281 VPWR a_7987_6740# chan[3].tgate_inst/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X282 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X283 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X284 VGND _133_/X a_12171_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X285 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X286 a_10515_5737# _152_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X287 VPWR a_11062_5639# _142_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X288 VPWR a_9459_6263# _113_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X289 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X290 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X291 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X292 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X293 a_10789_7913# _125_/A a_10698_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X294 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X295 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X296 chan[15].tgate_inst/A_NOT _132_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X297 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X298 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X299 a_15051_8751# _117_/A_N a_14944_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X300 _119_/A a_10799_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X301 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X302 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X303 VGND _098_/D_N a_9459_6263# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X304 a_12175_6549# _143_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X305 a_14177_7439# _148_/C a_14093_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X306 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X307 chan[4].tgate_inst/A a_12654_9269# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X308 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X309 a[3] chan[3].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X310 a_9051_6941# a_8945_6621# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X311 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X312 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X313 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X314 a_10799_7119# a_10693_7439# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X315 input2/X a_1407_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X316 _130_/Y _148_/D_N a_14283_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X317 VPWR _117_/C a_13091_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X318 VGND a_13977_6263# a_13790_6005# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X319 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X320 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X321 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X322 a_11987_9295# _148_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X323 VGND input2/X a_11251_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X324 _153_/A a_12119_7439# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X325 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X326 _103_/X a_9051_6941# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X327 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X328 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X329 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X330 VGND _108_/A a_13533_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X331 VPWR _154_/B a_13091_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X332 VGND _107_/A a_12815_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X333 VPWR a_12815_8207# _148_/B VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X334 VGND a_10287_5652# chan[6].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X335 a_9723_6121# _152_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X336 a_11601_4943# a_11435_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X337 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X338 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X339 a_11049_7235# _098_/B a_10977_7235# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X340 a[1] _087_/X com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X341 a_11375_5533# _140_/X a_11271_5533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X342 chan[12].tgate_inst/A a_14103_12015# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X343 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X344 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X345 VGND _107_/A a_12613_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X346 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X347 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X348 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X349 VGND a_9735_9527# _099_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X350 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X351 a_12083_8751# a_11895_8751# _109_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X352 a_12119_7439# _152_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X353 VPWR _090_/D_N a_10129_6825# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X354 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X355 VGND _148_/C chan[8].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X356 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X357 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X358 VGND _148_/D_N a_11895_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X359 VPWR a_9561_8439# a_9374_8181# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X360 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X361 VPWR a_10195_8426# _090_/D_N VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X362 a_10563_8916# input2/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X363 VPWR a_11667_7828# _105_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X364 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X365 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X366 VGND _148_/C _131_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X367 a_10765_5737# _125_/A a_10693_5737# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X368 a_13367_6575# _140_/X a_13261_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X369 a_11706_6727# a_12065_6727# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X370 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X371 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X372 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X373 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X374 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X375 a_11531_5461# _139_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X376 a_11935_6351# a_11881_6263# a_11835_6351# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X377 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X378 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X379 _148_/B a_12815_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X380 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X381 VPWR a_9735_5162# _114_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X382 a_11605_6575# a_11428_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X383 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X384 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X385 VPWR _105_/A a_9595_9114# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X386 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X387 VPWR _158_/X a_13827_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X388 VGND _152_/C a_12889_6397# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X389 VPWR sel[1] a_1407_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X390 VGND a_7987_6740# chan[3].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X391 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X392 VPWR _155_/A a_13735_10927# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X393 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X394 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X395 a_13783_6740# _093_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X396 chan[15].tgate_inst/A_NOT _132_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X397 a_10563_8916# input2/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X398 VGND a_11982_5639# _150_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X399 a_9735_9527# _098_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X400 VPWR a_13231_6250# _152_/C VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X401 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X402 VGND a_10927_8297# a_10563_8439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X403 VGND input3/X a_10147_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X404 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X405 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X406 chan[4].tgate_inst/A _132_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X407 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X408 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X409 VGND a_8767_6938# a_9051_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X410 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X411 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X412 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X413 a_12613_6031# _140_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X414 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X415 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X416 VPWR _098_/B a_10927_8297# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X417 VPWR _108_/A a_13183_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X418 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X419 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X420 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X421 VGND _155_/A a_13735_10927# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X422 VPWR _132_/A a_14669_8797# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X423 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X424 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X425 a_14223_9615# _148_/D_N a_14116_9615# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X426 VGND a_13758_7637# _131_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X427 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X428 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X429 VPWR _105_/A a_11930_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X430 VGND a_13231_6250# _152_/C VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X431 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X432 a_14860_8751# _117_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X433 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X434 a_10515_5737# _125_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X435 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X436 VPWR _122_/X a_11881_6263# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X437 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X438 VPWR _125_/A a_11413_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X439 VPWR _139_/X a_12723_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X440 a_11062_5639# a_11421_5639# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X441 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X442 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X443 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X444 VPWR _163_/A a_14195_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X445 _158_/B a_11159_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X446 _129_/X a_14195_5487# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X447 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X448 VPWR _125_/A a_11145_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X449 VGND _148_/C chan[2].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X450 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X451 VPWR _148_/D_N a_12249_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X452 chan[15].tgate_inst/A_NOT _117_/C a_14261_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X453 _090_/B a_10607_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X454 a_11777_5309# _133_/X a_11705_5309# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X455 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X456 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X457 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X458 VGND a_11667_7828# _105_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X459 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X460 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X461 a_10597_5737# _152_/C a_10515_5737# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X462 a_14296_6263# sel[3] VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X463 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X464 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X465 _117_/C a_13183_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X466 com chan[2].tgate_inst/A a[2] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X467 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X468 a_11245_9411# a_11057_9411# a_11163_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X469 VPWR a_9183_8916# chan[3].tgate_inst/A_NOT VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X470 VGND a_9735_5162# _114_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X471 VPWR a_12175_6549# a_11706_6727# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X472 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X473 a_11506_8751# a_11329_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X474 VGND _098_/B a_9879_9117# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X475 a_13622_8751# a_13445_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X476 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X477 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X478 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X479 a_10862_7913# _090_/B a_10789_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X480 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X481 VGND _158_/X a_13827_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X482 input3/X a_2695_16911# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X483 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X484 a_12426_8207# a_12249_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X485 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X486 _133_/X a_11527_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X487 VPWR a_13551_8207# _148_/C VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X488 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X489 _109_/Y _117_/A_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X490 VGND _090_/C a_10927_7643# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X491 a[5] _102_/X com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X492 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X493 a_13783_6740# _093_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X494 chan[10].tgate_inst/A_NOT a_14287_15279# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X495 a_9551_10004# _099_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X496 VGND _148_/A chan[8].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X497 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X498 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X499 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X500 VGND a_9819_6005# a_9459_6263# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X501 a[6] _104_/X com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X502 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X503 VGND a_9183_8916# chan[3].tgate_inst/A_NOT VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X504 VPWR _133_/X a_11601_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X505 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X506 com chan[4].tgate_inst/A a[4] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X507 _125_/A a_10826_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X508 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X509 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X510 VPWR _143_/X a_13091_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X511 VPWR _122_/X a_11421_5639# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X512 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X513 a_11340_9411# _090_/C a_11245_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X514 VGND a_13183_8207# _117_/C VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X515 a_14283_8207# _117_/A_N a_14177_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X516 a_11982_5639# _158_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X517 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X518 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X519 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X520 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X521 VGND _132_/C _131_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X522 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X523 com _142_/X a[5] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X524 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X525 VGND a_12426_8207# a_12532_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X526 _139_/X a_11251_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X527 a_12919_7119# _143_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X528 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X529 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X530 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X531 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X532 a_9275_7815# _098_/D_N VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X533 _158_/B a_11159_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X534 VGND _122_/X a_12065_6727# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X535 VGND _116_/A a_14287_15279# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X536 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X537 com chan[6].tgate_inst/A a[6] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X538 VPWR _148_/A a_14514_9372# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X539 VPWR a_10563_7815# _111_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X540 a_11575_7338# _093_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X541 a_13691_7338# _125_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X542 a_11991_6005# _133_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X543 a_9773_8797# _108_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X544 VGND _163_/A a_14195_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X545 _129_/X a_14195_5487# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X546 VPWR a_11531_5461# a_11062_5639# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X547 a_9961_9117# a_9773_8797# a_9879_9117# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X548 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X549 a_11987_9295# _148_/B a_12237_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X550 a_13311_7439# _121_/A a_13211_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X551 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X552 a_12175_6549# _143_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X553 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X554 _109_/Y _117_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X555 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X556 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X557 a_10698_7913# _098_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X558 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X559 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X560 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X561 a_13977_6263# _093_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X562 VGND sel[2] a_2695_16911# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X563 _090_/B a_10607_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X564 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X565 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X566 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X567 a[15] chan[15].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X568 VPWR input3/X a_9319_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X569 a_12119_7439# a_11803_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X570 a_9133_6941# a_8945_6621# a_9051_6941# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X571 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X572 a_13533_5487# _154_/B a_13433_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X573 VPWR _157_/A a_14103_12015# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X574 _148_/A a_11010_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X575 _117_/A_N a_13126_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X576 VPWR _134_/X a_11601_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X577 VPWR _143_/X a_13091_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X578 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X579 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X580 VPWR a_11138_6031# a_11244_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X581 VGND a_1407_12015# input2/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X582 a_12083_8751# _117_/A_N a_12333_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X583 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X584 a_8945_6621# _108_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X585 a_10129_6825# _105_/A a_10057_6825# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X586 a_12219_5162# _147_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X587 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X588 _148_/D_N a_11930_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X589 a_9497_7913# _105_/A a_9410_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X590 _107_/A a_10642_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X591 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X592 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X593 VPWR _098_/B a_9301_6825# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X594 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X595 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X596 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X597 a_11706_6727# _134_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X598 a_9773_6621# _107_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X599 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X600 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X601 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X602 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X603 a_9961_9411# _098_/B a_9870_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X604 VGND a_14514_9372# chan[2].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X605 _109_/Y a_11895_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X606 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X607 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X608 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X609 VPWR a_14669_8797# _126_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X610 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X611 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X612 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X613 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X614 a_11138_6031# a_10961_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X615 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X616 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X617 VGND _117_/A_N a_13841_9615# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X618 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X619 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X620 VGND _121_/A a_13551_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X621 a[9] _114_/X com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X622 a_9551_10004# _099_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X623 a_11789_8751# a_11612_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X624 a_13905_8751# a_13728_8751# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X625 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X626 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X627 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X628 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X629 a_11531_5461# _139_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X630 a_11421_6031# a_11244_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X631 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X632 a_9879_9117# a_9773_8797# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X633 a_12709_8207# a_12532_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X634 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X635 _161_/A a_13257_5853# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X636 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X637 a_8631_7828# _086_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X638 VGND _088_/A a_10642_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X639 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X640 a_9229_6825# a_8767_6938# a_9133_6941# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X641 a_10287_5652# _144_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X642 _091_/A a_11163_9411# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X643 _139_/X a_11251_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X644 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X645 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X646 VGND _148_/A a_14514_9372# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X647 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X648 _163_/A a_13091_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X649 _155_/A a_12337_8029# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X650 a_11023_4564# _150_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X651 a_13173_6575# _139_/X a_13091_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X652 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X653 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X654 a_10034_9411# _090_/C a_9961_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X655 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X656 _148_/C a_13551_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X657 a_12337_8029# a_12171_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X658 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X659 VGND _107_/A a_10961_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X660 _098_/D_N a_9687_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X661 VGND _121_/A a_10515_7122# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X662 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X663 VGND _098_/D_N a_10099_9385# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X664 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X665 VGND a_12683_6549# _132_/C VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X666 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X667 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X668 com chan[3].tgate_inst/A a[3] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X669 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X670 VGND _139_/X a_12447_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X671 chan[10].tgate_inst/A a_14103_11471# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X672 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X673 a_10563_8439# _090_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X674 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X675 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X676 VGND a_9278_8439# a_9227_8207# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X677 VPWR a_11999_7119# a_12119_7439# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X678 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X679 VGND a_14296_6263# _093_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X680 VPWR _161_/A a_14103_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X681 VGND _157_/A a_14103_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X682 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X683 VGND a_11322_6575# a_11428_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X684 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X685 a_12219_5162# _147_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X686 VPWR _111_/A a_10055_15823# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X687 VGND _132_/A _130_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X688 a_9735_9527# a_10099_9385# a_10034_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X689 a_14032_9615# _148_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X690 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X691 _124_/Y _132_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X692 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X693 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X694 a_11271_5533# _158_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X695 VPWR a_11575_7338# _090_/C VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X696 VPWR a_13691_7338# _143_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X697 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X698 a_11835_6351# _134_/X a_11731_6351# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X699 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X700 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X701 _124_/Y a_14025_8797# a_14407_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X702 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X703 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X704 a_13361_5487# a_13091_5487# a_13257_5853# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X705 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X706 VPWR _117_/A_N a_12654_9269# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X707 a_10881_7119# a_10693_7439# a_10799_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X708 a_11413_9411# _090_/B a_11340_9411# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X709 _101_/X a_9879_6941# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X710 a_12683_6549# _122_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X711 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X712 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X713 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X714 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X715 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X716 VPWR a_13694_6263# a_13643_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X717 VPWR a_11522_6263# _137_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X718 a_13341_7913# _132_/C a_13538_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X719 a_12434_9295# _132_/C a_12237_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X720 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X721 VPWR _107_/A a_12815_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X722 a_12441_7663# a_12171_7663# a_12337_8029# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X723 VPWR a_10471_6740# _098_/B VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X724 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X725 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X726 _157_/A a_13039_7439# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X727 _147_/A a_11601_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X728 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X729 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X730 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X731 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X732 VPWR _154_/B a_13039_7439# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X733 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X734 a_8631_7828# _086_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X735 a[14] _126_/Y com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X736 a_14407_8751# _148_/B a_14300_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X737 _140_/X a_10147_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X738 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X739 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X740 a_11999_7119# _133_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X741 a_10287_5652# _144_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X742 VGND _132_/A a_13758_7637# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X743 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X744 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X745 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X746 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X747 a[10] chan[10].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X748 a_12213_10089# a_12143_9991# chan[8].tgate_inst/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X749 VGND a_12654_9269# chan[4].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X750 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X751 _142_/X a_10791_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X752 a_12395_5533# a_12341_5639# a_12295_5533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X753 VPWR a_2695_16911# input3/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X754 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X755 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X756 VPWR _158_/B a_12613_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X757 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X758 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X759 a_13091_7913# _117_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X760 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X761 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X762 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X763 a_13694_6263# a_13790_6005# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X764 a_12237_9295# _148_/B a_11987_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X765 _148_/B a_12815_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X766 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X767 com _131_/Y a[1] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X768 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X769 VPWR _140_/X a_11062_5639# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X770 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X771 VGND _125_/A a_10563_7815# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X772 com _161_/X a[14] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X773 _158_/X a_12613_6031# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X774 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X775 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X776 a_10563_8439# _098_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X777 a_11667_7828# _093_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X778 a_13125_7439# a_12723_7119# a_13039_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X779 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X780 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X781 _109_/Y _148_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X782 a_14093_8207# _148_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X783 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X784 VGND sel[1] a_1407_12015# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X785 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X786 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X787 a_9569_7913# a_9539_7815# a_9497_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X788 VPWR _098_/A a_11251_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X789 VGND _161_/A a_14103_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X790 VGND _111_/A a_10055_15823# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X791 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X792 a_14300_8751# _148_/C a_14216_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X793 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X794 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X795 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X796 VGND a_10195_8426# _090_/D_N VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X797 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X798 _129_/A a_10515_5737# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X799 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X800 VGND _134_/X a_12391_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X801 _088_/A a_10055_2223# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X802 VPWR _122_/X a_12065_6727# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X803 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X804 VGND _117_/C a_12523_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X805 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X806 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X807 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X808 VGND _148_/A chan[4].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X809 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X810 VPWR input3/X a_9687_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X811 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X812 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X813 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X814 VPWR a_9275_7815# _097_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X815 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X816 a_11522_6263# a_11991_6005# a_11935_6351# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X817 a_9723_6121# _152_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X818 VGND a_11706_6727# _144_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X819 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X820 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X821 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X822 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X823 a_13257_5853# _154_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X824 VGND a_9595_6938# a_9879_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X825 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X826 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X827 VGND a_10471_6740# _098_/B VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X828 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X829 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X830 _119_/A a_10799_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X831 VPWR _116_/A a_14287_15279# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X832 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X833 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X834 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X835 _116_/A a_9879_9117# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X836 VPWR _148_/C chan[15].tgate_inst/A_NOT VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X837 a[13] _124_/Y com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X838 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X839 a_12337_8029# _134_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X840 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X841 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X842 VGND _098_/B a_9735_9527# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X843 _132_/C a_12683_6549# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X844 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X845 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X846 _142_/X a_10791_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X847 VPWR a_13977_6263# a_13790_6005# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X848 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X849 a_9051_6941# _105_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X850 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X851 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X852 _153_/A a_12119_7439# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X853 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X854 a_11982_5639# a_12451_5461# a_12395_5533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X855 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X856 VGND _105_/A a_9595_9114# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X857 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X858 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X859 a_14693_9295# _132_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X860 a_9278_8439# a_9374_8181# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X861 a_9870_9411# _098_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X862 VPWR _148_/A a_11987_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X863 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X864 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X865 a_11163_9411# _090_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X866 a_10563_8439# a_10927_8297# a_10862_8323# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X867 a_11322_6575# a_11145_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X868 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X869 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X870 a_11667_7828# _093_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X871 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X872 a_13211_7439# a_12919_7119# a_13125_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X873 VPWR _122_/X a_11435_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X874 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X875 a_14944_8751# _148_/D_N a_14860_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X876 a_9183_6250# _103_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X877 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X878 VGND _098_/A a_11251_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X879 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X880 _132_/A a_13183_9295# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X881 a_10057_9001# a_9595_9114# a_9961_9117# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X882 a_9539_7815# _108_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X883 VPWR _121_/A a_8767_6938# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X884 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X885 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X886 a_10693_7439# _152_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X887 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X888 VGND _134_/X a_11877_5309# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X889 a_13433_5487# _140_/X a_13361_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X890 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X891 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X892 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X893 VPWR _121_/A a_9595_6938# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X894 _088_/A a_10055_2223# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X895 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X896 a_13091_6575# _139_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X897 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X898 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X899 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X900 a_9880_8439# input2/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X901 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X902 a_12613_6031# a_12447_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X903 a_11522_6263# a_11881_6263# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X904 a_9183_6250# _103_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X905 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X906 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X907 a_11057_9411# _090_/D_N VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X908 VPWR a_13841_9615# chan[11].tgate_inst/A_NOT VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X909 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X910 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X911 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X912 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X913 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X914 VPWR a_13183_8207# _117_/C VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X915 com chan[8].tgate_inst/A a[8] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X916 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X917 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X918 a_12613_7663# _134_/X a_12513_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X919 _133_/X a_11527_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X920 _131_/Y _148_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X921 VPWR a_12426_8207# a_12532_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X922 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X923 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X924 VPWR a_11322_6575# a_11428_6575# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X925 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X926 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X927 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X928 VPWR a_10563_8916# _098_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X929 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X930 a_11023_4564# _150_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X931 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X932 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X933 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=2.89e+06u
+X934 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X935 VPWR a_9551_10004# chan[4].tgate_inst/A_NOT VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X936 VGND a_10927_7643# a_10563_7815# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X937 _103_/X a_9051_6941# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X938 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X939 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X940 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X941 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X942 chan[12].tgate_inst/A_NOT a_12723_12559# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X943 chan[10].tgate_inst/A a_14103_11471# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X944 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X945 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X946 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X947 a_13257_5853# a_13091_5487# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X948 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X949 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X950 a_12889_6397# _140_/X a_12789_6397# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X951 com _151_/X a[9] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X952 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X953 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X954 a_10789_8323# _090_/B a_10698_8323# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X955 VPWR a_13783_6740# _122_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X956 a_10799_7119# _098_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X957 a_9681_6147# _098_/D_N a_9594_6147# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X958 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X959 VGND a_10563_8916# _098_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X960 _131_/Y a_13758_7637# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X961 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X962 _121_/A a_9319_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X963 VGND _143_/X a_11803_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X964 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X965 VGND _105_/A a_9275_7815# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X966 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X967 VPWR _117_/C chan[11].tgate_inst/A_NOT VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X968 _134_/X a_11251_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X969 VPWR _121_/A a_10515_7122# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X970 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X971 VGND _122_/X a_11881_6263# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X972 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X973 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X974 VPWR _117_/C chan[15].tgate_inst/A_NOT VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X975 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X976 _132_/A a_13183_9295# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X977 _126_/Y _117_/A_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X978 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X979 VPWR _117_/A_N a_13445_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X980 a_13091_7913# _148_/C a_13341_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X981 VGND input3/X a_9319_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X982 VGND a_11062_5639# _142_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X983 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X984 com chan[15].tgate_inst/A a[15] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X985 VPWR _117_/C _109_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X986 a_9561_8439# _108_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X987 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X988 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X989 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X990 VGND _153_/A a_14103_11471# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X991 VPWR _121_/A a_13551_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X992 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X993 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X994 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X995 VGND _133_/X a_12341_5639# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X996 a_11605_6575# a_11428_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X997 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X998 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X999 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1000 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1001 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1002 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1003 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1004 a_14873_9295# _132_/C a_14777_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1005 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1006 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1007 a_12297_10089# _148_/C a_12213_10089# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1008 a_12333_8751# _148_/B a_12523_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1009 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1010 VPWR _129_/A a_14195_5487# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1011 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1012 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1013 _131_/Y _117_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1014 a_10977_7235# a_10515_7122# a_10881_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1015 VPWR a_11982_5639# _150_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1016 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1017 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1018 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1019 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1020 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1021 VPWR _117_/A_N a_13841_9615# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1022 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1023 VPWR _088_/A a_11159_4943# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1024 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1025 VGND _148_/B chan[4].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1026 VPWR input3/X a_10607_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1027 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1028 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1029 VPWR sel[0] a_10055_2223# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1030 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1031 a_9879_9117# _098_/D_N VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1032 a[7] _109_/Y com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X1033 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1034 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1035 VPWR a_11895_8751# _109_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1036 _148_/C a_13551_8207# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1037 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1038 a_8945_6621# _108_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1039 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1040 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1041 VGND _107_/A a_9635_7637# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1042 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1043 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1044 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1045 a_11731_6351# _158_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1046 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1047 VGND a_9880_8439# _108_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1048 a_13538_7913# a_13758_7637# _131_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1049 a_9773_6621# _107_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1050 VGND _090_/D_N a_9879_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1051 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1052 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1053 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1054 VGND a_10563_8439# _086_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1055 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1056 chan[12].tgate_inst/A_NOT a_12723_12559# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1057 VGND a_10515_7122# a_10799_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1058 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1059 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1060 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1061 a_10693_7439# _152_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1062 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1063 VGND _117_/A_N a_13445_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1064 com _147_/X a[7] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X1065 VPWR _090_/B a_11527_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1066 VPWR _090_/C a_10927_7643# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1067 a_12295_5533# _154_/B a_12191_5533# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1068 VGND a_13783_6740# _122_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1069 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1070 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1071 VGND a_9551_10004# chan[4].tgate_inst/A_NOT VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1072 VGND _090_/C a_11163_9411# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1073 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1074 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1075 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1076 _154_/B a_11895_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1077 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1078 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1079 a_13039_7439# _121_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1080 VGND a_9459_6263# _113_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1081 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1082 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1083 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1084 VPWR _090_/B a_13126_8751# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1085 a_9459_6263# a_9723_6121# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1086 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1087 a_14261_7439# _132_/A a_14177_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1088 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1089 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1090 a_11991_6005# _133_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1091 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1092 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1093 a_12717_6397# a_12447_6031# a_12613_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1094 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1095 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1096 input3/X a_2695_16911# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1097 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1098 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1099 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1100 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1101 a_9275_7815# a_9635_7637# a_9569_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1102 a_12451_5461# _139_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1103 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1104 VGND _148_/A a_14025_8797# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1105 a_10195_8426# input2/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1106 VGND _148_/D_N _130_/Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1107 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1108 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1109 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1110 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1111 a_11506_8751# a_11329_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1112 a_13622_8751# a_13445_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1113 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1114 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1115 VPWR _098_/D_N a_10099_9385# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1116 VGND a_11575_7338# _090_/C VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1117 a_11062_5639# _158_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1118 _107_/A a_10642_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1119 VGND a_13691_7338# _143_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1120 VGND _129_/A a_14195_5487# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1121 VGND a_11138_6031# a_11244_6031# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1122 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1123 VGND _088_/A a_11159_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1124 _126_/Y _117_/C VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1125 _130_/Y _117_/A_N VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1126 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1127 a_11999_7119# _133_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1128 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1129 a_9735_5162# _113_/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1130 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1131 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1132 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1133 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1134 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1135 VGND input3/X a_10607_9295# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1136 a_12333_8751# _117_/A_N a_12083_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1137 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1138 VGND sel[0] a_10055_2223# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1139 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1140 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1141 _159_/X a_13827_4943# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1142 a_11138_6031# a_10961_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1143 a_12019_6621# _140_/X a_11915_6621# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1144 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1145 a_7987_6740# _137_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1146 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1147 a_12391_7439# _152_/C a_12291_7439# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1148 VPWR _152_/C a_12613_6031# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1149 VGND a_9561_8439# a_9374_8181# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1150 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1151 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1152 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1153 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1154 a_12393_10089# _148_/B a_12297_10089# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1155 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.97e+06u
+X1156 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1157 a_13538_7913# _132_/C a_13341_7913# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1158 VPWR a_12219_5162# _147_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1159 a_13231_6250# _093_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1160 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1161 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1162 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1163 chan[4].tgate_inst/A a_12654_9269# a_12434_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1164 a_10862_8323# _090_/C a_10789_8323# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1165 _140_/X a_10147_7119# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1166 a_9753_6147# a_9723_6121# a_9681_6147# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1167 VGND _090_/B a_13126_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1168 a_9410_7913# _098_/D_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1169 VGND _122_/X a_11435_4943# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1170 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1171 a_9301_6825# _105_/A a_9229_6825# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1172 _102_/X a_10055_3311# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1173 VPWR _098_/B a_10129_9001# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1174 VGND _088_/A a_10826_6575# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1175 a_11601_4943# _158_/B VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1176 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1177 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1178 VPWR _154_/B a_12337_8029# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1179 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1180 a_11982_5639# a_12341_5639# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1181 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1182 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1183 VGND _117_/A_N a_12654_9269# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1184 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1185 _154_/B a_11895_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1186 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1187 VGND a_9635_7637# a_9275_7815# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1188 a_13231_6250# _093_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1189 a_9459_6263# _090_/D_N VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1190 chan[2].tgate_inst/A_NOT a_14103_10927# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1191 com _159_/X a[13] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X1192 a_14093_7439# _132_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1193 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1194 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1195 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1196 VGND _132_/C chan[4].tgate_inst/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1197 VPWR a_9735_9527# _099_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1198 chan[15].tgate_inst/A a_14195_7663# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1199 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1200 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1201 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1202 VPWR a_9278_8439# a_9227_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1203 VPWR _090_/C a_11895_7663# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1204 VPWR a_14296_6263# _093_/A VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1205 a_9879_6941# a_9773_6621# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1206 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1207 com chan[11].tgate_inst/A a[11] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X1208 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1209 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1210 VPWR a_8631_7828# _087_/X VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1211 a_9594_6147# _090_/D_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1212 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1213 a_10563_7815# _090_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1214 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1215 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1216 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1217 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1218 _148_/A a_11010_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1219 _117_/A_N a_13126_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1220 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1221 VPWR _148_/B _109_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1222 a_14177_8207# _132_/A a_14093_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1223 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1224 VGND a_11023_4564# _151_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1225 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1226 _125_/A a_10826_6575# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1227 VGND _090_/D_N a_10515_5737# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1228 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1229 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1230 VPWR input2/X a_11251_8207# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1231 VPWR _107_/A a_12337_8029# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1232 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1233 chan[2].tgate_inst/A_NOT a_14103_10927# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1234 VGND input3/X a_9687_7119# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1235 chan[8].tgate_inst/A_NOT a_10055_15823# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1236 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1237 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1238 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1239 a_9183_8916# _097_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1240 a_9735_5162# _113_/X VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1241 VPWR _134_/X a_11522_6263# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1242 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1243 a_10698_8323# _098_/A VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1244 VPWR _132_/A a_13758_7637# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1245 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1246 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1247 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.97e+06u
+X1248 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1249 _109_/Y a_11895_8751# a_12083_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1250 a_12523_8751# _117_/C VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1251 _159_/X a_13827_4943# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1252 a[11] chan[11].tgate_inst/A_NOT com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X1253 chan[8].tgate_inst/A a_12143_9991# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1254 chan[4].tgate_inst/A _148_/B VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1255 _126_/Y a_14669_8797# a_15051_8751# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1256 a_12513_7663# _154_/B a_12441_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1257 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1258 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1259 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1260 VGND a_10563_7815# _111_/A VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1261 a_7987_6740# _137_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1262 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1263 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=1.05e+06u
+X1264 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1265 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1266 a_9278_8439# a_9374_8181# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1267 a_14296_6263# sel[3] VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1268 a_11789_8751# a_11612_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1269 a_13905_8751# a_13728_8751# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1270 VPWR _107_/A a_9635_7637# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1271 VGND a_12219_5162# _147_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1272 VPWR _133_/X a_12341_5639# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1273 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1274 _157_/A a_13039_7439# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1275 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=590000u
+X1276 input2/X a_1407_12015# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1277 a_12237_9295# _132_/C a_12434_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1278 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1279 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1280 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1281 a[0] _129_/X com VPWR sky130_fd_pr__pfet_01v8 ad=7.168e+11p pd=5.68e+06u as=0p ps=0u w=2.56e+06u l=150000u
+X1282 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1283 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1284 a_9183_8916# _097_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1285 _163_/A a_13091_6575# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1286 VGND a_12815_8207# _148_/B VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1287 a_12119_6621# a_12065_6727# a_12019_6621# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1288 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1289 a_9773_8797# _108_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1290 VPWR _117_/A_N _109_/Y VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1291 _102_/X a_10055_3311# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1292 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1293 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1294 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1295 VPWR sel[2] a_2695_16911# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1296 chan[11].tgate_inst/A_NOT _148_/D_N VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1297 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1298 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=2.89e+06u
+X1299 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1300 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1301 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1302 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1303 VPWR a_12451_5461# a_11982_5639# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1304 a_12789_6397# _158_/B a_12717_6397# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1305 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1306 VPWR a_12683_6549# _132_/C VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1307 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1308 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=590000u
+X1309 VGND _098_/B a_10927_8297# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1310 a_12426_8207# a_12249_8207# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X1311 VPWR _119_/A a_12723_12559# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1312 VPWR _153_/A a_14103_11471# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+X1313 a_9880_8439# input2/X VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1314 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1315 a_9459_6263# a_9819_6005# a_9753_6147# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1316 VGND _098_/B a_9051_6941# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1317 com _130_/Y a[0] VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=7.168e+11p ps=5.68e+06u w=2.56e+06u l=150000u
+X1318 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1319 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1320 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=1.05e+06u
+X1321 _158_/X a_12613_6031# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1322 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1323 a_14777_9295# _148_/C a_14693_9295# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X1324 chan[15].tgate_inst/A a_14195_7663# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1325 a_13039_7439# a_12723_7119# VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1326 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1327 a_13694_6263# a_13790_6005# VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1328 VPWR VGND VPWR VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=870000u l=4.73e+06u
+X1329 VGND _125_/A a_11163_9411# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1330 VGND _090_/C a_11895_7663# VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1331 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1332 VGND VPWR VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=550000u l=4.73e+06u
+X1333 VGND a_8631_7828# _087_/X VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=520000u l=150000u
+X1334 a_10563_7815# _098_/A VGND VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1335 VPWR input3/X a_10147_7119# VPWR sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=790000u l=150000u
+C0 VPWR a[1] 10.50fF
+C1 _109_/Y VPWR 12.07fF
+C2 _117_/A_N _148_/D_N 2.66fF
+C3 _093_/A VPWR 4.03fF
+C4 _090_/C _090_/B 2.28fF
+C5 _122_/X _158_/B 2.62fF
+C6 VPWR a[15] 6.06fF
+C7 VPWR chan[10].tgate_inst/A_NOT 2.28fF
+C8 VPWR a[11] 2.35fF
+C9 VPWR _143_/X 6.48fF
+C10 _098_/D_N VPWR 6.24fF
+C11 a[15] com 2.16fF
+C12 VPWR _090_/D_N 5.68fF
+C13 VPWR _114_/X 9.16fF
+C14 VPWR _133_/X 3.99fF
+C15 a[3] VPWR 4.59fF
+C16 _117_/A_N _117_/C 3.03fF
+C17 _090_/C VPWR 5.00fF
+C18 _148_/B _148_/A 2.49fF
+C19 VPWR _090_/B 7.69fF
+C20 _108_/A chan[4].tgate_inst/A 3.25fF
+C21 _155_/A VPWR 2.94fF
+C22 _139_/X VPWR 6.58fF
+C23 VPWR chan[12].tgate_inst/A 2.24fF
+C24 _152_/C VPWR 8.30fF
+C25 VPWR chan[11].tgate_inst/A 4.02fF
+C26 _121_/A VPWR 7.36fF
+C27 _134_/X _133_/X 2.03fF
+C28 VPWR _132_/A 6.02fF
+C29 a[3] com 4.79fF
+C30 _132_/C _148_/C 2.38fF
+C31 _148_/C _148_/D_N 2.22fF
+C32 _159_/X VPWR 2.94fF
+C33 _152_/C _134_/X 2.01fF
+C34 _098_/A _105_/A 2.08fF
+C35 VPWR a[13] 7.12fF
+C36 VPWR input3/X 25.33fF
+C37 _126_/Y VPWR 3.02fF
+C38 VPWR _151_/X 10.28fF
+C39 VPWR _157_/A 4.03fF
+C40 VPWR _134_/X 4.33fF
+C41 VPWR com 35.74fF
+C42 a[8] VPWR 8.72fF
+C43 _117_/C _148_/C 2.07fF
+C44 VPWR _091_/A 6.28fF
+C45 VPWR _142_/X 2.58fF
+C46 chan[6].tgate_inst/A a[14] 5.53fF
+C47 VPWR _130_/Y 4.34fF
+C48 VPWR _129_/A 2.81fF
+C49 a[3] a[4] 2.05fF
+C50 sel[3] VPWR 3.79fF
+C51 _098_/D_N _107_/A 2.02fF
+C52 _122_/X VPWR 2.81fF
+C53 VPWR a[12] 7.09fF
+C54 chan[8].tgate_inst/A VPWR 4.77fF
+C55 VPWR _148_/A 11.02fF
+C56 _139_/X _108_/A 2.37fF
+C57 VPWR _116_/A 9.22fF
+C58 _117_/A_N _148_/B 2.29fF
+C59 VPWR a[6] 6.09fF
+C60 _132_/C VPWR 8.74fF
+C61 VPWR _148_/D_N 5.78fF
+C62 a[4] VPWR 7.75fF
+C63 _088_/A VPWR 5.47fF
+C64 _124_/Y VPWR 7.23fF
+C65 _108_/A VPWR 8.20fF
+C66 _124_/Y _126_/Y 2.43fF
+C67 _087_/X chan[4].tgate_inst/A 2.06fF
+C68 a[7] VPWR 11.72fF
+C69 a[4] com 2.07fF
+C70 _147_/X VPWR 4.04fF
+C71 _107_/A VPWR 4.03fF
+C72 VPWR _125_/A 9.93fF
+C73 VPWR _117_/C 8.04fF
+C74 VPWR _119_/A 4.19fF
+C75 VPWR chan[12].tgate_inst/A_NOT 2.23fF
+C76 VPWR _105_/A 9.22fF
+C77 _101_/X VPWR 2.41fF
+C78 _155_/A _153_/A 2.48fF
+C79 VPWR chan[11].tgate_inst/A_NOT 5.84fF
+C80 _129_/X VPWR 2.42fF
+C81 _086_/X VPWR 3.41fF
+C82 input2/X _109_/Y 2.19fF
+C83 _131_/Y VPWR 24.94fF
+C84 _153_/A VPWR 3.33fF
+C85 chan[11].tgate_inst/A_NOT com 4.74fF
+C86 VPWR _140_/X 6.34fF
+C87 _129_/X com 2.30fF
+C88 chan[6].tgate_inst/A VPWR 9.46fF
+C89 VPWR _104_/X 4.93fF
+C90 _111_/A VPWR 5.43fF
+C91 _117_/A_N VPWR 4.93fF
+C92 _111_/A input3/X 6.35fF
+C93 VPWR a[9] 9.25fF
+C94 VPWR a[2] 5.08fF
+C95 _088_/A _147_/X 2.20fF
+C96 VPWR _154_/B 2.78fF
+C97 VPWR a[14] 23.78fF
+C98 VPWR chan[4].tgate_inst/A 9.27fF
+C99 chan[10].tgate_inst/A VPWR 6.57fF
+C100 _158_/B VPWR 2.28fF
+C101 _093_/A _143_/X 2.16fF
+C102 VPWR _098_/B 6.60fF
+C103 chan[4].tgate_inst/A_NOT VPWR 4.66fF
+C104 VPWR _098_/A 4.04fF
+C105 _161_/A VPWR 2.30fF
+C106 input2/X VPWR 8.71fF
+C107 _122_/X _140_/X 3.88fF
+C108 _163_/A VPWR 2.17fF
+C109 _148_/C _132_/A 2.37fF
+C110 VPWR _087_/X 4.06fF
+C111 a[5] com 4.43fF
+C112 chan[3].tgate_inst/A_NOT VPWR 6.06fF
+C113 VPWR _148_/B 3.21fF
+C114 VPWR _158_/X 3.27fF
+C115 _107_/A _105_/A 2.20fF
+C116 VPWR chan[3].tgate_inst/A 4.46fF
+C117 VPWR _148_/C 3.50fF
+C118 chan[6].tgate_inst/A a[6] 5.01fF
+C119 a[0] VGND 3.82fF
+C120 a[5] VGND 5.19fF
+C121 a[13] VGND 12.04fF
+C122 _151_/X VGND 4.83fF 
+C123 a[9] VGND 8.51fF
+C124 _147_/X VGND 6.30fF 
+C125 _129_/X VGND 3.22fF 
+C126 a[14] VGND 7.77fF
+C127 sel[3] VGND 5.52fF
+C128 _158_/B VGND 3.41fF 
+C129 chan[6].tgate_inst/A VGND 6.40fF 
+C130 a[6] VGND 5.40fF
+C131 _104_/X VGND 3.28fF 
+C132 _161_/X VGND 3.39fF 
+C133 _122_/X VGND 4.37fF 
+C134 _088_/A VGND 3.23fF 
+C135 _137_/A VGND 3.07fF 
+C136 _140_/X VGND 3.67fF 
+C137 a[15] VGND 7.09fF
+C138 chan[15].tgate_inst/A_NOT VGND 2.26fF 
+C139 chan[15].tgate_inst/A VGND 2.31fF 
+C140 _131_/Y VGND 2.62fF 
+C141 _154_/B VGND 5.54fF 
+C142 _093_/A VGND 2.25fF 
+C143 a[1] VGND 2.62fF
+C144 _087_/X VGND 3.81fF 
+C145 _130_/Y VGND 7.85fF 
+C146 _134_/X VGND 2.52fF 
+C147 _107_/A VGND 6.24fF 
+C148 _126_/Y VGND 3.20fF 
+C149 _124_/Y VGND 3.04fF 
+C150 _109_/Y VGND 8.62fF 
+C151 _105_/A VGND 2.45fF 
+C152 _117_/A_N VGND 2.39fF 
+C153 chan[3].tgate_inst/A VGND 8.31fF 
+C154 _117_/C VGND 3.77fF 
+C155 _090_/D_N VGND 3.96fF 
+C156 a[3] VGND 7.62fF
+C157 chan[3].tgate_inst/A_NOT VGND 3.04fF 
+C158 _148_/D_N VGND 4.08fF 
+C159 _148_/B VGND 5.91fF 
+C160 _148_/C VGND 5.35fF 
+C161 _099_/A VGND 2.14fF 
+C162 chan[4].tgate_inst/A VGND 12.35fF 
+C163 a[4] VGND 11.97fF
+C164 chan[4].tgate_inst/A_NOT VGND 8.58fF 
+C165 chan[2].tgate_inst/A VGND 2.87fF 
+C166 a[2] VGND 4.46fF
+C167 input2/X VGND 10.30fF 
+C168 a[12] VGND 7.79fF
+C169 chan[12].tgate_inst/A_NOT VGND 5.05fF 
+C170 chan[11].tgate_inst/A VGND 5.24fF 
+C171 a[11] VGND 3.92fF
+C172 chan[11].tgate_inst/A_NOT VGND 3.35fF 
+C173 chan[10].tgate_inst/A VGND 5.03fF 
+C174 com VGND 34.55fF
+C175 a[10] VGND 2.81fF
+C176 a[8] VGND 6.69fF
+C177 chan[8].tgate_inst/A_NOT VGND 2.18fF 
+C178 VPWR VGND 1662.16fF"}
+C {devices/iopin.sym} -310 -140 0 0 {name=p1 lab=VPWR}
+C {devices/iopin.sym} -310 -110 0 0 {name=p2 lab=VGND}
+C {devices/iopin.sym} -310 -80 0 0 {name=p3 lab=com}
+C {devices/ipin.sym} -260 -40 0 0 {name=p4 lab=sel[0]}
+C {devices/ipin.sym} -260 -20 0 0 {name=p5 lab=sel[1] dir=input}
+C {devices/ipin.sym} -260 20 0 0 {name=p6 lab=sel[2]}
+C {devices/ipin.sym} -260 40 0 0 {name=p7 lab=sel[3]}
diff --git a/xschem/amux.sym b/xschem/amux.sym
new file mode 100644
index 0000000..a6963bb
--- /dev/null
+++ b/xschem/amux.sym
@@ -0,0 +1,91 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"}
+V {}
+S {}
+E {}
+L 4 -120 -140 -120 100 {}
+L 4 -120 160 100 160 {}
+L 4 100 -140 100 100 {}
+L 4 -120 -180 100 -180 {}
+L 4 -120 -180 -120 -140 {}
+L 4 100 -180 100 -140 {}
+L 4 100 100 100 160 {}
+L 4 -120 100 -120 160 {}
+L 4 100 -155 160 -155 {}
+L 4 100 -135 160 -135 {}
+L 4 100 -115 160 -115 {}
+L 4 100 -95 160 -95 {}
+L 4 100 -75 160 -75 {}
+L 4 100 -55 160 -55 {}
+L 4 100 -35 160 -35 {}
+L 4 100 -15 160 -15 {}
+L 4 100 5 160 5 {}
+L 4 100 25 160 25 {}
+L 4 100 45 160 45 {}
+L 4 100 65 160 65 {}
+L 4 100 85 160 85 {}
+L 4 100 105 160 105 {}
+L 4 100 125 160 125 {}
+L 4 100 145 160 145 {}
+L 4 -45 -220 -45 -180 {}
+L 4 -25 -220 -25 -180 {}
+L 4 -5 -220 -5 -180 {}
+L 4 15 -220 15 -180 {}
+L 4 -100 -220 -100 -180 {}
+L 4 -85 160 -85 200 {}
+L 4 -175 -125 -120 -125 {}
+B 5 160 -100 170 -90 {name=a[3]
+dir=inout}
+B 5 160 -80 170 -70 {name=a[4]
+net=a[4]
+dir=inout}
+B 5 160 -60 170 -50 {name=a[5]
+dir=inout}
+B 5 160 -40 170 -30 {name=a[6]
+dir=inout}
+B 5 160 -20 170 -10 {name=a[7]
+dir=inout}
+B 5 160 0 170 10 {name=a[8]
+dir=inout}
+B 5 160 20 170 30 {name=a[9]
+dir=inout}
+B 5 160 40 170 50 {name=a[10]
+dir=inout}
+B 5 160 -120 170 -110 {name=a[2]
+dir=inout}
+B 5 160 -140 170 -130 {name=a[1]
+dir=inout}
+B 5 160 60 170 70 {name=a[11]
+dir=inout}
+B 5 160 80 170 90 {name=a[12]
+dir=inout}
+B 5 160 100 170 110 {name=a[13]
+dir=inout}
+B 5 160 -160 170 -150 {name=a[0]
+dir=inout}
+B 5 160 120 170 130 {name=a[14]
+dir=inout}
+B 5 160 140 170 150 {name=a[15]
+dir=inout}
+B 5 -30 -230 -20 -220 {name=sel[1]
+dir=input}
+B 5 10 -230 20 -220 {name=sel[3]
+dir=input}
+B 5 -10 -230 0 -220 {name=sel[2]
+dir=input}
+B 5 -50 -230 -40 -220 {name=sel[0]
+dir=ipin}
+B 5 -105 -230 -95 -220 {name=VPWR
+dir=inout}
+B 5 -90 200 -80 210 {name=VGND
+dir=inout}
+B 5 -185 -130 -175 -120 {name=com
+dir=inout}
+T {com} -110 -140 0 0 0.4 0.4 {}
+T {VDD} -110 -170 0 0 0.4 0.4 {}
+T {sel[0],sel[1],sel[2],sel[3]} -60 -180 0 0 0.2 0.2 {}
+T {GND} -110 130 0 0 0.4 0.4 {}
+T {a[15]...a[0]} 60 60 3 0 0.4 0.4 {}
diff --git a/xschem/hv_tgate.sch b/xschem/hv_tgate.sch
new file mode 100644
index 0000000..e4cc9fc
--- /dev/null
+++ b/xschem/hv_tgate.sch
@@ -0,0 +1,144 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 350 -430 350 -220 { lab=A}
+N 410 -430 410 -220 { lab=B}
+N 380 -430 380 -370 { lab=VHVPWR}
+N 380 -270 380 -220 { lab=VGND}
+N 380 -270 450 -270 { lab=VGND}
+N 380 -510 380 -470 { lab=pgate}
+N 290 -180 380 -180 { lab=pgate}
+N -190 -420 -190 -400 { lab=VGND}
+N -190 -400 -30 -400 { lab=VGND}
+N -30 -420 -30 -400 { lab=VGND}
+N -190 -600 -190 -480 { lab=pgate}
+N -30 -600 -30 -480 { lab=pgate}
+N -150 -630 -120 -630 { lab=pgate}
+N -120 -630 -120 -580 { lab=pgate}
+N -120 -580 -30 -580 { lab=pgate}
+N -110 -630 -70 -630 { lab=pgate}
+N -190 -570 -110 -570 { lab=pgate}
+N -110 -630 -110 -570 { lab=pgate}
+N -190 -690 -190 -660 { lab=VHVPWR}
+N -190 -690 -30 -690 { lab=VHVPWR}
+N -30 -690 -30 -660 { lab=VHVPWR}
+N -200 -630 -190 -630 { lab=VHVPWR}
+N -200 -660 -200 -630 { lab=VHVPWR}
+N -200 -660 -190 -660 { lab=VHVPWR}
+N -30 -630 -20 -630 { lab=VHVPWR}
+N -20 -660 -20 -630 { lab=VHVPWR}
+N -30 -660 -20 -660 { lab=VHVPWR}
+N -190 -450 -170 -450 { lab=VGND}
+N -170 -450 -170 -400 { lab=VGND}
+N -30 -450 -10 -450 { lab=VGND}
+N -10 -450 -10 -400 { lab=VGND}
+N -30 -400 -10 -400 { lab=VGND}
+N -390 -290 -70 -290 { lab=S_NOT}
+N -70 -450 -70 -290 { lab=S_NOT}
+N -470 -450 -230 -450 { lab=S}
+N -190 -510 380 -510 { lab=pgate}
+N 290 -490 290 -180 { lab=pgate}
+N -30 -490 290 -490 { lab=pgate}
+N -470 -290 -390 -290 { lab=S_NOT}
+N -60 -760 -60 -690 { lab=VHVPWR}
+N 270 -320 350 -320 { lab=A}
+N 410 -320 480 -320 { lab=B}
+N -40 -400 -40 -340 { lab=VGND}
+N 380 -370 450 -370 { lab=VHVPWR}
+C {devices/lab_wire.sym} 450 -370 2 0 {name=l2 lab=VHVPWR}
+C {devices/lab_wire.sym} 230 -510 0 0 {name=l13 lab=pgate}
+C {devices/lab_wire.sym} 160 -490 0 0 {name=l3 lab=pgate}
+C {sky130_fd_pr/nfet_g5v0d10v5.sym} 380 -200 3 0 {name=M1
+L=0.5
+W=10
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} 380 -450 1 0 {name=M2
+L=0.5
+W=10
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} -50 -630 0 0 {name=M9
+L=0.5
+W=10
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_g5v0d10v5.sym} -170 -630 2 0 {name=M4
+L=0.5
+W=10
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_g5v0d10v5.sym} -210 -450 0 0 {name=x3
+L=0.5
+W=10
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_g5v0d10v5.sym} -50 -450 0 0 {name=x6
+L=0.5
+W=10
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+}
+C {devices/ipin.sym} -470 -450 0 0 {name=p1 lab=S}
+C {devices/ipin.sym} -470 -290 0 0 {name=p2 lab=S_NOT}
+C {devices/iopin.sym} -60 -760 0 0 {name=p3 lab=VHVPWR}
+C {devices/iopin.sym} 270 -320 2 0 {name=p4 lab=A}
+C {devices/iopin.sym} 480 -320 0 0 {name=p5 lab=B}
+C {devices/iopin.sym} -40 -340 0 0 {name=p6 lab=VGND}
+C {devices/lab_wire.sym} 450 -270 2 0 {name=l1 lab=VGND}
diff --git a/xschem/hv_tgate.sym b/xschem/hv_tgate.sym
new file mode 100644
index 0000000..6e1cdab
--- /dev/null
+++ b/xschem/hv_tgate.sym
@@ -0,0 +1,42 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -60 0 -40 0 {}
+L 4 40 0 60 0 {}
+L 4 -40 -0 -0 -20 {}
+L 4 -40 -40 -40 0 {}
+L 4 -40 -40 0 -20 {}
+L 4 0 -20 40 -40 {}
+L 4 40 -40 40 0 {}
+L 4 -0 -20 40 0 {}
+L 4 -0 20 40 0 {}
+L 4 -0 20 40 40 {}
+L 4 40 -0 40 40 {}
+L 4 -40 0 0 20 {}
+L 4 -40 -0 -40 40 {}
+L 4 -40 40 0 20 {}
+L 4 -0 -50 -0 -20 {}
+L 4 -0 30 -0 50 {}
+L 4 30 60 30 80 {}
+L 4 30 -80 30 -60 {}
+B 5 -2.5 47.5 2.5 52.5 {name=S_NOT dir=in }
+B 5 27.5 77.5 32.5 82.5 {name=VGND dir=inout}
+B 5 27.5 -82.5 32.5 -77.5 {name=VHVPWR dir=inout}
+B 5 -62.5 -2.5 -57.5 2.5 {name=A dir=inout}
+B 5 57.5 -2.5 62.5 2.5 {name=B dir=inout }
+B 5 -2.5 -52.5 2.5 -47.5 {name=S dir=in }
+A 4 0.3125 24.4375 4.448489912318562 94.02826366648515 351.9434726670297 {}
+T {@name} 45 -32 0 0 0.2 0.2 {}
+T {S_NOT} -35 36 0 0 0.2 0.2 {}
+T {VGND} 15 46 0 0 0.2 0.2 {}
+T {VHVPWR} 15 -54 0 0 0.2 0.2 {}
+T {A} -55 -14 0 0 0.2 0.2 {}
+T {B} 65 -14 0 1 0.2 0.2 {}
+T {S} -15 -44 0 0 0.2 0.2 {}
+T {@symname} -25 -7 0 0 0.2 0.2 {}
diff --git a/xschem/reram.sym b/xschem/reram.sym
new file mode 100644
index 0000000..633ec30
--- /dev/null
+++ b/xschem/reram.sym
@@ -0,0 +1,43 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=reram
+format="@name @pinlist @model"
+template="name=X1
+model=reram
+device_model=".model reram rram2 rram2_params.scs"
+
+}
+V {}
+S {}
+E {}
+L 4 0 -30 0 -15 {}
+L 4 -3.75 -15 0 -15 {}
+L 4 -3.75 -15 -3.75 -5 {}
+L 4 -3.75 -5 3.75 -5 {}
+L 4 3.75 -5 3.75 5 {}
+L 4 -3.75 5 3.75 5 {}
+L 4 -3.75 5 -3.75 15 {}
+L 4 -3.75 15 0 15 {}
+L 4 0 15 0 30 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=TE dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=BE dir=inout}
+T {@name} 15 -13.75 0 0 0.2 0.2 {}
+T {@model} 15 2.5 0 0 0.2 0.2 {}
+T {TE} 10 -28.75 0 0 0.2 0.2 {}
+T {BE} 10 28.75 2 1 0.2 0.2 {}
diff --git a/xschem/short.sch b/xschem/short.sch
new file mode 100644
index 0000000..a725228
--- /dev/null
+++ b/xschem/short.sch
@@ -0,0 +1,8 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+C {devices/iopin.sym} 70 -110 0 0 {name=p1 lab=A}
+C {devices/iopin.sym} 70 -30 0 0 {name=p2 lab=B}
diff --git a/xschem/short.sym b/xschem/short.sym
new file mode 100644
index 0000000..895a608
--- /dev/null
+++ b/xschem/short.sym
@@ -0,0 +1,12 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=short
+format="Vshort_@name A B 0V"
+template="name=S1"}
+V {}
+S {}
+E {}
+L 4 -90 -30 -20 -30 {}
+B 5 -95 -35 -85 -25 {name=A dir=inout}
+B 5 -25 -35 -15 -25 {name=B dir=inout}
+T {@name} -75 -42.5 0 0 0.2 0.2 {}
diff --git a/xschem/sky130_fd_pr/cap_mim_m3_1.sch b/xschem/sky130_fd_pr/cap_mim_m3_1.sch
new file mode 100644
index 0000000..c6323aa
--- /dev/null
+++ b/xschem/sky130_fd_pr/cap_mim_m3_1.sch
@@ -0,0 +1,7 @@
+v {xschem version=3.0.0 file_version=1.2}
+G {}
+V {}
+E {}
+S {}
+C {devices/iopin.sym} 120 0 0 0 {name=g0 lab=c0 }
+C {devices/iopin.sym} 120 20 0 0 {name=g1 lab=c1 }
diff --git a/xschem/sky130_fd_pr/cap_mim_m3_1.sym b/xschem/sky130_fd_pr/cap_mim_m3_1.sym
new file mode 100644
index 0000000..7e3cea9
--- /dev/null
+++ b/xschem/sky130_fd_pr/cap_mim_m3_1.sym
@@ -0,0 +1,21 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=capacitor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L MF=@MF m=@MF"
+template="name=C1 model=cap_mim_m3_1 W=1 L=1 MF=1 spiceprefix=X"
+}
+V {}
+S {}
+E {}
+L 4 0 2.5 0 30 {}
+L 4 0 -30 0 -5 {}
+L 4 -10 -5 10 -5 {}
+B 5 -2.5 -32.5 2.5 -27.5 {name=c0 dir=inout}
+B 5 -2.5 27.5 2.5 32.5 {name=c1 dir=inout}
+A 4 -0 23.75 21.25 61.92751306414704 56.14497387170592 {}
+T {c0} 5 -27.5 0 0 0.15 0.15 {layer=7}
+T {c1} 5 18.75 0 0 0.15 0.15 {layer=7}
+T {MF=@MF} 17.5 18.75 0 0 0.2 0.2 {layer=13}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
diff --git a/xschem/sky130_fd_pr/nfet_01v8.sym b/xschem/sky130_fd_pr/nfet_01v8.sym
new file mode 100644
index 0000000..797cf9a
--- /dev/null
+++ b/xschem/sky130_fd_pr/nfet_01v8.sym
@@ -0,0 +1,61 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1 
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 2.5 0 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 13.75 0 0 0.2 0.2 { layer=13}
+T {nf=@nf} 31.25 1.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sky130_fd_pr/nfet_03v3_nvt.sym b/xschem/sky130_fd_pr/nfet_03v3_nvt.sym
new file mode 100644
index 0000000..310126a
--- /dev/null
+++ b/xschem/sky130_fd_pr/nfet_03v3_nvt.sym
@@ -0,0 +1,64 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_03v3_nvt
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 -20 -0 -2.5 0 {}
+L 4 7.5 -22.5 7.5 -12.5 {}
+L 4 7.5 12.5 7.5 22.5 {}
+L 4 7.5 -5 7.5 5 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {3V} 5 17.5 0 1 0.2 0.2 { layer=4}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 13.75 0 0 0.2 0.2 { layer=13}
+T {nf=@nf} 31.25 1.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sky130_fd_pr/nfet_g5v0d10v5.sym b/xschem/sky130_fd_pr/nfet_g5v0d10v5.sym
new file mode 100644
index 0000000..6f5ccb6
--- /dev/null
+++ b/xschem/sky130_fd_pr/nfet_g5v0d10v5.sym
@@ -0,0 +1,61 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=nmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=nfet_g5v0d10v5
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 -17.5 20 -17.5 {}
+L 4 7.5 17.5 15 17.5 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 -20 0 -2.5 0 {}
+B 5 17.5 -32.5 22.5 -27.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 27.5 22.5 32.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+P 4 4 15 15 20 17.5 15 20 15 15 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 20 -2.5 15 0 20 2.5 20 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {S} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {D} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 13.75 0 0 0.2 0.2 { layer=13}
+T {nf=@nf} 31.25 1.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sky130_fd_pr/pfet_01v8.sym b/xschem/sky130_fd_pr/pfet_01v8.sym
new file mode 100644
index 0000000..19d677b
--- /dev/null
+++ b/xschem/sky130_fd_pr/pfet_01v8.sym
@@ -0,0 +1,62 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.15
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 7.5 -22.5 7.5 22.5 {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 2.5 -15 2.5 15 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -7.5 0 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -2.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -10 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 13.75 0 0 0.2 0.2 { layer=13}
+T {nf=@nf} 31.25 1.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sky130_fd_pr/pfet_g5v0d10v5.sym b/xschem/sky130_fd_pr/pfet_g5v0d10v5.sym
new file mode 100644
index 0000000..d9327bb
--- /dev/null
+++ b/xschem/sky130_fd_pr/pfet_g5v0d10v5.sym
@@ -0,0 +1,62 @@
+v {xschem version=3.0.0 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=pmos
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model L=@L W=@W
++ nf=@nf ad=@ad as=@as pd=@pd ps=@ps
++ nrd=@nrd nrs=@nrs sa=@sa sb=@sb sd=@sd 
++ mult=@mult m=@mult"
+template="name=M1
+L=0.5
+W=1
+nf=1
+mult=1
+ad=\\"'int((nf+1)/2) * W/nf * 0.29'\\" 
+pd=\\"'2*int((nf+1)/2) * (W/nf + 0.29)'\\"
+as=\\"'int((nf+2)/2) * W/nf * 0.29'\\" 
+ps=\\"'2*int((nf+2)/2) * (W/nf + 0.29)'\\"
+nrd=\\"'0.29 / W'\\" nrs=\\"'0.29 / W'\\"
+sa=0 sb=0 sd=0
+model=pfet_g5v0d10v5
+spiceprefix=X
+"}
+V {}
+S {}
+E {}
+L 4 20 -30 20 -17.5 {}
+L 4 20 17.5 20 30 {}
+L 4 7.5 17.5 20 17.5 {}
+L 4 12.5 -17.5 20 -17.5 {}
+L 4 -20 0 -12.5 0 {}
+L 4 7.5 -22.5 7.5 22.5 {}
+B 5 17.5 27.5 22.5 32.5 {name=D dir=inout}
+B 5 -22.5 -2.5 -17.5 2.5 {name=G dir=in}
+B 5 17.5 -32.5 22.5 -27.5 {name=S dir=inout}
+B 5 19.921875 -0.078125 20.078125 0.078125 {name=B dir=in}
+A 4 -7.5 0 5 180 360 {}
+P 4 4 12.5 -20 7.5 -17.5 12.5 -15 12.5 -20 {fill=true}
+P 4 5 -2.5 15 -2.5 -15 2.5 -15 2.5 15 -2.5 15 {}
+P 5 4 15 -2.5 20 0 15 2.5 15 -2.5 {fill=true}
+T {@name} 5 -30 0 1 0.2 0.2 {}
+T {D} 22.5 17.5 0 0 0.15 0.15 {layer=7}
+T {S} 22.5 -17.5 2 1 0.15 0.15 {layer=7}
+T {B} 20 -10 0 0 0.15 0.15 {layer=7}
+T {G} -11.875 -10 0 1 0.15 0.15 {layer=7}
+T {@model} 30 -8.75 2 1 0.2 0.2 {}
+T {@mult x @W / @L} 31.25 13.75 0 0 0.2 0.2 { layer=13}
+T {nf=@nf} 31.25 1.25 0 0 0.2 0.2 { layer=13}
diff --git a/xschem/sky130_fd_pr/res_xhigh_po.sym b/xschem/sky130_fd_pr/res_xhigh_po.sym
new file mode 100644
index 0000000..7738aa9
--- /dev/null
+++ b/xschem/sky130_fd_pr/res_xhigh_po.sym
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 
+
+* Copyright 2021 Stefan Frederik Schippers
+* 
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+
+}
+G {}
+K {type=high_precision_p-_poly_resistor
+format="@spiceprefix@name @pinlist sky130_fd_pr__@model W=@W L=@L mult=@mult m=@mult"
+template="name=R1
+W=1
+L=1
+model=res_xhigh_po
+spiceprefix=X
+mult=1"
+}
+V {}
+S {}
+E {}
+L 4 0 20 0 30 {}
+L 4 0 20 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 17.5 {}
+L 4 -7.5 12.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 7.5 {}
+L 4 -7.5 2.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -2.5 {}
+L 4 -7.5 -7.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 7.5 -12.5 {}
+L 4 -7.5 -17.5 0 -20 {}
+L 4 0 -30 0 -20 {}
+B 5 -2.5 27.5 2.5 32.5 {name=M dir=inout pinnumber=2 propag=1}
+B 5 -2.5 -32.5 2.5 -27.5 {name=P dir=inout propag=0 pinnumber=1}
+B 5 -22.5 -2.5 -17.5 2.5 {name=B dir=inout pinnumber=1}
+T {@name} 15 -28.75 0 0 0.2 0.2 {}
+T {@mult * @W / @L} 15 6.25 0 0 0.2 0.2 {layer=13}
+T {@model} 15 -11.25 0 0 0.2 0.2 {}
+T {B} -15 -12.5 0 1 0.15 0.15 {layer=7}
+T {@#0:net_name} -10 16.25 0 1 0.15 0.15 {layer=15}
+T {@#1:net_name} -10 -25 0 1 0.15 0.15 {layer=15}
diff --git a/xschem/sky130_sc_ams__comparator_1.sch b/xschem/sky130_sc_ams__comparator_1.sch
new file mode 100644
index 0000000..8f65613
--- /dev/null
+++ b/xschem/sky130_sc_ams__comparator_1.sch
@@ -0,0 +1,442 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 0 170 0 230 { lab=#net1}
+N -110 170 110 170 { lab=#net1}
+N 110 30 110 110 { lab=#net2}
+N -110 30 -110 110 { lab=#net3}
+N -420 -230 420 -230 { lab=VPWR}
+N -110 -170 -110 -30 { lab=#net4}
+N 110 -170 110 -30 { lab=#net5}
+N -420 -170 -420 80 { lab=#net3}
+N -420 80 -110 80 { lab=#net3}
+N 420 -170 420 80 { lab=#net2}
+N 110 80 420 80 { lab=#net2}
+N -290 -170 -290 -140 { lab=#net4}
+N -290 -140 -110 -140 { lab=#net4}
+N 290 -170 290 -140 { lab=#net5}
+N 110 -140 290 -140 { lab=#net5}
+N -330 -200 -330 -140 { lab=CLK}
+N -460 -140 -330 -140 { lab=CLK}
+N -460 -200 -460 -140 { lab=CLK}
+N 330 -200 330 -140 { lab=CLK}
+N 330 -140 460 -140 { lab=CLK}
+N 460 -200 460 -140 { lab=CLK}
+N -70 -200 70 -140 { lab=#net5}
+N 70 -140 110 -140 { lab=#net5}
+N -70 0 70 -60 { lab=#net5}
+N 70 -60 110 -60 { lab=#net5}
+N -70 -60 70 0 { lab=#net4}
+N -110 -60 -70 -60 { lab=#net4}
+N -70 -140 70 -200 { lab=#net4}
+N -110 -140 -70 -140 { lab=#net4}
+N 620 130 620 170 { lab=#net6}
+N 620 -170 620 -130 { lab=#net7}
+N 580 -200 580 -100 { lab=#net5}
+N 580 100 580 200 { lab=#net4}
+N 110 -120 580 -120 { lab=#net5}
+N -110 -80 500 -80 { lab=#net4}
+N 500 -80 500 140 { lab=#net4}
+N 500 140 580 140 { lab=#net4}
+N 620 -150 760 -150 { lab=#net7}
+N 760 -150 760 -100 { lab=#net7}
+N 760 -100 800 -100 { lab=#net7}
+N 620 150 1120 150 { lab=#net6}
+N 1120 -100 1120 150 { lab=#net6}
+N 1080 -100 1120 -100 { lab=#net6}
+N 840 -200 840 -130 { lab=X}
+N 1040 -200 1040 -130 { lab=#net8}
+N 880 -230 1000 -180 { lab=#net8}
+N 1000 -180 1040 -180 { lab=#net8}
+N 880 -180 1000 -230 { lab=X}
+N 840 -180 880 -180 { lab=X}
+N 840 -260 1040 -260 { lab=VPWR}
+N -420 120 -420 200 { lab=VGND}
+N -420 200 -360 200 { lab=VGND}
+N -360 120 -360 200 { lab=VGND}
+N 360 120 360 200 { lab=VGND}
+N 360 200 420 200 { lab=VGND}
+N 420 120 420 200 { lab=VGND}
+N -320 -100 -320 -20 { lab=VGND}
+N -320 -20 -260 -20 { lab=VGND}
+N -260 -100 -260 -20 { lab=VGND}
+N 260 -100 260 -20 { lab=VGND}
+N 260 -20 320 -20 { lab=VGND}
+N 320 -100 320 -20 { lab=VGND}
+C {devices/ipin.sym} 0 -450 0 0 {name=p4 lab=CLK}
+C {devices/ipin.sym} -150 140 0 0 {name=p1 lab=VIN1}
+C {devices/ipin.sym} 150 140 0 1 {name=p3 lab=VIN2}
+C {devices/ipin.sym} 0 -420 0 0 {name=p5 lab=VGND}
+C {devices/ipin.sym} 0 -390 0 0 {name=p6 lab=VNB}
+C {devices/ipin.sym} 0 -360 0 0 {name=p7 lab=VPB}
+C {devices/ipin.sym} 0 -330 0 0 {name=p8 lab=VPWR}
+C {devices/opin.sym} 840 -150 0 1 {name=p2 lab=X}
+C {sky130_fd_pr/nfet_01v8.sym} -20 260 0 0 {name=M1
+L=0.15
+W=1.49
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -440 -200 0 0 {name=M2
+L=0.15
+W=0.45
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 0 -450 0 1 {name=l1 sig_type=std_logic lab=CLK}
+C {devices/lab_pin.sym} 0 -420 0 1 {name=l2 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 0 -390 0 1 {name=l3 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 0 -360 0 1 {name=l4 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 0 -330 0 1 {name=l5 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -40 260 0 0 {name=l6 sig_type=std_logic lab=CLK}
+C {devices/lab_pin.sym} 0 290 1 1 {name=l7 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/nfet_01v8.sym} -130 140 0 0 {name=M3
+L=0.15
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 130 140 0 1 {name=M4
+L=0.15
+W=1
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 90 0 0 0 {name=M5
+L=0.15
+W=0.7
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -90 0 0 1 {name=M6
+L=0.15
+W=0.7
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -310 -200 0 0 {name=M7
+L=0.15
+W=0.45
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -90 -200 0 1 {name=M8
+L=0.15
+W=0.63
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 90 -200 0 0 {name=M9
+L=0.15
+W=0.63
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 310 -200 0 1 {name=M10
+L=0.15
+W=0.45
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 440 -200 0 1 {name=M11
+L=0.15
+W=0.45
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 0 -230 3 1 {name=l8 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 460 -200 0 1 {name=l9 sig_type=std_logic lab=CLK}
+C {devices/lab_pin.sym} -460 -200 0 0 {name=l10 sig_type=std_logic lab=CLK}
+C {devices/lab_pin.sym} -420 -200 0 1 {name=l11 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} -290 -200 0 1 {name=l12 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 110 -200 0 1 {name=l13 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} -110 -200 0 0 {name=l14 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 290 -200 0 0 {name=l15 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 420 -200 0 0 {name=l16 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 110 0 0 1 {name=l17 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} -110 140 0 1 {name=l18 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 0 260 0 1 {name=l19 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} -110 0 0 0 {name=l20 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 110 140 0 0 {name=l21 sig_type=std_logic lab=VNB}
+C {sky130_fd_pr/nfet_01v8.sym} 600 -100 0 0 {name=M12
+L=0.15
+W=0.65
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 600 -200 0 0 {name=M13
+L=0.15
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 600 200 0 0 {name=M14
+L=0.15
+W=0.65
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 600 100 0 0 {name=M15
+L=0.15
+W=1
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 820 -100 0 0 {name=M16
+L=0.15
+W=0.645
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 1060 -100 0 1 {name=M17
+L=0.15
+W=0.645
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 620 230 1 1 {name=l22 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 620 -70 1 1 {name=l23 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 840 -70 1 1 {name=l24 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 1040 -70 1 1 {name=l25 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/pfet_01v8.sym} 860 -230 0 1 {name=M18
+L=0.15
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 1020 -230 0 0 {name=M19
+L=0.15
+W=0.7
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 940 -260 3 1 {name=l26 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 620 -230 3 1 {name=l27 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 620 70 3 1 {name=l28 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 840 -230 0 0 {name=l29 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 620 -200 0 1 {name=l30 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 620 100 0 1 {name=l31 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 1040 -230 0 1 {name=l32 sig_type=std_logic lab=VPB}
+C {devices/lab_pin.sym} 1040 -100 0 0 {name=l33 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 840 -100 0 1 {name=l34 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 620 -100 0 1 {name=l35 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 620 200 0 1 {name=l36 sig_type=std_logic lab=VNB}
+C {sky130_fd_pr/nfet_01v8.sym} -390 100 1 0 {name=M20
+L=1
+W=1.49
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -390 120 1 1 {name=l37 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} -390 200 1 1 {name=l38 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/nfet_01v8.sym} 390 100 1 0 {name=M21
+L=1
+W=1.49
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 390 120 1 1 {name=l39 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 390 200 1 1 {name=l40 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/nfet_01v8.sym} -290 -120 1 0 {name=M22
+L=1
+W=1.49
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -290 -100 1 1 {name=l41 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} -290 -20 1 1 {name=l42 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/nfet_01v8.sym} 290 -120 1 0 {name=M23
+L=1
+W=1.49
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 290 -100 1 1 {name=l43 sig_type=std_logic lab=VNB}
+C {devices/lab_pin.sym} 290 -20 1 1 {name=l44 sig_type=std_logic lab=VGND}
diff --git a/xschem/sky130_sc_ams__comparator_1.sym b/xschem/sky130_sc_ams__comparator_1.sym
new file mode 100644
index 0000000..0b15c22
--- /dev/null
+++ b/xschem/sky130_sc_ams__comparator_1.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -70 0 -50 0 {}
+L 4 70 0 90 0 {}
+L 4 -70 30 -50 30 {}
+L 4 -70 -30 -50 -30 {}
+L 4 -50 -60 -50 60 {}
+L 4 -50 60 70 0 {}
+L 4 -50 -60 70 0 {}
+L 4 10 -60 10 -30 {}
+L 4 -10 -60 -10 -40 {}
+L 4 10 30 10 60 {}
+L 4 -10 40 -10 60 {}
+B 5 -72.5 -2.5 -67.5 2.5 {name=CLK dir=in }
+B 5 -72.5 -32.5 -67.5 -27.5 {name=VIN1 dir=in }
+B 5 -72.5 27.5 -67.5 32.5 {name=VIN2 dir=in }
+B 5 7.5 57.5 12.5 62.5 {name=VGND dir=in }
+B 5 -12.5 57.5 -7.5 62.5 {name=VNB dir=in }
+B 5 -12.5 -62.5 -7.5 -57.5 {name=VPB dir=in }
+B 5 7.5 -62.5 12.5 -57.5 {name=VPWR dir=in }
+B 5 87.5 -2.5 92.5 2.5 {name=X dir=out }
+T {@symname} 20.5 -46 0 0 0.3 0.3 {}
+T {@name} -55 -92 0 0 0.2 0.2 {}
+T {CLK} -45 -4 0 0 0.2 0.2 {}
+T {VIN1} -45 -34 0 0 0.2 0.2 {}
+T {VIN2} -45 26 0 0 0.2 0.2 {}
+T {VGND} 15 51 0 0 0.2 0.2 {}
+T {VNB} -35 51 0 0 0.2 0.2 {}
+T {VPB} -35 -64 0 0 0.2 0.2 {}
+T {VPWR} 15 -64 0 0 0.2 0.2 {}
+T {X} 85 -14 0 1 0.2 0.2 {}
diff --git a/xschem/sky130_sc_ams__ota_1.sch b/xschem/sky130_sc_ams__ota_1.sch
new file mode 100644
index 0000000..f820de1
--- /dev/null
+++ b/xschem/sky130_sc_ams__ota_1.sch
@@ -0,0 +1,445 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -250 -180 -250 -120 { lab=#net1}
+N -350 -210 -290 -210 { lab=#net1}
+N -290 -160 -250 -160 { lab=#net1}
+N 270 -180 270 -120 { lab=#net2}
+N 310 -210 370 -210 { lab=#net2}
+N -310 -160 -290 -160 { lab=#net1}
+N -310 -210 -310 -160 { lab=#net1}
+N 270 -160 330 -160 { lab=#net2}
+N 330 -210 330 -160 { lab=#net2}
+N -380 -240 300 -240 { lab=VPWR}
+N -560 90 -560 120 { lab=#net3}
+N -1060 0 -1020 0 { lab=#net4}
+N -1020 0 -1020 30 { lab=#net4}
+N -1140 60 -1060 60 { lab=VGND}
+N -0 -60 0 -0 { lab=#net5}
+N -560 -180 -560 90 { lab=#net3}
+N -1020 30 -960 30 { lab=#net4}
+N -1020 -210 -960 -210 { lab=#net6}
+N -960 -160 -920 -160 { lab=#net6}
+N -980 -160 -960 -160 { lab=#net6}
+N -980 -210 -980 -160 { lab=#net6}
+N -420 30 -40 30 { lab=#net4}
+N -1060 -180 -1060 0 { lab=#net4}
+N -920 -180 -920 0 { lab=#net6}
+N -1220 -100 -1220 -40 { lab=#net4}
+N -1220 -40 -1060 -40 { lab=#net4}
+N -1220 -160 -980 -160 { lab=#net6}
+N -1360 -240 -1060 -240 { lab=VPWR}
+N -1360 -180 -1360 -130 { lab=#net7}
+N -1360 -130 -1260 -130 { lab=#net7}
+N -1360 -180 -1320 -180 { lab=#net7}
+N -1320 -210 -1320 -180 { lab=#net7}
+N -1360 -130 -1360 0 { lab=#net7}
+N -1360 60 -1140 60 { lab=VGND}
+N -1320 0 -1320 30 { lab=#net4}
+N -1320 0 -1060 0 { lab=#net4}
+N -1060 -240 -1000 -240 { lab=VPWR}
+N -720 120 -560 120 { lab=#net3}
+N -680 150 -680 180 { lab=VGND}
+N -720 180 -680 180 { lab=VGND}
+N -490 -60 -450 -60 { lab=VGND}
+N 170 -240 170 -210 { lab=VPWR}
+N 130 -180 130 -160 { lab=#net2}
+N 410 -180 410 120 { lab=Iout}
+N 130 -160 270 -160 { lab=#net2}
+N -150 -240 -150 -210 { lab=VPWR}
+N -110 -180 -110 -160 { lab=#net1}
+N -250 -160 -110 -160 { lab=#net1}
+N 300 -240 410 -240 { lab=VPWR}
+N -490 -90 -490 -60 { lab=VGND}
+N -450 -120 -250 -120 { lab=#net1}
+N -250 -60 270 -60 { lab=#net5}
+N -520 150 370 150 { lab=#net3}
+N -560 100 -500 100 { lab=#net3}
+N -500 100 -500 150 { lab=#net3}
+N -640 -240 -380 -240 { lab=VPWR}
+N -520 -210 -350 -210 { lab=#net1}
+N -1170 30 -1170 60 { lab=VGND}
+N -1060 -40 -770 -40 { lab=#net4}
+N -1000 -240 -940 -240 { lab=VPWR}
+N -830 -240 -830 -210 { lab=VPWR}
+N -790 -180 -790 -160 { lab=#net6}
+N -920 -160 -790 -160 { lab=#net6}
+N -920 0 -780 0 { lab=#net6}
+N -820 30 -820 60 { lab=VGND}
+N -820 60 -780 60 { lab=VGND}
+N -780 60 -780 120 { lab=VGND}
+N -940 -240 -640 -240 { lab=VPWR}
+N -770 -40 -660 -40 { lab=#net4}
+N -660 -40 -660 30 { lab=#net4}
+N -660 30 -420 30 { lab=#net4}
+N -920 120 -780 120 { lab=VGND}
+C {devices/ipin.sym} 310 -90 0 1 {name=Vin1 lab=Vin1}
+C {devices/ipin.sym} -290 -90 0 0 {name=Vin2 lab=Vin2}
+C {devices/ipin.sym} 0 -380 0 0 {name=p3 lab=VGND}
+C {devices/ipin.sym} 0 -410 0 0 {name=p4 lab=VPWR}
+C {devices/opin.sym} 410 -70 0 0 {name=Iout lab=Iout}
+C {sky130_fd_pr/pfet_01v8.sym} 390 -210 0 0 {name=M7
+L=0.30
+W=0.61
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -540 -210 0 1 {name=M8
+L=0.15
+W=0.61
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 290 -210 0 1 {name=M9
+L=0.15
+W=0.61
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -20 30 0 0 {name=M1
+L=0.15
+W=0.70
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -1040 30 0 1 {name=M10
+L=0.15
+W=0.70
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -940 30 0 0 {name=M11
+L=0.15
+W=0.70
+nf=1 
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -940 -210 0 0 {name=M12
+L=0.15
+W=0.61
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -1040 -210 0 1 {name=M13
+L=0.15
+W=0.61
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -1240 -130 0 0 {name=M14
+L=0.15
+W=0.70
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -1340 30 0 1 {name=M16
+L=0.15
+W=0.70
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -270 -90 0 0 {name=M2
+L=0.15
+W=1.06
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 290 -90 0 1 {name=M3
+L=0.15
+W=1.06
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -700 150 0 1 {name=M17
+L=0.15
+W=0.70
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -470 -90 0 0 {name=M18
+L=0.15
+W=1.06
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 150 -210 0 1 {name=M19
+L=0.15
+W=0.61
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -130 -210 0 0 {name=M20
+L=0.15
+W=0.61
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -540 150 0 1 {name=M4
+L=0.15
+W=0.70
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -110 -210 0 1 {name=l10 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -250 -210 0 1 {name=l11 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 410 -210 0 1 {name=l12 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 130 -210 0 0 {name=l13 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 270 -210 0 0 {name=l14 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -560 -210 0 0 {name=l15 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -1060 -210 0 0 {name=l16 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -920 -210 0 1 {name=l18 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -250 -90 0 1 {name=l20 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -450 -90 0 1 {name=l21 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 270 -90 0 0 {name=l22 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 0 30 0 1 {name=l23 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 410 150 0 1 {name=l24 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -560 150 0 0 {name=l25 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -720 150 0 0 {name=l26 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -920 30 0 1 {name=l27 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -1060 30 0 0 {name=l28 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -1360 30 0 0 {name=l29 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -1220 -130 0 1 {name=l30 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/nfet_01v8.sym} -1190 30 0 1 {name=M21
+L=0.15
+W=0.70
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -1210 30 0 0 {name=l31 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/pfet_01v8.sym} -810 -210 0 0 {name=M22
+L=0.15
+W=0.61
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -790 -210 0 1 {name=l32 sig_type=std_logic lab=VPWR}
+C {sky130_fd_pr/pfet_01v8.sym} -1340 -210 0 1 {name=M15
+L=4.20
+W=0.42
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -1360 -210 0 0 {name=l33 sig_type=std_logic lab=VPWR}
+C {sky130_fd_pr/nfet_01v8.sym} -800 30 0 0 {name=M23
+L=0.15
+W=0.70
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} -780 30 0 1 {name=l17 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -940 90 0 0 {name=l34 sig_type=std_logic lab=VGND}
+C {sky130_fd_pr/res_xhigh_po.sym} -920 90 0 0 {name=R2
+W=1.41
+L=0.70
+model=res_xhigh_po
+spiceprefix=X
+mult=1}
+C {sky130_fd_pr/pfet_01v8.sym} -270 -210 0 0 {name=M6
+L=0.15
+W=0.61
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 390 150 0 0 {name=M5
+L=0.30
+W=0.70
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {devices/lab_pin.sym} 0 -380 0 1 {name=l1 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 0 -410 0 1 {name=l5 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} 0 60 3 0 {name=l35 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 0 -240 3 1 {name=l36 sig_type=std_logic lab=VPWR}
+C {devices/lab_pin.sym} -560 180 3 0 {name=l2 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} 410 180 3 0 {name=l3 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -720 180 3 0 {name=l8 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -920 120 3 0 {name=l6 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -1210 60 3 0 {name=l4 sig_type=std_logic lab=VGND}
+C {devices/lab_pin.sym} -450 -60 3 0 {name=l9 sig_type=std_logic lab=VGND}
diff --git a/xschem/sky130_sc_ams__ota_1.sym b/xschem/sky130_sc_ams__ota_1.sym
new file mode 100644
index 0000000..fe2b62d
--- /dev/null
+++ b/xschem/sky130_sc_ams__ota_1.sym
@@ -0,0 +1,32 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -80 -20 -60 -20 {}
+L 4 -80 20 -60 20 {}
+L 4 -60 -60 -60 60 {}
+L 4 -60 60 60 0 {}
+L 4 -60 -60 60 0 {}
+L 4 -50 -20 -30 -20 {}
+L 4 -40 -30 -40 -10 {}
+L 4 -50 20 -30 20 {}
+L 4 10 25 10 60 {}
+L 4 10 -60 10 -25 {}
+L 4 60 -0 80 -0 {}
+B 5 7.5 -62.5 12.5 -57.5 {name=VPWR dir=in }
+B 5 7.5 57.5 12.5 62.5 {name=VGND dir=in }
+B 5 -82.5 -22.5 -77.5 -17.5 {name=Vin1 dir=in }
+B 5 -82.5 17.5 -77.5 22.5 {name=Vin2 dir=in }
+B 5 77.5 -2.5 82.5 2.5 {name=Iout dir=out }
+T {@symname} 22 -46 0 0 0.3 0.3 {}
+T {@name} -65 -82 0 0 0.2 0.2 {}
+T {VPWR} 46.5 -50 2 0 0.2 0.2 {}
+T {VGND} 15 48.5 0 0 0.2 0.2 {}
+T {Vin1} -85 -39 0 0 0.2 0.2 {}
+T {Vin2} -85 26 0 0 0.2 0.2 {}
+T {Iout} 75 -19 0 1 0.2 0.2 {}
diff --git a/xschem/sky130_tests/top.sch b/xschem/sky130_tests/top.sch
new file mode 100644
index 0000000..32106f3
--- /dev/null
+++ b/xschem/sky130_tests/top.sch
@@ -0,0 +1,7 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+C {user_analog_project_wrapper.sym} -10 10 0 0 {name=x1}
diff --git a/xschem/user_analog_project_wrapper-example.sch b/xschem/user_analog_project_wrapper-example.sch
new file mode 100644
index 0000000..e3cbcec
--- /dev/null
+++ b/xschem/user_analog_project_wrapper-example.sch
@@ -0,0 +1,180 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 3830 -460 3830 -390 { lab=vdda1}
+N 3730 -460 3830 -460 { lab=vdda1}
+N 3860 -230 3860 -180 { lab=vssa1}
+N 3770 -180 3860 -180 { lab=vssa1}
+N 3890 -460 3890 -390 { lab=vccd1}
+N 3890 -460 3960 -460 { lab=vccd1}
+N 3890 -130 3890 -60 { lab=vccd1}
+N 3890 -130 3950 -130 { lab=vccd1}
+N 3830 -130 3830 -60 { lab=io_analog[4]}
+N 3790 -130 3830 -130 { lab=io_analog[4]}
+N 3860 100 3860 150 { lab=vssa1}
+N 3800 150 3860 150 { lab=vssa1}
+N 4010 -10 4110 -10 { lab=gpio_analog[7]}
+N 4010 20 4110 20 { lab=io_out[15]}
+N 4010 50 4110 50 { lab=io_out[16]}
+N 4010 -340 4130 -340 { lab=gpio_analog[3]}
+N 4010 -310 4130 -310 { lab=io_out[11]}
+N 4010 -280 4130 -280 { lab=io_out[12]}
+N 3670 300 3670 340 { lab=io_clamp_low[2]}
+N 3670 400 3670 420 { lab=vssa1}
+N 3670 440 3670 460 { lab=io_clamp_high[2]}
+N 3670 520 3670 530 { lab=vssa1}
+N 3670 570 3670 590 { lab=io_clamp_low[1]}
+N 3670 650 3670 670 { lab=vssa1}
+N 4160 300 4160 330 { lab=io_clamp_high[1]}
+N 4160 390 4160 410 { lab=vssa1}
+N 4160 440 4160 460 { lab=io_clamp_low[0]}
+N 4160 520 4160 530 { lab=vssa1}
+N 4160 550 4160 560 { lab=io_clamp_high[0]}
+N 4160 620 4160 640 { lab=io_analog[4]}
+N 3630 300 3670 300 { lab=io_clamp_low[2]}
+N 3630 420 3670 420 { lab=vssa1}
+N 3630 440 3670 440 { lab=io_clamp_high[2]}
+N 3630 530 3670 530 { lab=vssa1}
+N 3630 570 3670 570 { lab=io_clamp_low[1]}
+N 3630 670 3670 670 { lab=vssa1}
+N 4130 300 4160 300 { lab=io_clamp_high[1]}
+N 4130 410 4160 410 { lab=vssa1}
+N 4130 440 4160 440 { lab=io_clamp_low[0]}
+N 4130 530 4160 530 { lab=vssa1}
+N 4130 550 4160 550 { lab=io_clamp_high[0]}
+N 4130 640 4160 640 { lab=io_analog[4]}
+N 3670 710 3670 750 { lab=io_oeb[12]}
+N 3670 810 3670 830 { lab=vssd1}
+N 3670 850 3670 870 { lab=io_oeb[16]}
+N 3670 930 3670 940 { lab=vssd1}
+N 4160 710 4160 740 { lab=io_oeb[11]}
+N 4160 800 4160 820 { lab=vssd1}
+N 4160 850 4160 870 { lab=#net1}
+N 4160 930 4160 940 { lab=vssd1}
+N 3630 710 3670 710 { lab=io_oeb[12]}
+N 3630 830 3670 830 { lab=vssd1}
+N 3630 850 3670 850 { lab=io_oeb[16]}
+N 3630 940 3670 940 { lab=vssd1}
+N 4130 710 4160 710 { lab=io_oeb[11]}
+N 4130 820 4160 820 { lab=vssd1}
+N 4130 850 4160 850 { lab=#net1}
+N 4130 940 4160 940 { lab=vssd1}
+C {example_por.sym} 3860 -310 0 0 {name=x1}
+C {example_por.sym} 3860 20 0 0 {name=x2}
+C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
+C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
+C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
+C {devices/iopin.sym} 3240 -380 0 0 {name=p4 lab=vssa2}
+C {devices/iopin.sym} 3240 -350 0 0 {name=p5 lab=vccd1}
+C {devices/iopin.sym} 3240 -320 0 0 {name=p6 lab=vccd2}
+C {devices/iopin.sym} 3240 -290 0 0 {name=p7 lab=vssd1}
+C {devices/iopin.sym} 3240 -260 0 0 {name=p8 lab=vssd2}
+C {devices/ipin.sym} 3290 -190 0 0 {name=p9 lab=wb_clk_i}
+C {devices/ipin.sym} 3290 -160 0 0 {name=p10 lab=wb_rst_i}
+C {devices/ipin.sym} 3290 -130 0 0 {name=p11 lab=wbs_stb_i}
+C {devices/ipin.sym} 3290 -100 0 0 {name=p12 lab=wbs_cyc_i}
+C {devices/ipin.sym} 3290 -70 0 0 {name=p13 lab=wbs_we_i}
+C {devices/ipin.sym} 3290 -40 0 0 {name=p14 lab=wbs_sel_i[3:0]}
+C {devices/ipin.sym} 3290 -10 0 0 {name=p15 lab=wbs_dat_i[31:0]}
+C {devices/ipin.sym} 3290 20 0 0 {name=p16 lab=wbs_adr_i[31:0]}
+C {devices/opin.sym} 3280 80 0 0 {name=p17 lab=wbs_ack_o}
+C {devices/opin.sym} 3280 110 0 0 {name=p18 lab=wbs_dat_o[31:0]}
+C {devices/ipin.sym} 3290 150 0 0 {name=p19 lab=la_data_in[127:0]}
+C {devices/opin.sym} 3280 180 0 0 {name=p20 lab=la_data_out[127:0]}
+C {devices/ipin.sym} 3290 260 0 0 {name=p21 lab=io_in[26:0]}
+C {devices/ipin.sym} 3290 290 0 0 {name=p22 lab=io_in_3v3[26:0]}
+C {devices/ipin.sym} 3280 570 0 0 {name=p23 lab=user_clock2}
+C {devices/opin.sym} 3280 320 0 0 {name=p24 lab=io_out[26:0]}
+C {devices/opin.sym} 3280 350 0 0 {name=p25 lab=io_oeb[26:0]}
+C {devices/iopin.sym} 3250 410 0 0 {name=p26 lab=gpio_analog[17:0]}
+C {devices/iopin.sym} 3250 440 0 0 {name=p27 lab=gpio_noesd[17:0]}
+C {devices/iopin.sym} 3250 470 0 0 {name=p29 lab=io_analog[10:0]}
+C {devices/iopin.sym} 3250 500 0 0 {name=p30 lab=io_clamp_high[2:0]}
+C {devices/iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
+C {devices/opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
+C {devices/ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
+C {devices/lab_pin.sym} 3730 -460 0 0 {name=l1 sig_type=std_logic lab=vdda1}
+C {devices/lab_pin.sym} 3770 -180 0 0 {name=l2 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 3960 -460 0 1 {name=l3 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 3950 -130 0 1 {name=l4 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 3790 -130 0 0 {name=l5 sig_type=std_logic lab=io_analog[4]}
+C {devices/lab_pin.sym} 3800 150 0 0 {name=l6 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 4130 -340 0 1 {name=l7 sig_type=std_logic lab=gpio_analog[3]}
+C {devices/lab_pin.sym} 4130 -310 0 1 {name=l8 sig_type=std_logic lab=io_out[11]}
+C {devices/lab_pin.sym} 4130 -280 0 1 {name=l9 sig_type=std_logic lab=io_out[12]}
+C {devices/lab_pin.sym} 4110 -10 0 1 {name=l10 sig_type=std_logic lab=gpio_analog[7]}
+C {devices/lab_pin.sym} 4110 20 0 1 {name=l11 sig_type=std_logic lab=io_out[15]}
+C {devices/lab_pin.sym} 4110 50 0 1 {name=l12 sig_type=std_logic lab=io_out[16]}
+C {sky130_fd_pr/res_generic_m1.sym} 3670 370 0 0 {name=R1
+W=11
+L=0.25
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 3670 490 0 0 {name=R2
+W=11
+L=0.25
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 3670 620 0 0 {name=R4
+W=11
+L=0.25
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 4160 360 0 0 {name=R5
+W=11
+L=0.25
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 4160 490 0 0 {name=R6
+W=11
+L=0.25
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 4160 590 0 0 {name=R7
+W=11
+L=0.25
+model=res_generic_m3
+mult=1}
+C {devices/lab_pin.sym} 3630 300 0 0 {name=l13 sig_type=std_logic lab=io_clamp_low[2]}
+C {devices/lab_pin.sym} 3630 440 0 0 {name=l14 sig_type=std_logic lab=io_clamp_high[2]}
+C {devices/lab_pin.sym} 3630 570 0 0 {name=l15 sig_type=std_logic lab=io_clamp_low[1]}
+C {devices/lab_pin.sym} 4130 300 0 0 {name=l16 sig_type=std_logic lab=io_clamp_high[1]}
+C {devices/lab_pin.sym} 4130 440 0 0 {name=l17 sig_type=std_logic lab=io_clamp_low[0]}
+C {devices/lab_pin.sym} 3630 420 0 0 {name=l18 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 3630 530 0 0 {name=l19 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 4130 410 0 0 {name=l20 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 4130 530 0 0 {name=l21 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 3630 670 0 0 {name=l22 sig_type=std_logic lab=vssa1}
+C {devices/lab_pin.sym} 4130 550 0 0 {name=l23 sig_type=std_logic lab=io_clamp_high[0]}
+C {devices/lab_pin.sym} 4130 640 0 0 {name=l24 sig_type=std_logic lab=io_analog[4]}
+C {sky130_fd_pr/res_generic_m1.sym} 3670 780 0 0 {name=R8
+W=0.56
+L=0.49
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 3670 900 0 0 {name=R9
+W=0.56
+L=0.31
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 4160 770 0 0 {name=R11
+W=0.56
+L=0.58
+model=res_generic_m3
+mult=1}
+C {sky130_fd_pr/res_generic_m1.sym} 4160 900 0 0 {name=R12
+W=0.56
+L=0.6
+model=res_generic_m3
+mult=1}
+C {devices/lab_pin.sym} 4130 850 0 0 {name=l25 sig_type=std_logic lab=io_oeb[15]}
+C {devices/lab_pin.sym} 3630 850 0 0 {name=l26 sig_type=std_logic lab=io_oeb[16]}
+C {devices/lab_pin.sym} 4130 710 0 0 {name=l27 sig_type=std_logic lab=io_oeb[11]}
+C {devices/lab_pin.sym} 3630 710 0 0 {name=l28 sig_type=std_logic lab=io_oeb[12]}
+C {devices/lab_pin.sym} 3630 830 0 0 {name=l29 sig_type=std_logic lab=vssd1}
+C {devices/lab_pin.sym} 3630 940 0 0 {name=l30 sig_type=std_logic lab=vssd1}
+C {devices/lab_pin.sym} 4130 820 0 0 {name=l31 sig_type=std_logic lab=vssd1}
+C {devices/lab_pin.sym} 4130 940 0 0 {name=l32 sig_type=std_logic lab=vssd1}
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index e3cbcec..7ba95f1 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -1,180 +1,135 @@
-v {xschem version=2.9.9 file_version=1.2 }
+v {xschem version=3.0.0 file_version=1.2 }
 G {}
 K {}
 V {}
 S {}
 E {}
-N 3830 -460 3830 -390 { lab=vdda1}
-N 3730 -460 3830 -460 { lab=vdda1}
-N 3860 -230 3860 -180 { lab=vssa1}
-N 3770 -180 3860 -180 { lab=vssa1}
-N 3890 -460 3890 -390 { lab=vccd1}
-N 3890 -460 3960 -460 { lab=vccd1}
-N 3890 -130 3890 -60 { lab=vccd1}
-N 3890 -130 3950 -130 { lab=vccd1}
-N 3830 -130 3830 -60 { lab=io_analog[4]}
-N 3790 -130 3830 -130 { lab=io_analog[4]}
-N 3860 100 3860 150 { lab=vssa1}
-N 3800 150 3860 150 { lab=vssa1}
-N 4010 -10 4110 -10 { lab=gpio_analog[7]}
-N 4010 20 4110 20 { lab=io_out[15]}
-N 4010 50 4110 50 { lab=io_out[16]}
-N 4010 -340 4130 -340 { lab=gpio_analog[3]}
-N 4010 -310 4130 -310 { lab=io_out[11]}
-N 4010 -280 4130 -280 { lab=io_out[12]}
-N 3670 300 3670 340 { lab=io_clamp_low[2]}
-N 3670 400 3670 420 { lab=vssa1}
-N 3670 440 3670 460 { lab=io_clamp_high[2]}
-N 3670 520 3670 530 { lab=vssa1}
-N 3670 570 3670 590 { lab=io_clamp_low[1]}
-N 3670 650 3670 670 { lab=vssa1}
-N 4160 300 4160 330 { lab=io_clamp_high[1]}
-N 4160 390 4160 410 { lab=vssa1}
-N 4160 440 4160 460 { lab=io_clamp_low[0]}
-N 4160 520 4160 530 { lab=vssa1}
-N 4160 550 4160 560 { lab=io_clamp_high[0]}
-N 4160 620 4160 640 { lab=io_analog[4]}
-N 3630 300 3670 300 { lab=io_clamp_low[2]}
-N 3630 420 3670 420 { lab=vssa1}
-N 3630 440 3670 440 { lab=io_clamp_high[2]}
-N 3630 530 3670 530 { lab=vssa1}
-N 3630 570 3670 570 { lab=io_clamp_low[1]}
-N 3630 670 3670 670 { lab=vssa1}
-N 4130 300 4160 300 { lab=io_clamp_high[1]}
-N 4130 410 4160 410 { lab=vssa1}
-N 4130 440 4160 440 { lab=io_clamp_low[0]}
-N 4130 530 4160 530 { lab=vssa1}
-N 4130 550 4160 550 { lab=io_clamp_high[0]}
-N 4130 640 4160 640 { lab=io_analog[4]}
-N 3670 710 3670 750 { lab=io_oeb[12]}
-N 3670 810 3670 830 { lab=vssd1}
-N 3670 850 3670 870 { lab=io_oeb[16]}
-N 3670 930 3670 940 { lab=vssd1}
-N 4160 710 4160 740 { lab=io_oeb[11]}
-N 4160 800 4160 820 { lab=vssd1}
-N 4160 850 4160 870 { lab=#net1}
-N 4160 930 4160 940 { lab=vssd1}
-N 3630 710 3670 710 { lab=io_oeb[12]}
-N 3630 830 3670 830 { lab=vssd1}
-N 3630 850 3670 850 { lab=io_oeb[16]}
-N 3630 940 3670 940 { lab=vssd1}
-N 4130 710 4160 710 { lab=io_oeb[11]}
-N 4130 820 4160 820 { lab=vssd1}
-N 4130 850 4160 850 { lab=#net1}
-N 4130 940 4160 940 { lab=vssd1}
-C {example_por.sym} 3860 -310 0 0 {name=x1}
-C {example_por.sym} 3860 20 0 0 {name=x2}
-C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
-C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
-C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
-C {devices/iopin.sym} 3240 -380 0 0 {name=p4 lab=vssa2}
-C {devices/iopin.sym} 3240 -350 0 0 {name=p5 lab=vccd1}
-C {devices/iopin.sym} 3240 -320 0 0 {name=p6 lab=vccd2}
-C {devices/iopin.sym} 3240 -290 0 0 {name=p7 lab=vssd1}
-C {devices/iopin.sym} 3240 -260 0 0 {name=p8 lab=vssd2}
-C {devices/ipin.sym} 3290 -190 0 0 {name=p9 lab=wb_clk_i}
-C {devices/ipin.sym} 3290 -160 0 0 {name=p10 lab=wb_rst_i}
-C {devices/ipin.sym} 3290 -130 0 0 {name=p11 lab=wbs_stb_i}
-C {devices/ipin.sym} 3290 -100 0 0 {name=p12 lab=wbs_cyc_i}
-C {devices/ipin.sym} 3290 -70 0 0 {name=p13 lab=wbs_we_i}
-C {devices/ipin.sym} 3290 -40 0 0 {name=p14 lab=wbs_sel_i[3:0]}
-C {devices/ipin.sym} 3290 -10 0 0 {name=p15 lab=wbs_dat_i[31:0]}
-C {devices/ipin.sym} 3290 20 0 0 {name=p16 lab=wbs_adr_i[31:0]}
-C {devices/opin.sym} 3280 80 0 0 {name=p17 lab=wbs_ack_o}
-C {devices/opin.sym} 3280 110 0 0 {name=p18 lab=wbs_dat_o[31:0]}
-C {devices/ipin.sym} 3290 150 0 0 {name=p19 lab=la_data_in[127:0]}
-C {devices/opin.sym} 3280 180 0 0 {name=p20 lab=la_data_out[127:0]}
-C {devices/ipin.sym} 3290 260 0 0 {name=p21 lab=io_in[26:0]}
-C {devices/ipin.sym} 3290 290 0 0 {name=p22 lab=io_in_3v3[26:0]}
-C {devices/ipin.sym} 3280 570 0 0 {name=p23 lab=user_clock2}
-C {devices/opin.sym} 3280 320 0 0 {name=p24 lab=io_out[26:0]}
-C {devices/opin.sym} 3280 350 0 0 {name=p25 lab=io_oeb[26:0]}
-C {devices/iopin.sym} 3250 410 0 0 {name=p26 lab=gpio_analog[17:0]}
-C {devices/iopin.sym} 3250 440 0 0 {name=p27 lab=gpio_noesd[17:0]}
-C {devices/iopin.sym} 3250 470 0 0 {name=p29 lab=io_analog[10:0]}
-C {devices/iopin.sym} 3250 500 0 0 {name=p30 lab=io_clamp_high[2:0]}
-C {devices/iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
-C {devices/opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
-C {devices/ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
-C {devices/lab_pin.sym} 3730 -460 0 0 {name=l1 sig_type=std_logic lab=vdda1}
-C {devices/lab_pin.sym} 3770 -180 0 0 {name=l2 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 3960 -460 0 1 {name=l3 sig_type=std_logic lab=vccd1}
-C {devices/lab_pin.sym} 3950 -130 0 1 {name=l4 sig_type=std_logic lab=vccd1}
-C {devices/lab_pin.sym} 3790 -130 0 0 {name=l5 sig_type=std_logic lab=io_analog[4]}
-C {devices/lab_pin.sym} 3800 150 0 0 {name=l6 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 4130 -340 0 1 {name=l7 sig_type=std_logic lab=gpio_analog[3]}
-C {devices/lab_pin.sym} 4130 -310 0 1 {name=l8 sig_type=std_logic lab=io_out[11]}
-C {devices/lab_pin.sym} 4130 -280 0 1 {name=l9 sig_type=std_logic lab=io_out[12]}
-C {devices/lab_pin.sym} 4110 -10 0 1 {name=l10 sig_type=std_logic lab=gpio_analog[7]}
-C {devices/lab_pin.sym} 4110 20 0 1 {name=l11 sig_type=std_logic lab=io_out[15]}
-C {devices/lab_pin.sym} 4110 50 0 1 {name=l12 sig_type=std_logic lab=io_out[16]}
-C {sky130_fd_pr/res_generic_m1.sym} 3670 370 0 0 {name=R1
-W=11
-L=0.25
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 3670 490 0 0 {name=R2
-W=11
-L=0.25
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 3670 620 0 0 {name=R4
-W=11
-L=0.25
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 4160 360 0 0 {name=R5
-W=11
-L=0.25
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 4160 490 0 0 {name=R6
-W=11
-L=0.25
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 4160 590 0 0 {name=R7
-W=11
-L=0.25
-model=res_generic_m3
-mult=1}
-C {devices/lab_pin.sym} 3630 300 0 0 {name=l13 sig_type=std_logic lab=io_clamp_low[2]}
-C {devices/lab_pin.sym} 3630 440 0 0 {name=l14 sig_type=std_logic lab=io_clamp_high[2]}
-C {devices/lab_pin.sym} 3630 570 0 0 {name=l15 sig_type=std_logic lab=io_clamp_low[1]}
-C {devices/lab_pin.sym} 4130 300 0 0 {name=l16 sig_type=std_logic lab=io_clamp_high[1]}
-C {devices/lab_pin.sym} 4130 440 0 0 {name=l17 sig_type=std_logic lab=io_clamp_low[0]}
-C {devices/lab_pin.sym} 3630 420 0 0 {name=l18 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 3630 530 0 0 {name=l19 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 4130 410 0 0 {name=l20 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 4130 530 0 0 {name=l21 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 3630 670 0 0 {name=l22 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 4130 550 0 0 {name=l23 sig_type=std_logic lab=io_clamp_high[0]}
-C {devices/lab_pin.sym} 4130 640 0 0 {name=l24 sig_type=std_logic lab=io_analog[4]}
-C {sky130_fd_pr/res_generic_m1.sym} 3670 780 0 0 {name=R8
-W=0.56
-L=0.49
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 3670 900 0 0 {name=R9
-W=0.56
-L=0.31
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 4160 770 0 0 {name=R11
-W=0.56
-L=0.58
-model=res_generic_m3
-mult=1}
-C {sky130_fd_pr/res_generic_m1.sym} 4160 900 0 0 {name=R12
-W=0.56
-L=0.6
-model=res_generic_m3
-mult=1}
-C {devices/lab_pin.sym} 4130 850 0 0 {name=l25 sig_type=std_logic lab=io_oeb[15]}
-C {devices/lab_pin.sym} 3630 850 0 0 {name=l26 sig_type=std_logic lab=io_oeb[16]}
-C {devices/lab_pin.sym} 4130 710 0 0 {name=l27 sig_type=std_logic lab=io_oeb[11]}
-C {devices/lab_pin.sym} 3630 710 0 0 {name=l28 sig_type=std_logic lab=io_oeb[12]}
-C {devices/lab_pin.sym} 3630 830 0 0 {name=l29 sig_type=std_logic lab=vssd1}
-C {devices/lab_pin.sym} 3630 940 0 0 {name=l30 sig_type=std_logic lab=vssd1}
-C {devices/lab_pin.sym} 4130 820 0 0 {name=l31 sig_type=std_logic lab=vssd1}
-C {devices/lab_pin.sym} 4130 940 0 0 {name=l32 sig_type=std_logic lab=vssd1}
+N -580 -380 -550 -380 { lab=io_analog[4]}
+N -510 -540 -510 -450 { lab=io_analog[0]}
+N -510 -450 -510 -440 { lab=io_analog[0]}
+N -430 -510 -430 -440 { lab=io_analog[1]}
+N -430 -540 -430 -510 { lab=io_analog[1]}
+N -390 -340 -350 -340 { lab=vssa1}
+N -580 -340 -550 -340 { lab=io_analog[5]}
+N -510 -280 -510 -260 {
+lab=io_analog[2]}
+N -430 -280 -430 -260 {
+lab=io_analog[3]}
+N -430 -1030 -380 -1030 { lab=vssa2}
+N -430 -940 -380 -940 { lab=io_analog[9]}
+N -610 -990 -560 -990 { lab=io_analog[8]}
+N -480 -1080 -480 -1040 { lab=io_analog[10]}
+N 120 -1020 140 -1020 {
+lab=gpio_analog[1]}
+N 120 -1000 140 -1000 {
+lab=gpio_analog[2]}
+N 120 -980 140 -980 {
+lab=io_analog[6]}
+N 440 -1020 480 -1020 {
+lab=#net1}
+N 440 -1000 480 -1000 {
+lab=vccd1}
+N 440 -980 480 -980 {
+lab=vssd1}
+N 1090 -1159 1090 -1059 {
+lab=vccd1}
+N 1090 -939 1090 -879 {
+lab=vssd1}
+N 1160 -999 1230 -999 {
+lab=gpio_noesd[0]}
+N 910 -1019 1000 -1019 {
+lab=#net1}
+N 910 -979 1000 -979 {
+lab=gpio_noesd[0]}
+N 357 -497 357 -452 { lab=#net2}
+N 222 -372 267 -372 { lab=gpio_analog[11]}
+N 327 -452 327 -422 { lab=gpio_analog[9]}
+N 387 -372 437 -372 { lab=gpio_analog[12]}
+N 480 -1020 845 -1020 {
+lab=#net1}
+N 845 -1020 910 -1020 {
+lab=#net1}
+N 910 -1020 910 -1019 {
+lab=#net1}
+N 357 -292 357 -226 {
+lab=#net3}
+N 327 -322 327 -291 {
+lab=gpio_analog[10]}
+N 1090 -319 1090 -259 {
+lab=vssd2}
+N 1090 -539 1090 -439 {
+lab=vccd2}
+C {devices/lab_pin.sym} -430 -540 2 0 {name=l1 lab=io_analog[1]}
+C {devices/lab_pin.sym} -510 -540 0 0 {name=l2 lab=io_analog[0]}
+C {devices/lab_pin.sym} -580 -380 0 0 {name=l3 lab=io_analog[4]}
+C {devices/lab_pin.sym} -580 -340 0 0 {name=l4 lab=io_analog[5]}
+C {devices/lab_pin.sym} -510 -260 0 0 {name=l5 lab=io_analog[2]}
+C {devices/lab_pin.sym} -430 -260 2 0 {name=l6 lab=io_analog[3]}
+C {devices/lab_pin.sym} -355 -340 2 0 {name=l9 lab=vssa1}
+C {1T1R_2x2.sym} -470 -360 0 0 {name=x1}
+C {devices/iopin.sym} -1080 -1140 0 0 {name=p7 lab=vdda1}
+C {devices/iopin.sym} -1080 -1110 0 0 {name=p8 lab=vdda2}
+C {devices/iopin.sym} -1080 -1080 0 0 {name=p10 lab=vssa1}
+C {devices/iopin.sym} -1080 -1050 0 0 {name=p11 lab=vssa2}
+C {devices/iopin.sym} -1080 -1020 0 0 {name=p12 lab=vccd1}
+C {devices/iopin.sym} -1080 -990 0 0 {name=p13 lab=vccd2}
+C {devices/iopin.sym} -1080 -960 0 0 {name=p14 lab=vssd1}
+C {devices/iopin.sym} -1080 -930 0 0 {name=p15 lab=vssd2}
+C {devices/ipin.sym} -1030 -860 0 0 {name=p16 lab=wb_clk_i}
+C {devices/ipin.sym} -1030 -830 0 0 {name=p17 lab=wb_rst_i}
+C {devices/ipin.sym} -1030 -800 0 0 {name=p18 lab=wbs_stb_i}
+C {devices/ipin.sym} -1030 -770 0 0 {name=p19 lab=wbs_cyc_i}
+C {devices/ipin.sym} -1030 -740 0 0 {name=p20 lab=wbs_we_i}
+C {devices/ipin.sym} -1030 -710 0 0 {name=p21 lab=wbs_sel_i[3:0]}
+C {devices/ipin.sym} -1030 -680 0 0 {name=p22 lab=wbs_dat_i[31:0]}
+C {devices/ipin.sym} -1030 -650 0 0 {name=p23 lab=wbs_adr_i[31:0]}
+C {devices/opin.sym} -1040 -590 0 0 {name=p24 lab=wbs_ack_o}
+C {devices/opin.sym} -1040 -560 0 0 {name=p25 lab=wbs_dat_o[31:0]}
+C {devices/ipin.sym} -1030 -520 0 0 {name=p26 lab=la_data_in[127:0]}
+C {devices/opin.sym} -1040 -490 0 0 {name=p27 lab=la_data_out[127:0]}
+C {devices/ipin.sym} -1030 -410 0 0 {name=p28 lab=io_in[26:0]}
+C {devices/ipin.sym} -1030 -380 0 0 {name=p29 lab=io_in_3v3[26:0]}
+C {devices/ipin.sym} -1040 -100 0 0 {name=p30 lab=user_clock2}
+C {devices/opin.sym} -1040 -350 0 0 {name=p31 lab=io_out[26:0]}
+C {devices/opin.sym} -1040 -320 0 0 {name=p32 lab=io_oeb[26:0]}
+C {devices/iopin.sym} -1070 -260 0 0 {name=p33 lab=gpio_analog[17:0]}
+C {devices/iopin.sym} -1070 -230 0 0 {name=p34 lab=gpio_noesd[17:0]}
+C {devices/iopin.sym} -1070 -200 0 0 {name=p35 lab=io_analog[10:0]}
+C {devices/iopin.sym} -1070 -170 0 0 {name=p36 lab=io_clamp_high[2:0]}
+C {devices/iopin.sym} -1070 -140 0 0 {name=p37 lab=io_clamp_low[2:0]}
+C {devices/opin.sym} -1050 -70 0 0 {name=p38 lab=user_irq[2:0]}
+C {devices/ipin.sym} -1030 -460 0 0 {name=p39 lab=la_oenb[127:0]}
+C {FG_pfet.sym} -410 -970 0 0 {name=x4}
+C {devices/lab_pin.sym} -380 -1030 2 0 {name=l19 lab=vssa2}
+C {devices/lab_pin.sym} -380 -940 2 0 {name=l20 lab=io_analog[9]}
+C {devices/lab_pin.sym} -610 -990 0 0 {name=l21 lab=io_analog[8]}
+C {devices/lab_pin.sym} -480 -1080 1 0 {name=l22 lab=io_analog[10]}
+C {C4.sym} 290 -1000 0 0 {name=x5}
+C {devices/lab_pin.sym} 480 -1000 0 1 {name=l23 sig_type=std_logic lab=vccd1}
+C {devices/lab_pin.sym} 480 -980 0 1 {name=l24 sig_type=std_logic lab=vssd1
+}
+C {devices/lab_pin.sym} 120 -1020 0 0 {name=l27 sig_type=std_logic lab=gpio_analog[1]
+}
+C {devices/lab_pin.sym} 120 -1000 0 0 {name=l25 sig_type=std_logic lab=gpio_analog[2]
+}
+C {devices/lab_pin.sym} 1230 -999 2 0 {name=l28 sig_type=std_logic lab=gpio_noesd[0]}
+C {sky130_sc_ams__ota_1.sym} 1080 -999 0 0 {name=x3}
+C {devices/lab_pin.sym} 1090 -879 0 0 {name=l17 sig_type=std_logic lab=vssd1}
+C {hv_tgate.sym} 327 -372 0 0 {name=x8}
+C {devices/lab_pin.sym} 357.1199999999999 -497.6099999999999 3 1 {name=l49 lab=vdda1}
+C {devices/lab_pin.sym} 357.3599999999999 -226.63 0 1 {name=l50 lab=vssa1}
+C {devices/lab_pin.sym} 222 -372 0 0 {name=l51 sig_type=std_logic lab=gpio_analog[11]}
+C {devices/lab_pin.sym} 327 -452 1 0 {name=l52 sig_type=std_logic lab=gpio_analog[9]}
+C {devices/lab_pin.sym} 327 -292 3 0 {name=l53 sig_type=std_logic lab=gpio_analog[10]}
+C {devices/lab_pin.sym} 437 -372 2 0 {name=l54 sig_type=std_logic lab=gpio_analog[12]}
+C {devices/lab_pin.sym} 1090 -1159 0 0 {name=l55 sig_type=std_logic lab=vccd1
+}
+C {devices/lab_pin.sym} 120 -980 0 0 {name=l8 sig_type=std_logic lab=io_analog[6]}
+C {sky130_sc_ams__ota_1.sym} 1080 -379 0 0 {name=x2}
+C {devices/lab_pin.sym} 1090 -259 0 0 {name=l10 sig_type=std_logic lab=vssd2}
+C {devices/lab_pin.sym} 1090 -539 0 0 {name=l11 sig_type=std_logic lab=vccd2
+}
+C {devices/lab_pin.sym} 910 -979 2 1 {name=l7 sig_type=std_logic lab=gpio_noesd[0]}
+C {devices/lab_pin.sym} 1000 -399 0 0 {name=l12 sig_type=std_logic lab=gpio_noesd[13]}
+C {devices/lab_pin.sym} 1000 -359 0 0 {name=l13 sig_type=std_logic lab=gpio_noesd[14]}
+C {devices/lab_pin.sym} 1160 -379 0 1 {name=l14 sig_type=std_logic lab=gpio_noesd[15]}
diff --git a/xschem/vsubs.sym b/xschem/vsubs.sym
new file mode 100644
index 0000000..c2bbda1
--- /dev/null
+++ b/xschem/vsubs.sym
@@ -0,0 +1,10 @@
+v {xschem version=3.0.0 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+L 4 335 -725 350 -725 {}
+B 5 350 -730 360 -720 {}
+P 4 5 240 -750 335 -750 335 -700 240 -700 240 -750 {}
+T {VSUBS} 250 -735 0 0 0.4 0.4 {}