| ############################################################################### |
| # Created by write_sdc |
| # Mon Sep 5 07:43:51 2022 |
| ############################################################################### |
| current_design axi_node_intf_wrap |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name clk -period 200.0000 [get_ports {clk}] |
| set_clock_transition 0.1500 [get_clocks {clk}] |
| set_clock_uncertainty 0.2500 clk |
| set_propagated_clock [get_clocks {clk}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_resp[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_resp[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_data[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_last}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_resp[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_resp[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_resp[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_resp[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_data[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_last}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_resp[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_resp[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_resp[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_resp[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_data[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_last}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_resp[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_resp[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {rst_n}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_addr[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_burst[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_burst[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_cache[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_cache[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_cache[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_cache[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_len[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_lock}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_prot[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_prot[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_prot[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_qos[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_qos[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_qos[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_qos[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_region[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_region[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_region[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_region[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_size[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_size[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_size[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_addr[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_burst[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_burst[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_cache[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_cache[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_cache[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_cache[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_len[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_lock}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_prot[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_prot[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_prot[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_qos[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_qos[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_qos[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_qos[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_region[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_region[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_region[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_region[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_size[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_size[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_size[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_data[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_last}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_strb[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_strb[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_strb[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_strb[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_addr[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_burst[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_burst[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_cache[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_cache[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_cache[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_cache[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_len[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_lock}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_prot[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_prot[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_prot[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_qos[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_qos[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_qos[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_qos[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_region[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_region[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_region[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_region[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_size[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_size[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_size[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_addr[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_burst[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_burst[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_cache[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_cache[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_cache[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_cache[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_len[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_lock}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_prot[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_prot[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_prot[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_qos[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_qos[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_qos[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_qos[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_region[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_region[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_region[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_region[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_size[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_size[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_size[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_data[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_last}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_strb[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_strb[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_strb[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_strb[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_addr[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_burst[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_burst[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_cache[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_cache[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_cache[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_cache[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_len[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_lock}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_prot[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_prot[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_prot[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_qos[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_qos[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_qos[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_qos[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_region[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_region[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_region[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_region[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_size[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_size[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_size[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_addr[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_burst[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_burst[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_cache[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_cache[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_cache[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_cache[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_id[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_len[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_lock}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_prot[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_prot[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_prot[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_qos[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_qos[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_qos[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_qos[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_region[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_region[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_region[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_region[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_size[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_size[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_size[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_ready}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[10]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[11]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[12]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[13]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[14]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[15]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[16]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[17]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[18]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[19]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[20]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[21]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[22]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[23]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[24]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[25]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[26]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[27]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[28]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[29]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[30]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[31]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[4]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[5]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[6]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[7]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[8]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_data[9]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_last}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_strb[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_strb[1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_strb[2]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_strb[3]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_user[-1]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_user[0]}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_valid}] |
| set_input_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {test_en_i}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_addr[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_burst[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_burst[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_cache[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_cache[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_cache[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_cache[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_len[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_lock}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_prot[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_prot[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_prot[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_qos[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_qos[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_qos[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_qos[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_region[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_region[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_region[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_region[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_size[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_size[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_size[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_ar_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_addr[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_burst[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_burst[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_cache[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_cache[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_cache[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_cache[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_len[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_lock}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_prot[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_prot[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_prot[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_qos[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_qos[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_qos[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_qos[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_region[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_region[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_region[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_region[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_size[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_size[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_size[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_aw_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_b_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_r_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_data[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_last}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_strb[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_strb[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_strb[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_strb[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m00_w_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_addr[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_burst[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_burst[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_cache[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_cache[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_cache[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_cache[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_len[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_lock}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_prot[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_prot[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_prot[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_qos[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_qos[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_qos[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_qos[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_region[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_region[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_region[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_region[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_size[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_size[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_size[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_ar_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_addr[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_burst[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_burst[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_cache[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_cache[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_cache[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_cache[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_len[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_lock}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_prot[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_prot[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_prot[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_qos[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_qos[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_qos[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_qos[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_region[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_region[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_region[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_region[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_size[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_size[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_size[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_aw_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_b_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_r_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_data[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_last}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_strb[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_strb[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_strb[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_strb[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m01_w_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_addr[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_burst[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_burst[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_cache[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_cache[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_cache[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_cache[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_len[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_lock}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_prot[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_prot[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_prot[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_qos[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_qos[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_qos[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_qos[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_region[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_region[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_region[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_region[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_size[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_size[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_size[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_ar_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_addr[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_burst[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_burst[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_cache[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_cache[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_cache[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_cache[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_len[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_lock}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_prot[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_prot[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_prot[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_qos[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_qos[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_qos[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_qos[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_region[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_region[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_region[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_region[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_size[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_size[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_size[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_aw_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_b_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_r_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_data[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_last}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_strb[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_strb[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_strb[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_strb[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {m02_w_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_ar_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_aw_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_resp[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_resp[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_b_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_data[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_last}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_resp[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_resp[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_r_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s00_w_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_ar_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_aw_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_resp[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_resp[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_b_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_data[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_last}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_resp[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_resp[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_r_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s01_w_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_ar_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_aw_ready}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_resp[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_resp[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_b_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[10]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[11]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[12]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[13]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[14]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[15]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[16]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[17]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[18]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[19]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[20]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[21]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[22]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[23]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[24]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[25]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[26]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[27]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[28]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[29]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[30]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[31]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_data[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[2]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[3]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[4]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[5]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[6]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[7]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[8]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_id[9]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_last}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_resp[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_resp[1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_user[-1]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_user[0]}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_r_valid}] |
| set_output_delay 40.0000 -clock [get_clocks {clk}] -add_delay [get_ports {s02_w_ready}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {m00_ar_lock}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_valid}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_lock}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_valid}] |
| set_load -pin_load 0.0334 [get_ports {m00_b_ready}] |
| set_load -pin_load 0.0334 [get_ports {m00_r_ready}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_last}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_valid}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_lock}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_valid}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_lock}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_valid}] |
| set_load -pin_load 0.0334 [get_ports {m01_b_ready}] |
| set_load -pin_load 0.0334 [get_ports {m01_r_ready}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_last}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_valid}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_lock}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_valid}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_lock}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_valid}] |
| set_load -pin_load 0.0334 [get_ports {m02_b_ready}] |
| set_load -pin_load 0.0334 [get_ports {m02_r_ready}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_last}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_valid}] |
| set_load -pin_load 0.0334 [get_ports {s00_ar_ready}] |
| set_load -pin_load 0.0334 [get_ports {s00_aw_ready}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_valid}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_last}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_valid}] |
| set_load -pin_load 0.0334 [get_ports {s00_w_ready}] |
| set_load -pin_load 0.0334 [get_ports {s01_ar_ready}] |
| set_load -pin_load 0.0334 [get_ports {s01_aw_ready}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_valid}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_last}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_valid}] |
| set_load -pin_load 0.0334 [get_ports {s01_w_ready}] |
| set_load -pin_load 0.0334 [get_ports {s02_ar_ready}] |
| set_load -pin_load 0.0334 [get_ports {s02_aw_ready}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_valid}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_last}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_valid}] |
| set_load -pin_load 0.0334 [get_ports {s02_w_ready}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[31]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[30]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[29]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[28]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[27]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[26]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[25]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[24]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[23]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[22]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[21]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[20]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[19]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[18]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[17]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[16]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[15]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[14]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[13]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[12]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[11]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[10]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[9]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[8]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_addr[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_burst[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_burst[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_cache[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_cache[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_cache[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_cache[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[11]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[10]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_len[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_prot[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_prot[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_prot[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_qos[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_qos[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_qos[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_qos[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_region[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_region[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_region[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_region[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_size[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_size[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_size[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_ar_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[31]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[30]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[29]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[28]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[27]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[26]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[25]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[24]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[23]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[22]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[21]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[20]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[19]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[18]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[17]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[16]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[15]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[14]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[13]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[12]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[11]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[10]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[9]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[8]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_addr[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_burst[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_burst[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_cache[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_cache[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_cache[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_cache[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[11]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[10]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_len[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_prot[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_prot[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_prot[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_qos[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_qos[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_qos[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_qos[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_region[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_region[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_region[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_region[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_size[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_size[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_size[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_aw_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[31]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[30]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[29]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[28]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[27]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[26]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[25]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[24]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[23]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[22]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[21]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[20]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[19]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[18]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[17]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[16]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[15]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[14]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[13]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[12]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[11]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[10]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[9]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[8]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[7]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[6]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[5]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[4]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_data[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_strb[3]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_strb[2]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_strb[1]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_strb[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m00_w_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[31]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[30]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[29]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[28]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[27]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[26]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[25]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[24]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[23]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[22]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[21]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[20]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[19]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[18]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[17]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[16]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[15]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[14]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[13]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[12]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[11]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[10]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[9]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[8]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_addr[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_burst[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_burst[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_cache[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_cache[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_cache[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_cache[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[11]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[10]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_len[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_prot[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_prot[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_prot[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_qos[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_qos[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_qos[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_qos[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_region[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_region[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_region[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_region[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_size[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_size[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_size[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_ar_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[31]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[30]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[29]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[28]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[27]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[26]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[25]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[24]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[23]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[22]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[21]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[20]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[19]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[18]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[17]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[16]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[15]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[14]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[13]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[12]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[11]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[10]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[9]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[8]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_addr[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_burst[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_burst[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_cache[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_cache[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_cache[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_cache[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[11]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[10]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_len[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_prot[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_prot[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_prot[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_qos[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_qos[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_qos[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_qos[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_region[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_region[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_region[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_region[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_size[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_size[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_size[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_aw_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[31]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[30]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[29]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[28]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[27]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[26]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[25]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[24]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[23]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[22]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[21]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[20]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[19]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[18]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[17]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[16]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[15]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[14]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[13]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[12]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[11]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[10]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[9]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[8]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[7]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[6]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[5]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[4]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_data[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_strb[3]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_strb[2]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_strb[1]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_strb[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m01_w_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[31]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[30]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[29]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[28]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[27]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[26]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[25]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[24]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[23]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[22]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[21]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[20]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[19]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[18]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[17]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[16]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[15]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[14]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[13]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[12]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[11]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[10]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[9]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[8]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_addr[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_burst[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_burst[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_cache[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_cache[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_cache[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_cache[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[11]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[10]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_len[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_prot[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_prot[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_prot[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_qos[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_qos[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_qos[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_qos[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_region[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_region[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_region[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_region[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_size[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_size[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_size[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_ar_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[31]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[30]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[29]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[28]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[27]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[26]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[25]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[24]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[23]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[22]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[21]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[20]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[19]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[18]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[17]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[16]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[15]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[14]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[13]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[12]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[11]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[10]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[9]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[8]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_addr[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_burst[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_burst[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_cache[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_cache[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_cache[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_cache[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[11]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[10]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_len[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_prot[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_prot[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_prot[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_qos[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_qos[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_qos[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_qos[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_region[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_region[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_region[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_region[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_size[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_size[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_size[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_aw_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[31]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[30]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[29]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[28]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[27]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[26]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[25]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[24]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[23]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[22]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[21]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[20]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[19]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[18]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[17]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[16]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[15]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[14]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[13]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[12]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[11]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[10]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[9]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[8]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[7]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[6]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[5]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[4]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_data[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_strb[3]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_strb[2]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_strb[1]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_strb[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {m02_w_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_resp[1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_resp[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_b_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[31]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[30]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[29]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[28]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[27]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[26]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[25]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[24]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[23]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[22]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[21]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[20]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[19]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[18]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[17]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[16]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[15]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[14]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[13]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[12]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[11]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[10]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[9]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[8]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[7]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[6]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[5]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[4]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[3]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[2]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_data[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_resp[1]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_resp[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {s00_r_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_resp[1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_resp[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_b_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[31]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[30]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[29]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[28]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[27]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[26]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[25]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[24]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[23]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[22]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[21]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[20]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[19]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[18]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[17]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[16]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[15]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[14]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[13]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[12]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[11]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[10]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[9]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[8]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[7]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[6]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[5]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[4]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[3]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[2]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_data[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_resp[1]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_resp[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {s01_r_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_resp[1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_resp[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_b_user[-1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[31]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[30]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[29]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[28]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[27]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[26]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[25]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[24]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[23]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[22]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[21]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[20]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[19]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[18]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[17]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[16]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[15]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[14]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[13]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[12]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[11]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[10]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[9]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[8]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[7]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[6]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[5]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[4]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[3]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[2]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_data[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[9]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[8]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[7]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[6]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[5]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[4]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[3]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[2]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_id[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_resp[1]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_resp[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_user[0]}] |
| set_load -pin_load 0.0334 [get_ports {s02_r_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clk}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_ar_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_aw_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_last}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_w_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_ar_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_aw_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_last}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_w_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_ar_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_aw_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_last}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_w_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {rst_n}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_lock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_lock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_b_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_r_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_last}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_lock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_lock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_b_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_r_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_last}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_lock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_lock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_b_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_r_ready}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_last}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_valid}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {test_en_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_resp[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_resp[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_b_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_data[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_resp[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_resp[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m00_r_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_resp[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_resp[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_b_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_data[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_resp[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_resp[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m01_r_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_resp[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_resp[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_b_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_data[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_resp[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_resp[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {m02_r_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_addr[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_burst[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_burst[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_cache[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_cache[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_cache[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_cache[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_len[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_prot[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_prot[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_prot[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_qos[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_qos[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_qos[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_qos[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_region[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_region[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_region[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_region[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_size[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_size[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_size[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_ar_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_addr[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_burst[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_burst[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_cache[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_cache[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_cache[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_cache[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_len[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_prot[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_prot[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_prot[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_qos[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_qos[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_qos[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_qos[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_region[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_region[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_region[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_region[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_size[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_size[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_size[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_aw_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_data[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_strb[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_strb[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_strb[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_strb[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s00_w_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_addr[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_burst[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_burst[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_cache[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_cache[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_cache[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_cache[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_len[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_prot[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_prot[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_prot[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_qos[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_qos[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_qos[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_qos[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_region[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_region[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_region[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_region[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_size[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_size[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_size[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_ar_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_addr[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_burst[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_burst[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_cache[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_cache[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_cache[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_cache[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_len[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_prot[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_prot[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_prot[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_qos[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_qos[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_qos[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_qos[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_region[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_region[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_region[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_region[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_size[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_size[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_size[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_aw_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_data[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_strb[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_strb[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_strb[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_strb[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s01_w_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_addr[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_burst[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_burst[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_cache[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_cache[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_cache[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_cache[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_len[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_prot[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_prot[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_prot[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_qos[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_qos[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_qos[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_qos[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_region[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_region[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_region[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_region[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_size[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_size[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_size[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_ar_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_addr[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_burst[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_burst[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_cache[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_cache[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_cache[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_cache[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_id[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_len[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_prot[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_prot[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_prot[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_qos[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_qos[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_qos[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_qos[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_region[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_region[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_region[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_region[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_size[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_size[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_size[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_aw_user[-1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_data[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_strb[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_strb[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_strb[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_strb[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_user[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {s02_w_user[-1]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 5.0000 [current_design] |