blob: 2568bcebfc4949819ffee15bf1da823305bbc6e5 [file] [log] [blame]
#! /usr/bin/vvp
:ivl_version "10.3 (stable)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 11;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x560d6dfeb9b0 .scope module, "decoder_tb" "decoder_tb" 2 1;
.timescale -9 -11;
v0x560d6dffdd90_0 .net "fullTarget", 255 0, v0x560d6dffd6e0_0; 1 drivers
v0x560d6dffde50_0 .var "target", 31 0;
S_0x560d6dfebb30 .scope module, "dec1" "decoder" 2 6, 3 13 0, S_0x560d6dfeb9b0;
.timescale -9 -11;
.port_info 0 /INPUT 32 "target"
.port_info 1 /OUTPUT 256 "fullTarget"
v0x560d6dfc7330_0 .var "decoded", 255 0;
v0x560d6dffd6e0_0 .var "fullTarget", 255 0;
v0x560d6dffd7c0_0 .var/i "i", 31 0;
v0x560d6dffd880_0 .var/i "leftBuffer", 31 0;
v0x560d6dffd960_0 .var "sizeOnes", 3 0;
v0x560d6dffda90_0 .var "sizeTens", 3 0;
v0x560d6dffdb70_0 .var/i "spacing", 31 0;
v0x560d6dffdc50_0 .net "target", 31 0, v0x560d6dffde50_0; 1 drivers
.scope S_0x560d6dfebb30;
T_0 ;
%load/vec4 v0x560d6dffdc50_0;
%parti/s 4, 28, 6;
%store/vec4 v0x560d6dffda90_0, 0, 4;
%load/vec4 v0x560d6dffdc50_0;
%parti/s 4, 24, 6;
%store/vec4 v0x560d6dffd960_0, 0, 4;
%load/vec4 v0x560d6dffda90_0;
%pad/u 32;
%muli 16, 0, 32;
%load/vec4 v0x560d6dffd960_0;
%pad/u 32;
%add;
%store/vec4 v0x560d6dffdb70_0, 0, 32;
%pushi/vec4 32, 0, 32;
%load/vec4 v0x560d6dffdb70_0;
%sub;
%store/vec4 v0x560d6dffd880_0, 0, 32;
%pushi/vec4 255, 0, 32;
%store/vec4 v0x560d6dffd7c0_0, 0, 32;
T_0.0 ;
%pushi/vec4 254, 0, 32;
%load/vec4 v0x560d6dffd880_0;
%sub;
%load/vec4 v0x560d6dffd7c0_0;
%cmp/s;
%jmp/0xz T_0.1, 5;
%pushi/vec4 0, 0, 1;
%ix/getv/s 4, v0x560d6dffd7c0_0;
%store/vec4 v0x560d6dfc7330_0, 4, 1;
%load/vec4 v0x560d6dffd7c0_0;
%pushi/vec4 1, 0, 32;
%sub;
%store/vec4 v0x560d6dffd7c0_0, 0, 32;
%jmp T_0.0;
T_0.1 ;
%pushi/vec4 0, 0, 32;
%store/vec4 v0x560d6dffd7c0_0, 0, 32;
T_0.2 ;
%load/vec4 v0x560d6dffd7c0_0;
%cmpi/s 24, 0, 32;
%jmp/0xz T_0.3, 5;
%load/vec4 v0x560d6dffdc50_0;
%load/vec4 v0x560d6dffd7c0_0;
%part/s 1;
%pushi/vec4 255, 0, 32;
%load/vec4 v0x560d6dffd880_0;
%sub;
%subi 25, 0, 32;
%load/vec4 v0x560d6dffd7c0_0;
%add;
%ix/vec4/s 4;
%store/vec4 v0x560d6dfc7330_0, 4, 1;
%load/vec4 v0x560d6dffd7c0_0;
%pushi/vec4 1, 0, 32;
%add;
%store/vec4 v0x560d6dffd7c0_0, 0, 32;
%jmp T_0.2;
T_0.3 ;
%pushi/vec4 255, 0, 32;
%load/vec4 v0x560d6dffd880_0;
%sub;
%subi 26, 0, 32;
%store/vec4 v0x560d6dffd7c0_0, 0, 32;
T_0.4 ;
%pushi/vec4 4294967295, 0, 32;
%load/vec4 v0x560d6dffd7c0_0;
%cmp/s;
%jmp/0xz T_0.5, 5;
%pushi/vec4 0, 0, 1;
%ix/getv/s 4, v0x560d6dffd7c0_0;
%store/vec4 v0x560d6dfc7330_0, 4, 1;
%load/vec4 v0x560d6dffd7c0_0;
%pushi/vec4 1, 0, 32;
%sub;
%store/vec4 v0x560d6dffd7c0_0, 0, 32;
%jmp T_0.4;
T_0.5 ;
%load/vec4 v0x560d6dfc7330_0;
%store/vec4 v0x560d6dffd6e0_0, 0, 256;
%end;
.thread T_0;
.scope S_0x560d6dfeb9b0;
T_1 ;
%vpi_call 2 10 "$dumpfile", "decoder_tb.vcd" {0 0 0};
%vpi_call 2 11 "$dumpvars" {0 0 0};
%pushi/vec4 419668748, 0, 32;
%cassign/vec4 v0x560d6dffde50_0;
%vpi_call 2 13 "$display", "FINISHED decoder_tb" {0 0 0};
%vpi_call 2 14 "$display", "Output: %h", v0x560d6dffdd90_0 {0 0 0};
%vpi_call 2 15 "$finish" {0 0 0};
%end;
.thread T_1;
# The file index is used to find the file name in the following table.
:file_names 4;
"N/A";
"<interactive>";
"decoder_tb.sv";
"decoder.sv";