blob: cd2d23c9dd34f691b1a7ff423a21343f2d4cc8ab [file] [log] [blame]
exec> report_checks \
-path_delay max \
-through _70e03d1900000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
design: caravel
time: Mon Dec 5 21:59:55 UTC 2022
Startpoint: soc/_31742_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_csb (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2729 0.2421 2.4291 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1626 clock_core_buf (net)
0.3475 0.1144 2.5435 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2197 2.7632 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0464 0.0006 2.7637 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0269 0.1128 2.8765 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0269 0.0001 2.8766 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0990 0.1621 3.0387 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.0990 0.0004 3.0391 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0447 0.1537 3.1928 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0447 0.0005 3.1933 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0281 0.1137 3.3070 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0281 0.0001 3.3072 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0902 0.1551 3.4623 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.0902 0.0003 3.4626 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0405 0.1457 3.6083 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0405 0.0003 3.6086 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2261 0.2411 3.8498 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.2922 0.0964 3.9462 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.2986 0.3386 4.2848 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1777 caravel_clk_buf (net)
0.3797 0.1246 4.4094 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0680 0.2473 4.6567 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0431 soc/clknet_0_core_clk (net)
0.0681 0.0015 4.6582 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0506 0.1443 4.8025 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0506 0.0014 4.8039 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0513 0.1383 4.9422 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0513 0.0016 4.9438 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0526 0.1404 5.0842 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0527 0.0016 5.0858 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.1389 5.2247 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0511 0.0015 5.2262 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0485 0.1359 5.3622 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0486 0.0013 5.3635 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0342 0.1201 5.4836 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0342 0.0003 5.4839 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0991 0.1687 5.6526 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0506 soc/clknet_1_1_6_core_clk (net)
0.0999 0.0074 5.6600 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0479 0.1539 5.8139 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0479 0.0014 5.8153 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0995 0.1768 5.9921 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0512 soc/clknet_2_3_1_core_clk (net)
0.0996 0.0024 5.9945 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0522 0.1586 6.1531 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0522 0.0010 6.1540 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.1385 6.2925 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0507 0.0013 6.2938 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.1663 6.4601 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0425 soc/clknet_3_7_2_core_clk (net)
0.0864 0.0043 6.4644 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0898 0.1831 6.6474 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0448 soc/clknet_4_15_0_core_clk (net)
0.0899 0.0032 6.6506 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0376 0.1398 6.7904 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0377 0.0006 6.7909 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5230 0.4745 7.2654 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3102 soc/clknet_5_31_1_core_clk (net)
0.5243 0.0226 7.2880 ^ soc/clkbuf_leaf_203_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0978 0.2988 7.5868 ^ soc/clkbuf_leaf_203_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0740 soc/clknet_leaf_203_core_clk (net)
0.0980 0.0036 7.5904 ^ soc/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.0975 0.3868 7.9771 v soc/_31742_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.0196 soc/core.mgmtsoc_litespisdrphycore_count[2] (net)
0.0975 0.0009 7.9780 v soc/_14217_/B (sky130_fd_sc_hd__nor4_4)
0.9003 0.8360 8.8140 ^ soc/_14217_/Y (sky130_fd_sc_hd__nor4_4)
8 0.0658 soc/_13681_ (net)
0.9003 0.0039 8.8179 ^ soc/_14218_/A (sky130_fd_sc_hd__inv_2)
0.1514 0.1289 8.9468 v soc/_14218_/Y (sky130_fd_sc_hd__inv_2)
1 0.0123 soc/net220 (net)
0.1515 0.0006 8.9474 v soc/output220/A (sky130_fd_sc_hd__buf_12)
0.0263 0.1763 9.1237 v soc/output220/X (sky130_fd_sc_hd__buf_12)
1 0.0130 flash_csb_core (net)
0.0263 0.0009 9.1246 v housekeeping/input84/A (sky130_fd_sc_hd__buf_2)
0.1298 0.2053 9.3299 v housekeeping/input84/X (sky130_fd_sc_hd__buf_2)
1 0.0535 housekeeping/net84 (net)
0.1313 0.0118 9.3417 v housekeeping/_3943_/A0 (sky130_fd_sc_hd__mux2_2)
0.0982 0.3694 9.7111 v housekeeping/_3943_/X (sky130_fd_sc_hd__mux2_2)
1 0.0229 housekeeping/net249 (net)
0.0983 0.0024 9.7135 v housekeeping/output249/A (sky130_fd_sc_hd__buf_12)
0.0560 0.1746 9.8881 v housekeeping/output249/X (sky130_fd_sc_hd__buf_12)
1 0.1257 flash_csb_frame (net)
0.1495 0.0693 9.9573 v flash_clkrst_buffers/BUF[11]/A (sky130_fd_sc_hd__clkbuf_8)
0.1019 0.2562 10.2136 v flash_clkrst_buffers/BUF[11]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0885 flash_csb_frame_buf (net)
0.1019 0.0000 10.2136 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 4.9441 15.1576 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_csb (net)
1.9997 0.0000 15.1576 v flash_csb (out)
15.1576 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-15.1576 data arrival time
-------------------------------------------------------------------------------------
5.7424 slack (MET)
Startpoint: soc/_32578_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_io0 (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2729 0.2421 2.4291 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1626 clock_core_buf (net)
0.3475 0.1144 2.5435 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2197 2.7632 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0464 0.0006 2.7637 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0269 0.1128 2.8765 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0269 0.0001 2.8766 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0990 0.1621 3.0387 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.0990 0.0004 3.0391 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0447 0.1537 3.1928 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0447 0.0005 3.1933 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0281 0.1137 3.3070 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0281 0.0001 3.3072 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0902 0.1551 3.4623 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.0902 0.0003 3.4626 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0405 0.1457 3.6083 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0405 0.0003 3.6086 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2261 0.2411 3.8498 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.2922 0.0964 3.9462 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.2986 0.3386 4.2848 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1777 caravel_clk_buf (net)
0.3797 0.1246 4.4094 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0680 0.2473 4.6567 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0431 soc/clknet_0_core_clk (net)
0.0681 0.0015 4.6582 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0506 0.1443 4.8025 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0506 0.0014 4.8039 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0513 0.1383 4.9422 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0513 0.0016 4.9438 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0526 0.1404 5.0842 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0527 0.0016 5.0858 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.1389 5.2247 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0511 0.0015 5.2262 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0485 0.1359 5.3622 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0486 0.0013 5.3635 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0342 0.1201 5.4836 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0342 0.0003 5.4839 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0991 0.1687 5.6526 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0506 soc/clknet_1_1_6_core_clk (net)
0.0999 0.0074 5.6600 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0479 0.1539 5.8139 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0479 0.0014 5.8153 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0995 0.1768 5.9921 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0512 soc/clknet_2_3_1_core_clk (net)
0.0996 0.0024 5.9945 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0522 0.1586 6.1531 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0522 0.0010 6.1540 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.1385 6.2925 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0507 0.0013 6.2938 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.1663 6.4601 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0425 soc/clknet_3_7_2_core_clk (net)
0.0864 0.0043 6.4644 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0898 0.1831 6.6474 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0448 soc/clknet_4_15_0_core_clk (net)
0.0899 0.0032 6.6506 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0376 0.1398 6.7904 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0377 0.0006 6.7909 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5230 0.4745 7.2654 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3102 soc/clknet_5_31_1_core_clk (net)
0.5242 0.0218 7.2872 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0620 0.2653 7.5525 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0311 soc/clknet_leaf_207_core_clk (net)
0.0620 0.0011 7.5536 ^ soc/_32578_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.0948 0.3703 7.9238 v soc/_32578_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0189 soc/net222 (net)
0.0948 0.0014 7.9253 v soc/output222/A (sky130_fd_sc_hd__buf_12)
0.0258 0.1488 8.0741 v soc/output222/X (sky130_fd_sc_hd__buf_12)
1 0.0129 flash_io0_oeb_core (net)
0.0259 0.0009 8.0749 v housekeeping/input86/A (sky130_fd_sc_hd__clkbuf_4)
0.1670 0.2413 8.3163 v housekeeping/input86/X (sky130_fd_sc_hd__clkbuf_4)
1 0.0827 housekeeping/net86 (net)
0.1694 0.0165 8.3327 v housekeeping/_3947_/B (sky130_fd_sc_hd__nand2b_1)
0.1817 0.2220 8.5547 ^ housekeeping/_3947_/Y (sky130_fd_sc_hd__nand2b_1)
2 0.0168 housekeeping/net252 (net)
0.1817 0.0004 8.5551 ^ housekeeping/_3948_/A (sky130_fd_sc_hd__inv_2)
0.0525 0.0699 8.6250 v housekeeping/_3948_/Y (sky130_fd_sc_hd__inv_2)
1 0.0097 housekeeping/net253 (net)
0.0525 0.0003 8.6253 v housekeeping/output253/A (sky130_fd_sc_hd__buf_12)
0.0616 0.1547 8.7800 v housekeeping/output253/X (sky130_fd_sc_hd__buf_12)
1 0.1296 flash_io0_oeb (net)
0.1469 0.0673 8.8473 v flash_clkrst_buffers/BUF[8]/A (sky130_fd_sc_hd__clkbuf_8)
0.0568 0.2155 9.0629 v flash_clkrst_buffers/BUF[8]/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0382 flash_io0_oeb_buf (net)
0.0568 0.0000 9.0629 v padframe/flash_io0_pad/OE_N (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 5.2221 14.2850 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_io0 (net)
1.9997 0.0000 14.2850 v flash_io0 (out)
14.2850 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-14.2850 data arrival time
-------------------------------------------------------------------------------------
6.6150 slack (MET)
Startpoint: soc/_32577_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_clk (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2729 0.2421 2.4291 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1626 clock_core_buf (net)
0.3475 0.1144 2.5435 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0464 0.2197 2.7632 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0464 0.0006 2.7637 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0269 0.1128 2.8765 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0269 0.0001 2.8766 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0990 0.1621 3.0387 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.0990 0.0004 3.0391 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0447 0.1537 3.1928 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0447 0.0005 3.1933 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0281 0.1137 3.3070 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0281 0.0001 3.3072 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0902 0.1551 3.4623 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.0902 0.0003 3.4626 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0405 0.1457 3.6083 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0405 0.0003 3.6086 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2261 0.2411 3.8498 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.2922 0.0964 3.9462 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.2986 0.3386 4.2848 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1777 caravel_clk_buf (net)
0.3797 0.1246 4.4094 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0680 0.2473 4.6567 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0431 soc/clknet_0_core_clk (net)
0.0681 0.0015 4.6582 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0506 0.1443 4.8025 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0506 0.0014 4.8039 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0513 0.1383 4.9422 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0513 0.0016 4.9438 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0526 0.1404 5.0842 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0527 0.0016 5.0858 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.1389 5.2247 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0511 0.0015 5.2262 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0485 0.1359 5.3622 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0486 0.0013 5.3635 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0342 0.1201 5.4836 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0342 0.0003 5.4839 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0991 0.1687 5.6526 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0506 soc/clknet_1_1_6_core_clk (net)
0.0999 0.0074 5.6600 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0479 0.1539 5.8139 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0479 0.0014 5.8153 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0995 0.1768 5.9921 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0512 soc/clknet_2_3_1_core_clk (net)
0.0996 0.0024 5.9945 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0522 0.1586 6.1531 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0522 0.0010 6.1540 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0507 0.1385 6.2925 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0507 0.0013 6.2938 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.1663 6.4601 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0425 soc/clknet_3_7_2_core_clk (net)
0.0864 0.0043 6.4644 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0898 0.1831 6.6474 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0448 soc/clknet_4_15_0_core_clk (net)
0.0899 0.0032 6.6506 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0376 0.1398 6.7904 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0377 0.0006 6.7909 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5230 0.4745 7.2654 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3102 soc/clknet_5_31_1_core_clk (net)
0.5246 0.0245 7.2900 ^ soc/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0815 0.2842 7.5742 ^ soc/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0552 soc/clknet_leaf_200_core_clk (net)
0.0815 0.0009 7.5751 ^ soc/_32577_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.0779 0.3838 7.9589 v soc/_32577_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.0286 soc/net219 (net)
0.0781 0.0038 7.9627 v soc/output219/A (sky130_fd_sc_hd__buf_12)
0.0256 0.1406 8.1033 v soc/output219/X (sky130_fd_sc_hd__buf_12)
1 0.0126 flash_clk_core (net)
0.0256 0.0008 8.1041 v housekeeping/input83/A (sky130_fd_sc_hd__buf_2)
0.1035 0.1876 8.2917 v housekeeping/input83/X (sky130_fd_sc_hd__buf_2)
1 0.0417 housekeeping/net83 (net)
0.1045 0.0082 8.3000 v housekeeping/_3945_/A0 (sky130_fd_sc_hd__mux2_1)
0.1184 0.3909 8.6908 v housekeeping/_3945_/X (sky130_fd_sc_hd__mux2_1)
1 0.0167 housekeeping/pad_flash_clk_prebuff (net)
0.1184 0.0015 8.6923 v housekeeping/pad_flashh_clk_buff_inst/A (sky130_fd_sc_hd__clkbuf_8)
0.1353 0.2309 8.9232 v housekeeping/pad_flashh_clk_buff_inst/X (sky130_fd_sc_hd__clkbuf_8)
1 0.1333 flash_clk_frame (net)
0.2102 0.0833 9.0065 v flash_clkrst_buffers/BUF[12]/A (sky130_fd_sc_hd__clkbuf_8)
0.0989 0.2846 9.2911 v flash_clkrst_buffers/BUF[12]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0856 flash_clk_frame_buf (net)
0.0989 0.0000 9.2911 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 4.9422 14.2333 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_clk (net)
1.9997 0.0000 14.2333 v flash_clk (out)
14.2333 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-14.2333 data arrival time
-------------------------------------------------------------------------------------
6.6667 slack (MET)