blob: b1fd7b837ca9f01fb596d1724aafa52c2dde32b2 [file] [log] [blame]
exec> report_checks \
-path_delay max \
-through _106ac81800000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
design: caravel
time: Mon Dec 5 22:21:36 UTC 2022
Startpoint: soc/_31742_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_csb (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1361 0.0043 10.5852 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3278 10.9130 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_15_0_core_clk (net)
0.1417 0.0032 10.9162 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0632 0.2569 11.1731 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0632 0.0006 11.1736 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8025 0.7857 11.9594 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3120 soc/clknet_5_31_1_core_clk (net)
0.8033 0.0228 11.9821 ^ soc/clkbuf_leaf_203_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1477 0.5916 12.5737 ^ soc/clkbuf_leaf_203_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0733 soc/clknet_leaf_203_core_clk (net)
0.1479 0.0036 12.5773 ^ soc/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1843 0.7524 13.3297 v soc/_31742_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.0197 soc/core.mgmtsoc_litespisdrphycore_count[2] (net)
0.1843 0.0009 13.3306 v soc/_14217_/B (sky130_fd_sc_hd__nor4_4)
1.4753 1.4276 14.7582 ^ soc/_14217_/Y (sky130_fd_sc_hd__nor4_4)
8 0.0661 soc/_13681_ (net)
1.4753 0.0039 14.7621 ^ soc/_14218_/A (sky130_fd_sc_hd__inv_2)
0.2636 0.4284 15.1905 v soc/_14218_/Y (sky130_fd_sc_hd__inv_2)
1 0.0122 soc/net220 (net)
0.2636 0.0006 15.1911 v soc/output220/A (sky130_fd_sc_hd__buf_12)
0.0436 0.3225 15.5136 v soc/output220/X (sky130_fd_sc_hd__buf_12)
1 0.0130 flash_csb_core (net)
0.0436 0.0009 15.5144 v housekeeping/input84/A (sky130_fd_sc_hd__buf_2)
0.2450 0.4019 15.9163 v housekeeping/input84/X (sky130_fd_sc_hd__buf_2)
1 0.0535 housekeeping/net84 (net)
0.2458 0.0119 15.9282 v housekeeping/_3943_/A0 (sky130_fd_sc_hd__mux2_2)
0.1804 0.7613 16.6895 v housekeeping/_3943_/X (sky130_fd_sc_hd__mux2_2)
1 0.0227 housekeeping/net249 (net)
0.1805 0.0024 16.6919 v housekeeping/output249/A (sky130_fd_sc_hd__buf_12)
0.1195 0.3333 17.0252 v housekeeping/output249/X (sky130_fd_sc_hd__buf_12)
1 0.1258 flash_csb_frame (net)
0.1855 0.0719 17.0971 v flash_clkrst_buffers/BUF[11]/A (sky130_fd_sc_hd__clkbuf_8)
0.1783 0.4213 17.5183 v flash_clkrst_buffers/BUF[11]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0885 flash_csb_frame_buf (net)
0.1783 0.0000 17.5183 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
2.9354 7.4535 24.9719 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1630 flash_csb (net)
2.9354 0.0000 24.9719 v flash_csb (out)
24.9719 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-24.9719 data arrival time
-------------------------------------------------------------------------------------
-4.0719 slack (VIOLATED)
Startpoint: soc/_32577_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_clk (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1361 0.0043 10.5852 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3278 10.9130 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_15_0_core_clk (net)
0.1417 0.0032 10.9162 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0632 0.2569 11.1731 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0632 0.0006 11.1736 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8025 0.7857 11.9594 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3120 soc/clknet_5_31_1_core_clk (net)
0.8035 0.0247 11.9841 ^ soc/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1235 0.5707 12.5548 ^ soc/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0547 soc/clknet_leaf_200_core_clk (net)
0.1235 0.0009 12.5557 ^ soc/_32577_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.1387 0.7442 13.2999 v soc/_32577_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.0285 soc/net219 (net)
0.1389 0.0038 13.3037 v soc/output219/A (sky130_fd_sc_hd__buf_12)
0.0425 0.2597 13.5635 v soc/output219/X (sky130_fd_sc_hd__buf_12)
1 0.0126 flash_clk_core (net)
0.0425 0.0008 13.5643 v housekeeping/input83/A (sky130_fd_sc_hd__buf_2)
0.1969 0.3649 13.9292 v housekeeping/input83/X (sky130_fd_sc_hd__buf_2)
1 0.0417 housekeeping/net83 (net)
0.1974 0.0082 13.9375 v housekeeping/_3945_/A0 (sky130_fd_sc_hd__mux2_1)
0.2307 0.8670 14.8044 v housekeeping/_3945_/X (sky130_fd_sc_hd__mux2_1)
1 0.0167 housekeeping/pad_flash_clk_prebuff (net)
0.2307 0.0015 14.8059 v housekeeping/pad_flashh_clk_buff_inst/A (sky130_fd_sc_hd__clkbuf_8)
0.2477 0.4548 15.2607 v housekeeping/pad_flashh_clk_buff_inst/X (sky130_fd_sc_hd__clkbuf_8)
1 0.1333 flash_clk_frame (net)
0.2943 0.0840 15.3447 v flash_clkrst_buffers/BUF[12]/A (sky130_fd_sc_hd__clkbuf_8)
0.1732 0.4731 15.8178 v flash_clkrst_buffers/BUF[12]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0856 flash_clk_frame_buf (net)
0.1732 0.0000 15.8178 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
2.9354 7.4502 23.2681 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1630 flash_clk (net)
2.9354 0.0000 23.2681 v flash_clk (out)
23.2681 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-23.2681 data arrival time
-------------------------------------------------------------------------------------
-2.3681 slack (VIOLATED)
Startpoint: soc/_32578_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_io0 (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1361 0.0043 10.5852 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3278 10.9130 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_15_0_core_clk (net)
0.1417 0.0032 10.9162 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0632 0.2569 11.1731 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0632 0.0006 11.1736 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8025 0.7857 11.9594 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3120 soc/clknet_5_31_1_core_clk (net)
0.8033 0.0220 11.9813 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0919 0.5372 12.5185 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0299 soc/clknet_leaf_207_core_clk (net)
0.0919 0.0010 12.5195 ^ soc/_32578_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1763 0.7191 13.2386 v soc/_32578_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0188 soc/net222 (net)
0.1763 0.0014 13.2400 v soc/output222/A (sky130_fd_sc_hd__buf_12)
0.0428 0.2791 13.5190 v soc/output222/X (sky130_fd_sc_hd__buf_12)
1 0.0129 flash_io0_oeb_core (net)
0.0428 0.0009 13.5199 v housekeeping/input86/A (sky130_fd_sc_hd__clkbuf_4)
0.2969 0.4389 13.9588 v housekeeping/input86/X (sky130_fd_sc_hd__clkbuf_4)
1 0.0827 housekeeping/net86 (net)
0.2982 0.0166 13.9754 v housekeeping/_3947_/B (sky130_fd_sc_hd__nand2b_1)
0.2848 0.3755 14.3509 ^ housekeeping/_3947_/Y (sky130_fd_sc_hd__nand2b_1)
2 0.0166 housekeeping/net252 (net)
0.2848 0.0004 14.3513 ^ housekeeping/_3948_/A (sky130_fd_sc_hd__inv_2)
0.0808 0.1585 14.5098 v housekeeping/_3948_/Y (sky130_fd_sc_hd__inv_2)
1 0.0095 housekeeping/net253 (net)
0.0808 0.0003 14.5102 v housekeeping/output253/A (sky130_fd_sc_hd__buf_12)
0.1251 0.2867 14.7969 v housekeeping/output253/X (sky130_fd_sc_hd__buf_12)
1 0.1296 flash_io0_oeb (net)
0.1846 0.0696 14.8664 v flash_clkrst_buffers/BUF[8]/A (sky130_fd_sc_hd__clkbuf_8)
0.0959 0.3550 15.2214 v flash_clkrst_buffers/BUF[8]/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0382 flash_io0_oeb_buf (net)
0.0959 0.0000 15.2214 v padframe/flash_io0_pad/OE_N (sky130_ef_io__gpiov2_pad_wrapped)
2.9354 7.8652 23.0866 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1630 flash_io0 (net)
2.9354 0.0000 23.0866 v flash_io0 (out)
23.0866 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-23.0866 data arrival time
-------------------------------------------------------------------------------------
-2.1866 slack (VIOLATED)
Startpoint: soc/_31189_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30758_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1361 0.0043 10.5852 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3278 10.9130 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_15_0_core_clk (net)
0.1417 0.0032 10.9162 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0632 0.2569 11.1731 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0108 soc/clknet_5_31_0_core_clk (net)
0.0632 0.0006 11.1736 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8025 0.7857 11.9594 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3120 soc/clknet_5_31_1_core_clk (net)
0.8033 0.0220 11.9813 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0919 0.5372 12.5185 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0299 soc/clknet_leaf_207_core_clk (net)
0.0919 0.0010 12.5194 ^ soc/_31189_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.4326 0.9695 13.4889 ^ soc/_31189_/Q (sky130_fd_sc_hd__dfxtp_2)
6 0.0538 soc/core.gpio_ien_storage (net)
0.4328 0.0068 13.4958 ^ soc/_13758_/A (sky130_fd_sc_hd__clkinv_4)
0.1029 0.2039 13.6997 v soc/_13758_/Y (sky130_fd_sc_hd__clkinv_4)
1 0.0099 soc/net223 (net)
0.1029 0.0006 13.7002 v soc/wire3378/A (sky130_fd_sc_hd__buf_4)
0.2279 0.4185 14.1188 v soc/wire3378/X (sky130_fd_sc_hd__buf_4)
2 0.0978 soc/net3378 (net)
0.2398 0.0419 14.1606 v soc/output223/A (sky130_fd_sc_hd__buf_12)
0.0489 0.3190 14.4797 v soc/output223/X (sky130_fd_sc_hd__buf_12)
1 0.0212 gpio_inenb_core (net)
0.0489 0.0000 14.4797 v padframe/gpio_pad/INP_DIS (sky130_ef_io__gpiov2_pad_wrapped)
0.1304 22.3627 36.8424 ^ padframe/gpio_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
2 0.0058 gpio_in_core (net)
0.1304 0.0000 36.8424 ^ soc/input5/A (sky130_fd_sc_hd__buf_6)
0.1262 0.2594 37.1018 ^ soc/input5/X (sky130_fd_sc_hd__buf_6)
2 0.0353 soc/net5 (net)
0.1266 0.0059 37.1077 ^ soc/wire4171/A (sky130_fd_sc_hd__buf_4)
0.4059 0.4736 37.5813 ^ soc/wire4171/X (sky130_fd_sc_hd__buf_4)
2 0.0914 soc/net4171 (net)
0.4104 0.0356 37.6168 ^ soc/wire4170/A (sky130_fd_sc_hd__buf_6)
0.3348 0.5141 38.1309 ^ soc/wire4170/X (sky130_fd_sc_hd__buf_6)
2 0.1052 soc/net4170 (net)
0.3388 0.0307 38.1616 ^ soc/wire4169/A (sky130_fd_sc_hd__buf_6)
0.3043 0.4680 38.6297 ^ soc/wire4169/X (sky130_fd_sc_hd__buf_6)
2 0.0949 soc/net4169 (net)
0.3077 0.0268 38.6564 ^ soc/_30758_/D (sky130_fd_sc_hd__dfxtp_1)
38.6564 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0028 33.9020 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0718 0.2357 34.1377 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0149 soc/clknet_4_1_0_core_clk (net)
0.0718 0.0006 34.1383 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2102 34.3485 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0121 soc/clknet_5_3_0_core_clk (net)
0.0660 0.0005 34.3490 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3699 0.4374 34.7864 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1383 soc/clknet_5_3_1_core_clk (net)
0.3700 0.0050 34.7915 ^ soc/clkbuf_opt_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0682 0.3357 35.1271 ^ soc/clkbuf_opt_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0182 soc/clknet_opt_9_0_core_clk (net)
0.0682 0.0014 35.1285 ^ soc/clkbuf_opt_9_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0694 0.2142 35.3428 ^ soc/clkbuf_opt_9_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0216 soc/clknet_opt_9_1_core_clk (net)
0.0695 0.0017 35.3445 ^ soc/clkbuf_leaf_400_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1473 0.2809 35.6254 ^ soc/clkbuf_leaf_400_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0794 soc/clknet_leaf_400_core_clk (net)
0.1473 0.0026 35.6280 ^ soc/_30758_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5280 clock uncertainty
1.3722 36.9002 clock reconvergence pessimism
-0.1769 36.7233 library setup time
36.7233 data required time
-------------------------------------------------------------------------------------
36.7233 data required time
-38.6564 data arrival time
-------------------------------------------------------------------------------------
-1.9332 slack (VIOLATED)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6721_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1130 0.0071 16.3152 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.2013 0.3685 16.6837 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2170 soc/net3232 (net)
0.2159 0.0435 16.7272 v soc/_14304_/B2 (sky130_fd_sc_hd__a221oi_4)
1.6423 1.4958 18.2230 ^ soc/_14304_/Y (sky130_fd_sc_hd__a221oi_4)
10 0.0950 soc/_04324_ (net)
1.6424 0.0136 18.2366 ^ soc/_14305_/A (sky130_fd_sc_hd__inv_6)
0.4730 0.7751 19.0118 v soc/_14305_/Y (sky130_fd_sc_hd__inv_6)
6 0.1550 soc/net627 (net)
0.4744 0.0211 19.0329 v soc/output627/A (sky130_fd_sc_hd__buf_12)
0.0986 0.4814 19.5143 v soc/output627/X (sky130_fd_sc_hd__buf_12)
3 0.0853 mprj_adr_o_core[23] (net)
0.1034 0.0173 19.5316 v housekeeping/input114/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.1631 0.3947 19.9263 v housekeeping/input114/X (sky130_fd_sc_hd__dlymetal6s2s_1)
3 0.0143 housekeeping/net114 (net)
0.1631 0.0004 19.9266 v housekeeping/_4334_/B (sky130_fd_sc_hd__nor3_2)
0.6000 0.6190 20.5457 ^ housekeeping/_4334_/Y (sky130_fd_sc_hd__nor3_2)
3 0.0201 housekeeping/_1566_ (net)
0.6000 0.0011 20.5468 ^ housekeeping/max_cap439/A (sky130_fd_sc_hd__buf_2)
0.3555 0.6418 21.1886 ^ housekeeping/max_cap439/X (sky130_fd_sc_hd__buf_2)
6 0.0453 housekeeping/net439 (net)
0.3555 0.0008 21.1894 ^ housekeeping/_4372_/B (sky130_fd_sc_hd__and2_1)
0.3430 0.5480 21.7374 ^ housekeeping/_4372_/X (sky130_fd_sc_hd__and2_1)
2 0.0213 housekeeping/_1604_ (net)
0.3430 0.0008 21.7382 ^ housekeeping/_4375_/B1 (sky130_fd_sc_hd__a31o_2)
0.4077 0.5588 22.2970 ^ housekeeping/_4375_/X (sky130_fd_sc_hd__a31o_2)
5 0.0448 housekeeping/_1607_ (net)
0.4078 0.0024 22.2994 ^ housekeeping/_4376_/C (sky130_fd_sc_hd__and3_2)
0.3067 0.7039 23.0034 ^ housekeeping/_4376_/X (sky130_fd_sc_hd__and3_2)
6 0.0349 housekeeping/_1608_ (net)
0.3067 0.0029 23.0063 ^ housekeeping/_4465_/B (sky130_fd_sc_hd__and2_1)
0.3588 0.5435 23.5497 ^ housekeeping/_4465_/X (sky130_fd_sc_hd__and2_1)
5 0.0224 housekeeping/_1697_ (net)
0.3588 0.0007 23.5505 ^ housekeeping/_4466_/A (sky130_fd_sc_hd__inv_2)
0.0952 0.1823 23.7328 v housekeeping/_4466_/Y (sky130_fd_sc_hd__inv_2)
3 0.0101 housekeeping/_1698_ (net)
0.0952 0.0003 23.7331 v housekeeping/_4846_/A (sky130_fd_sc_hd__nor2_1)
0.3135 0.3299 24.0630 ^ housekeeping/_4846_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0095 housekeeping/_2077_ (net)
0.3135 0.0002 24.0632 ^ housekeeping/_4861_/B1 (sky130_fd_sc_hd__a21oi_1)
0.1000 0.1419 24.2052 v housekeeping/_4861_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0019 housekeeping/_2092_ (net)
0.1000 0.0001 24.2052 v housekeeping/_4869_/C (sky130_fd_sc_hd__and4b_1)
0.1524 0.4998 24.7050 v housekeeping/_4869_/X (sky130_fd_sc_hd__and4b_1)
1 0.0128 housekeeping/_2100_ (net)
0.1524 0.0009 24.7059 v housekeeping/_4870_/C (sky130_fd_sc_hd__and3_1)
0.1120 0.4632 25.1691 v housekeeping/_4870_/X (sky130_fd_sc_hd__and3_1)
1 0.0079 housekeeping/_2101_ (net)
0.1120 0.0004 25.1695 v housekeeping/_4872_/C (sky130_fd_sc_hd__and4_1)
0.1130 0.4636 25.6331 v housekeeping/_4872_/X (sky130_fd_sc_hd__and4_1)
1 0.0080 housekeeping/_2103_ (net)
0.1130 0.0003 25.6334 v housekeeping/_4873_/C1 (sky130_fd_sc_hd__o221a_1)
0.0895 0.2407 25.8742 v housekeeping/_4873_/X (sky130_fd_sc_hd__o221a_1)
1 0.0026 housekeeping/_2104_ (net)
0.0895 0.0001 25.8742 v housekeeping/_4874_/C1 (sky130_fd_sc_hd__o221a_1)
0.1246 0.2675 26.1417 v housekeeping/_4874_/X (sky130_fd_sc_hd__o221a_1)
1 0.0062 housekeeping/_2105_ (net)
0.1246 0.0002 26.1420 v housekeeping/_4875_/C (sky130_fd_sc_hd__and3_1)
0.0993 0.4351 26.5770 v housekeeping/_4875_/X (sky130_fd_sc_hd__and3_1)
1 0.0063 housekeeping/_2106_ (net)
0.0993 0.0003 26.5773 v housekeeping/_4876_/C1 (sky130_fd_sc_hd__o2111a_1)
0.0912 0.2690 26.8463 v housekeeping/_4876_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0048 housekeeping/_2107_ (net)
0.0912 0.0002 26.8465 v housekeeping/_4877_/D (sky130_fd_sc_hd__and4_1)
0.1652 0.5322 27.3787 v housekeeping/_4877_/X (sky130_fd_sc_hd__and4_1)
1 0.0149 housekeeping/_2108_ (net)
0.1652 0.0011 27.3798 v housekeeping/_4879_/B1 (sky130_fd_sc_hd__o2111a_1)
0.0854 0.3122 27.6920 v housekeeping/_4879_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0043 housekeeping/_2110_ (net)
0.0854 0.0001 27.6921 v housekeeping/_4880_/D (sky130_fd_sc_hd__and4_1)
0.0683 0.4116 28.1037 v housekeeping/_4880_/X (sky130_fd_sc_hd__and4_1)
1 0.0022 housekeeping/_2111_ (net)
0.0683 0.0000 28.1038 v housekeeping/_4882_/B (sky130_fd_sc_hd__and3_1)
0.1486 0.4344 28.5382 v housekeeping/_4882_/X (sky130_fd_sc_hd__and3_1)
1 0.0129 housekeeping/_2113_ (net)
0.1486 0.0007 28.5389 v housekeeping/_4883_/A2 (sky130_fd_sc_hd__a21bo_1)
0.0779 0.4018 28.9407 v housekeeping/_4883_/X (sky130_fd_sc_hd__a21bo_1)
1 0.0046 housekeeping/_2114_ (net)
0.0779 0.0002 28.9409 v housekeeping/_4884_/D (sky130_fd_sc_hd__and4_1)
0.0678 0.4069 29.3478 v housekeeping/_4884_/X (sky130_fd_sc_hd__and4_1)
1 0.0021 housekeeping/_2115_ (net)
0.0678 0.0001 29.3479 v housekeeping/_4885_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
0.0927 0.4852 29.8330 ^ housekeeping/_4885_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0037 housekeeping/_0365_ (net)
0.0927 0.0001 29.8332 ^ housekeeping/_6721_/D (sky130_fd_sc_hd__dfrtp_1)
29.8332 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0029 31.2375 ^ housekeeping/_6721_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1375 clock uncertainty
1.2772 32.4148 clock reconvergence pessimism
-0.1060 32.3088 library setup time
32.3088 data required time
-------------------------------------------------------------------------------------
32.3088 data required time
-29.8332 data arrival time
-------------------------------------------------------------------------------------
2.4756 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6720_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1129 0.0068 16.3150 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2953 0.6779 16.9929 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0630 soc/_13643_ (net)
0.2957 0.0086 17.0015 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.2500 1.1281 18.1295 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0697 soc/_13644_ (net)
1.2501 0.0081 18.1377 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3670 0.7514 18.8890 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1147 soc/net2753 (net)
0.3724 0.0370 18.9260 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.4381 0.6221 19.5482 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0996 soc/net2751 (net)
0.4390 0.0171 19.5652 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1442 0.2210 19.7862 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0949 soc/net634 (net)
0.1489 0.0213 19.8074 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1822 0.3534 20.1608 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1942 soc/net2404 (net)
0.2234 0.0680 20.2289 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1213 0.3778 20.6067 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1178 mprj_adr_o_core[2] (net)
0.1242 0.0152 20.6219 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2303 0.3675 20.9894 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0326 housekeeping/net121 (net)
0.2303 0.0008 20.9902 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1828 0.4170 21.4072 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1938 housekeeping/net489 (net)
0.1945 0.0372 21.4444 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1500 0.4636 21.9080 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0547 housekeeping/_1572_ (net)
0.1500 0.0024 21.9104 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3582 0.3539 22.2643 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0243 housekeeping/_1778_ (net)
0.3582 0.0007 22.2650 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3921 0.5509 22.8159 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1257 housekeeping/net389 (net)
0.3922 0.0034 22.8193 ^ housekeeping/_4617_/B (sky130_fd_sc_hd__nor2_1)
0.1229 0.2322 23.0515 v housekeeping/_4617_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0075 housekeeping/_1849_ (net)
0.1229 0.0002 23.0516 v housekeeping/_4636_/B (sky130_fd_sc_hd__nand2_1)
0.4315 0.4009 23.4525 ^ housekeeping/_4636_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0293 housekeeping/_1868_ (net)
0.4315 0.0018 23.4543 ^ housekeeping/_4658_/C (sky130_fd_sc_hd__and3_1)
0.1196 0.4631 23.9174 ^ housekeeping/_4658_/X (sky130_fd_sc_hd__and3_1)
1 0.0052 housekeeping/_1890_ (net)
0.1196 0.0002 23.9176 ^ housekeeping/_4659_/D1 (sky130_fd_sc_hd__o2111a_1)
0.1412 0.4218 24.3394 ^ housekeeping/_4659_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0064 housekeeping/_1891_ (net)
0.1412 0.0003 24.3397 ^ housekeeping/_4660_/D (sky130_fd_sc_hd__and4_1)
0.1493 0.4843 24.8239 ^ housekeeping/_4660_/X (sky130_fd_sc_hd__and4_1)
1 0.0065 housekeeping/_1892_ (net)
0.1493 0.0003 24.8242 ^ housekeeping/_4678_/B1 (sky130_fd_sc_hd__o2111a_1)
0.1053 0.4458 25.2701 ^ housekeeping/_4678_/X (sky130_fd_sc_hd__o2111a_1)
1 0.0037 housekeeping/_1910_ (net)
0.1053 0.0001 25.2702 ^ housekeeping/_4679_/D (sky130_fd_sc_hd__and4_1)
0.1018 0.4185 25.6887 ^ housekeeping/_4679_/X (sky130_fd_sc_hd__and4_1)
1 0.0029 housekeeping/_1911_ (net)
0.1018 0.0001 25.6887 ^ housekeeping/_4682_/C (sky130_fd_sc_hd__nand3_1)
0.2394 0.2815 25.9702 v housekeeping/_4682_/Y (sky130_fd_sc_hd__nand3_1)
1 0.0083 housekeeping/_1914_ (net)
0.2394 0.0004 25.9706 v housekeeping/_4683_/A4 (sky130_fd_sc_hd__o41a_1)
0.1181 0.6899 26.6605 v housekeeping/_4683_/X (sky130_fd_sc_hd__o41a_1)
1 0.0035 housekeeping/_1915_ (net)
0.1181 0.0001 26.6606 v housekeeping/_4731_/A2 (sky130_fd_sc_hd__o32a_1)
0.1107 0.6375 27.2981 v housekeeping/_4731_/X (sky130_fd_sc_hd__o32a_1)
1 0.0028 housekeeping/_0364_ (net)
0.1107 0.0001 27.2982 v housekeeping/_6720_/D (sky130_fd_sc_hd__dfrtp_1)
27.2982 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0029 31.2375 ^ housekeeping/_6720_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1375 clock uncertainty
1.2772 32.4147 clock reconvergence pessimism
-0.2436 32.1711 library setup time
32.1711 data required time
-------------------------------------------------------------------------------------
32.1711 data required time
-27.2982 data arrival time
-------------------------------------------------------------------------------------
4.8729 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6723_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1129 0.0068 16.3150 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2953 0.6779 16.9929 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0630 soc/_13643_ (net)
0.2957 0.0086 17.0015 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.2500 1.1281 18.1295 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0697 soc/_13644_ (net)
1.2501 0.0081 18.1377 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3670 0.7514 18.8890 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1147 soc/net2753 (net)
0.3724 0.0370 18.9260 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.4381 0.6221 19.5482 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0996 soc/net2751 (net)
0.4390 0.0171 19.5652 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1442 0.2210 19.7862 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0949 soc/net634 (net)
0.1489 0.0213 19.8074 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1822 0.3534 20.1608 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1942 soc/net2404 (net)
0.2234 0.0680 20.2289 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1213 0.3778 20.6067 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1178 mprj_adr_o_core[2] (net)
0.1242 0.0152 20.6219 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2303 0.3675 20.9894 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0326 housekeeping/net121 (net)
0.2303 0.0008 20.9902 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1828 0.4170 21.4072 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1938 housekeeping/net489 (net)
0.1945 0.0372 21.4444 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1500 0.4636 21.9080 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0547 housekeeping/_1572_ (net)
0.1500 0.0024 21.9104 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3582 0.3539 22.2643 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0243 housekeeping/_1778_ (net)
0.3582 0.0007 22.2650 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3921 0.5509 22.8159 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1257 housekeeping/net389 (net)
0.3926 0.0116 22.8275 ^ housekeeping/_4846_/B (sky130_fd_sc_hd__nor2_1)
0.1311 0.2490 23.0765 v housekeeping/_4846_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0090 housekeeping/_2077_ (net)
0.1311 0.0003 23.0768 v housekeeping/_4847_/A (sky130_fd_sc_hd__inv_2)
0.0528 0.0992 23.1760 ^ housekeeping/_4847_/Y (sky130_fd_sc_hd__inv_2)
1 0.0039 housekeeping/_2078_ (net)
0.0528 0.0001 23.1761 ^ housekeeping/_5032_/B1 (sky130_fd_sc_hd__o2111a_1)
0.1922 0.4984 23.6745 ^ housekeeping/_5032_/X (sky130_fd_sc_hd__o2111a_1)
2 0.0102 housekeeping/_2261_ (net)
0.1922 0.0006 23.6751 ^ housekeeping/_5033_/D (sky130_fd_sc_hd__and4_1)
0.1261 0.4780 24.1531 ^ housekeeping/_5033_/X (sky130_fd_sc_hd__and4_1)
1 0.0047 housekeeping/_2262_ (net)
0.1261 0.0002 24.1533 ^ housekeeping/_5034_/D (sky130_fd_sc_hd__and4_1)
0.0946 0.4156 24.5689 ^ housekeeping/_5034_/X (sky130_fd_sc_hd__and4_1)
1 0.0024 housekeeping/_2263_ (net)
0.0946 0.0001 24.5690 ^ housekeeping/_5035_/D (sky130_fd_sc_hd__and4b_1)
0.2220 0.5465 25.1155 ^ housekeeping/_5035_/X (sky130_fd_sc_hd__and4b_1)
1 0.0116 housekeeping/_2264_ (net)
0.2220 0.0007 25.1162 ^ housekeeping/_5037_/C (sky130_fd_sc_hd__nand4_1)
0.1541 0.2607 25.3769 v housekeeping/_5037_/Y (sky130_fd_sc_hd__nand4_1)
1 0.0024 housekeeping/_2266_ (net)
0.1541 0.0000 25.3770 v housekeeping/_5038_/C (sky130_fd_sc_hd__and3_1)
0.1248 0.4775 25.8545 v housekeeping/_5038_/X (sky130_fd_sc_hd__and3_1)
1 0.0094 housekeeping/_2267_ (net)
0.1248 0.0004 25.8549 v housekeeping/_5060_/B1 (sky130_fd_sc_hd__a211o_1)
0.1326 0.5978 26.4527 v housekeeping/_5060_/X (sky130_fd_sc_hd__a211o_1)
1 0.0082 housekeeping/_2289_ (net)
0.1326 0.0003 26.4530 v housekeeping/_5061_/C1 (sky130_fd_sc_hd__a211o_1)
0.0972 0.4817 26.9348 v housekeeping/_5061_/X (sky130_fd_sc_hd__a211o_1)
1 0.0040 housekeeping/_0367_ (net)
0.0972 0.0001 26.9349 v housekeeping/_6723_/D (sky130_fd_sc_hd__dfrtp_1)
26.9349 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1799 0.0021 31.1815 ^ housekeeping/_6723_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0815 clock uncertainty
1.2772 32.3587 clock reconvergence pessimism
-0.2586 32.1002 library setup time
32.1002 data required time
-------------------------------------------------------------------------------------
32.1002 data required time
-26.9349 data arrival time
-------------------------------------------------------------------------------------
5.1653 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6726_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1129 0.0068 16.3150 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2953 0.6779 16.9929 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0630 soc/_13643_ (net)
0.2957 0.0086 17.0015 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.2500 1.1281 18.1295 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0697 soc/_13644_ (net)
1.2501 0.0081 18.1377 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3670 0.7514 18.8890 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1147 soc/net2753 (net)
0.3724 0.0370 18.9260 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.4381 0.6221 19.5482 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0996 soc/net2751 (net)
0.4390 0.0171 19.5652 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1442 0.2210 19.7862 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0949 soc/net634 (net)
0.1489 0.0213 19.8074 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1822 0.3534 20.1608 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1942 soc/net2404 (net)
0.2234 0.0680 20.2289 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1213 0.3778 20.6067 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1178 mprj_adr_o_core[2] (net)
0.1242 0.0152 20.6219 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2303 0.3675 20.9894 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0326 housekeeping/net121 (net)
0.2303 0.0008 20.9902 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1828 0.4170 21.4072 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1938 housekeeping/net489 (net)
0.1945 0.0372 21.4444 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1500 0.4636 21.9080 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0547 housekeeping/_1572_ (net)
0.1500 0.0024 21.9104 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3582 0.3539 22.2643 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0243 housekeeping/_1778_ (net)
0.3582 0.0007 22.2650 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3921 0.5509 22.8159 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1257 housekeeping/net389 (net)
0.3922 0.0034 22.8193 ^ housekeeping/_4617_/B (sky130_fd_sc_hd__nor2_1)
0.1229 0.2322 23.0515 v housekeeping/_4617_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0075 housekeeping/_1849_ (net)
0.1229 0.0002 23.0517 v housekeeping/_4646_/A (sky130_fd_sc_hd__nand2_1)
0.2352 0.2455 23.2973 ^ housekeeping/_4646_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0150 housekeeping/_1878_ (net)
0.2352 0.0007 23.2979 ^ housekeeping/_4991_/B1 (sky130_fd_sc_hd__o21a_1)
0.3669 0.5267 23.8247 ^ housekeeping/_4991_/X (sky130_fd_sc_hd__o21a_1)
2 0.0234 housekeeping/_2220_ (net)
0.3669 0.0007 23.8254 ^ housekeeping/_5016_/B1 (sky130_fd_sc_hd__o211a_1)
0.1677 0.5005 24.3259 ^ housekeeping/_5016_/X (sky130_fd_sc_hd__o211a_1)
2 0.0105 housekeeping/_2245_ (net)
0.1677 0.0002 24.3261 ^ housekeeping/_5062_/D (sky130_fd_sc_hd__and4_1)
0.2776 0.6145 24.9406 ^ housekeeping/_5062_/X (sky130_fd_sc_hd__and4_1)
2 0.0161 housekeeping/_2290_ (net)
0.2776 0.0006 24.9413 ^ housekeeping/_5134_/B (sky130_fd_sc_hd__and3_1)
0.1845 0.4696 25.4109 ^ housekeeping/_5134_/X (sky130_fd_sc_hd__and3_1)
1 0.0101 housekeeping/_2360_ (net)
0.1845 0.0005 25.4114 ^ housekeeping/_5135_/C (sky130_fd_sc_hd__nand3_2)
0.3539 0.4013 25.8127 v housekeeping/_5135_/Y (sky130_fd_sc_hd__nand3_2)
1 0.0251 housekeeping/_2361_ (net)
0.3539 0.0030 25.8156 v housekeeping/_5136_/B2 (sky130_fd_sc_hd__a22o_1)
0.1111 0.5345 26.3501 v housekeeping/_5136_/X (sky130_fd_sc_hd__a22o_1)
1 0.0075 housekeeping/_2362_ (net)
0.1111 0.0003 26.3504 v housekeeping/_5144_/C1 (sky130_fd_sc_hd__a211o_1)
0.0962 0.4720 26.8224 v housekeeping/_5144_/X (sky130_fd_sc_hd__a211o_1)
1 0.0039 housekeeping/_0370_ (net)
0.0962 0.0001 26.8226 v housekeeping/_6726_/D (sky130_fd_sc_hd__dfrtp_1)
26.8226 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0015 30.6230 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0896 0.2562 30.8792 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0226 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.0896 0.0013 30.8805 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1586 0.2963 31.1768 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0519 housekeeping/clknet_3_5_0_wb_clk_i (net)
0.1586 0.0016 31.1784 ^ housekeeping/_6726_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0784 clock uncertainty
1.2772 32.3556 clock reconvergence pessimism
-0.2639 32.0917 library setup time
32.0917 data required time
-------------------------------------------------------------------------------------
32.0917 data required time
-26.8226 data arrival time
-------------------------------------------------------------------------------------
5.2691 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29161_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0008 26.3008 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.4365 0.5062 26.8070 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1389 soc/net1151 (net)
0.4426 0.0429 26.8499 ^ soc/_15633_/S (sky130_fd_sc_hd__mux2_1)
0.1352 0.8831 27.7330 v soc/_15633_/X (sky130_fd_sc_hd__mux2_1)
1 0.0052 soc/_05622_ (net)
0.1352 0.0002 27.7332 v soc/_15636_/A1 (sky130_fd_sc_hd__a211o_1)
0.3816 0.8408 28.5740 v soc/_15636_/X (sky130_fd_sc_hd__a211o_1)
2 0.0413 soc/_05625_ (net)
0.3817 0.0053 28.5793 v soc/_15641_/A (sky130_fd_sc_hd__and3_1)
0.0931 0.4862 29.0656 v soc/_15641_/X (sky130_fd_sc_hd__and3_1)
1 0.0055 soc/_05630_ (net)
0.0931 0.0002 29.0658 v soc/_15651_/A1 (sky130_fd_sc_hd__o21ai_2)
0.8118 0.7495 29.8153 ^ soc/_15651_/Y (sky130_fd_sc_hd__o21ai_2)
2 0.0431 soc/_05640_ (net)
0.8119 0.0060 29.8213 ^ soc/wire702/A (sky130_fd_sc_hd__buf_4)
0.4548 0.7862 30.6075 ^ soc/wire702/X (sky130_fd_sc_hd__buf_4)
2 0.1033 soc/net702 (net)
0.4573 0.0282 30.6357 ^ soc/_15652_/B1 (sky130_fd_sc_hd__o21ai_1)
0.3158 0.4548 31.0905 v soc/_15652_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0156 soc/_00052_ (net)
0.3158 0.0012 31.0917 v soc/_29161_/D (sky130_fd_sc_hd__dfxtp_1)
31.0917 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1877 0.0029 35.5302 ^ soc/_29161_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4302 clock uncertainty
1.2772 36.7075 clock reconvergence pessimism
-0.3393 36.3682 library setup time
36.3682 data required time
-------------------------------------------------------------------------------------
36.3682 data required time
-31.0917 data arrival time
-------------------------------------------------------------------------------------
5.2765 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6722_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1129 0.0068 16.3150 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2953 0.6779 16.9929 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0630 soc/_13643_ (net)
0.2957 0.0086 17.0015 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.2500 1.1281 18.1295 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0697 soc/_13644_ (net)
1.2501 0.0081 18.1377 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3670 0.7514 18.8890 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1147 soc/net2753 (net)
0.3724 0.0370 18.9260 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.4381 0.6221 19.5482 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0996 soc/net2751 (net)
0.4390 0.0171 19.5652 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1442 0.2210 19.7862 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0949 soc/net634 (net)
0.1489 0.0213 19.8074 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1822 0.3534 20.1608 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1942 soc/net2404 (net)
0.2234 0.0680 20.2289 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1213 0.3778 20.6067 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1178 mprj_adr_o_core[2] (net)
0.1242 0.0152 20.6219 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2303 0.3675 20.9894 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0326 housekeeping/net121 (net)
0.2303 0.0008 20.9902 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1828 0.4170 21.4072 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1938 housekeeping/net489 (net)
0.1945 0.0372 21.4444 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1500 0.4636 21.9080 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0547 housekeeping/_1572_ (net)
0.1500 0.0024 21.9104 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3582 0.3539 22.2643 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0243 housekeeping/_1778_ (net)
0.3582 0.0007 22.2650 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3921 0.5509 22.8159 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1257 housekeeping/net389 (net)
0.3925 0.0104 22.8263 ^ housekeeping/_4849_/A2 (sky130_fd_sc_hd__o21a_1)
0.3361 0.5310 23.3573 ^ housekeeping/_4849_/X (sky130_fd_sc_hd__o21a_1)
4 0.0214 housekeeping/_2080_ (net)
0.3361 0.0005 23.3578 ^ housekeeping/_4912_/B (sky130_fd_sc_hd__and3_1)
0.1554 0.4640 23.8218 ^ housekeeping/_4912_/X (sky130_fd_sc_hd__and3_1)
2 0.0079 housekeeping/_2142_ (net)
0.1554 0.0003 23.8220 ^ housekeeping/_4914_/B (sky130_fd_sc_hd__and3_1)
0.1100 0.3575 24.1795 ^ housekeeping/_4914_/X (sky130_fd_sc_hd__and3_1)
1 0.0045 housekeeping/_2144_ (net)
0.1100 0.0002 24.1797 ^ housekeeping/_4915_/D (sky130_fd_sc_hd__and4b_1)
0.1727 0.5053 24.6850 ^ housekeeping/_4915_/X (sky130_fd_sc_hd__and4b_1)
1 0.0081 housekeeping/_2145_ (net)
0.1727 0.0004 24.6854 ^ housekeeping/_4916_/C (sky130_fd_sc_hd__and3_1)
0.1321 0.3966 25.0820 ^ housekeeping/_4916_/X (sky130_fd_sc_hd__and3_1)
1 0.0063 housekeeping/_2146_ (net)
0.1321 0.0003 25.0823 ^ housekeeping/_4918_/B (sky130_fd_sc_hd__nand2_1)
0.3084 0.3226 25.4049 v housekeeping/_4918_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0183 housekeeping/_2148_ (net)
0.3084 0.0015 25.4063 v housekeeping/_4919_/D (sky130_fd_sc_hd__and4_1)
0.0924 0.5631 25.9694 v housekeeping/_4919_/X (sky130_fd_sc_hd__and4_1)
1 0.0051 housekeeping/_2149_ (net)
0.0924 0.0002 25.9696 v housekeeping/_4988_/A1 (sky130_fd_sc_hd__o32a_1)
0.1164 0.6644 26.6340 v housekeeping/_4988_/X (sky130_fd_sc_hd__o32a_1)
1 0.0032 housekeeping/_0366_ (net)
0.1164 0.0001 26.6341 v housekeeping/_6722_/D (sky130_fd_sc_hd__dfrtp_1)
26.6341 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1799 0.0019 31.1813 ^ housekeeping/_6722_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0813 clock uncertainty
1.2772 32.3585 clock reconvergence pessimism
-0.2666 32.0919 library setup time
32.0919 data required time
-------------------------------------------------------------------------------------
32.0919 data required time
-26.6341 data arrival time
-------------------------------------------------------------------------------------
5.4578 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29135_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2646 0.0212 25.7014 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.4262 0.5233 26.2247 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1356 soc/net1142 (net)
0.4312 0.0385 26.2632 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.4260 0.5912 26.8544 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1359 soc/net1141 (net)
0.4281 0.0254 26.8799 ^ soc/_14654_/S (sky130_fd_sc_hd__mux2_1)
0.1414 0.8886 27.7684 v soc/_14654_/X (sky130_fd_sc_hd__mux2_1)
1 0.0060 soc/_04669_ (net)
0.1414 0.0002 27.7686 v soc/_14657_/A1 (sky130_fd_sc_hd__a211o_1)
0.2421 0.7104 28.4790 v soc/_14657_/X (sky130_fd_sc_hd__a211o_1)
2 0.0225 soc/_04672_ (net)
0.2422 0.0019 28.4809 v soc/_14662_/C1 (sky130_fd_sc_hd__o311a_1)
0.1463 0.3337 28.8147 v soc/_14662_/X (sky130_fd_sc_hd__o311a_1)
1 0.0088 soc/_04677_ (net)
0.1463 0.0003 28.8149 v soc/_14671_/B1 (sky130_fd_sc_hd__a31oi_4)
1.2919 1.1158 29.9307 ^ soc/_14671_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.1144 soc/_04686_ (net)
1.2930 0.0328 29.9635 ^ soc/_14672_/B2 (sky130_fd_sc_hd__a2bb2oi_4)
0.4735 0.7369 30.7004 v soc/_14672_/Y (sky130_fd_sc_hd__a2bb2oi_4)
11 0.0735 soc/_00054_ (net)
0.4745 0.0174 30.7179 v soc/_29135_/D (sky130_fd_sc_hd__dfxtp_1)
30.7179 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4960 0.0240 35.0472 ^ soc/clkbuf_leaf_362_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0929 0.4093 35.4565 ^ soc/clkbuf_leaf_362_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.0357 soc/clknet_leaf_362_core_clk (net)
0.0929 0.0013 35.4577 ^ soc/_29135_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.3577 clock uncertainty
1.2772 36.6350 clock reconvergence pessimism
-0.4309 36.2041 library setup time
36.2041 data required time
-------------------------------------------------------------------------------------
36.2041 data required time
-30.7179 data arrival time
-------------------------------------------------------------------------------------
5.4862 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6724_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1129 0.0068 16.3150 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
0.2953 0.6779 16.9929 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
10 0.0630 soc/_13643_ (net)
0.2957 0.0086 17.0015 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
1.2500 1.1281 18.1295 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
6 0.0697 soc/_13644_ (net)
1.2501 0.0081 18.1377 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
0.3670 0.7514 18.8890 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
9 0.1147 soc/net2753 (net)
0.3724 0.0370 18.9260 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
0.4381 0.6221 19.5482 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
4 0.0996 soc/net2751 (net)
0.4390 0.0171 19.5652 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
0.1442 0.2210 19.7862 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
4 0.0949 soc/net634 (net)
0.1489 0.0213 19.8074 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
0.1822 0.3534 20.1608 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
7 0.1942 soc/net2404 (net)
0.2234 0.0680 20.2289 v soc/output634/A (sky130_fd_sc_hd__buf_12)
0.1213 0.3778 20.6067 v soc/output634/X (sky130_fd_sc_hd__buf_12)
3 0.1178 mprj_adr_o_core[2] (net)
0.1242 0.0152 20.6219 v housekeeping/input121/A (sky130_fd_sc_hd__clkbuf_2)
0.2303 0.3675 20.9894 v housekeeping/input121/X (sky130_fd_sc_hd__clkbuf_2)
3 0.0326 housekeeping/net121 (net)
0.2303 0.0008 20.9902 v housekeeping/fanout489/A (sky130_fd_sc_hd__buf_12)
0.1828 0.4170 21.4072 v housekeeping/fanout489/X (sky130_fd_sc_hd__buf_12)
20 0.1938 housekeeping/net489 (net)
0.1945 0.0372 21.4444 v housekeeping/_4340_/B (sky130_fd_sc_hd__and2_4)
0.1500 0.4636 21.9080 v housekeeping/_4340_/X (sky130_fd_sc_hd__and2_4)
7 0.0547 housekeeping/_1572_ (net)
0.1500 0.0024 21.9104 v housekeeping/_4546_/A (sky130_fd_sc_hd__nand2_1)
0.3582 0.3539 22.2643 ^ housekeeping/_4546_/Y (sky130_fd_sc_hd__nand2_1)
2 0.0243 housekeeping/_1778_ (net)
0.3582 0.0007 22.2650 ^ housekeeping/fanout389/A (sky130_fd_sc_hd__buf_6)
0.3921 0.5509 22.8159 ^ housekeeping/fanout389/X (sky130_fd_sc_hd__buf_6)
20 0.1257 housekeeping/net389 (net)
0.3922 0.0034 22.8193 ^ housekeeping/_4617_/B (sky130_fd_sc_hd__nor2_1)
0.1229 0.2322 23.0515 v housekeeping/_4617_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0075 housekeeping/_1849_ (net)
0.1229 0.0002 23.0517 v housekeeping/_4646_/A (sky130_fd_sc_hd__nand2_1)
0.2352 0.2455 23.2973 ^ housekeeping/_4646_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0150 housekeeping/_1878_ (net)
0.2352 0.0007 23.2979 ^ housekeeping/_4991_/B1 (sky130_fd_sc_hd__o21a_1)
0.3669 0.5267 23.8247 ^ housekeeping/_4991_/X (sky130_fd_sc_hd__o21a_1)
2 0.0234 housekeeping/_2220_ (net)
0.3669 0.0007 23.8254 ^ housekeeping/_5016_/B1 (sky130_fd_sc_hd__o211a_1)
0.1677 0.5005 24.3259 ^ housekeeping/_5016_/X (sky130_fd_sc_hd__o211a_1)
2 0.0105 housekeeping/_2245_ (net)
0.1677 0.0002 24.3261 ^ housekeeping/_5062_/D (sky130_fd_sc_hd__and4_1)
0.2776 0.6145 24.9406 ^ housekeeping/_5062_/X (sky130_fd_sc_hd__and4_1)
2 0.0161 housekeeping/_2290_ (net)
0.2776 0.0008 24.9415 ^ housekeeping/_5067_/A1 (sky130_fd_sc_hd__a21oi_1)
0.3249 0.4001 25.3416 v housekeeping/_5067_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0185 housekeeping/_2295_ (net)
0.3250 0.0017 25.3433 v housekeeping/_5078_/C1 (sky130_fd_sc_hd__a221o_1)
0.1185 0.6404 25.9837 v housekeeping/_5078_/X (sky130_fd_sc_hd__a221o_1)
1 0.0055 housekeeping/_2306_ (net)
0.1185 0.0002 25.9839 v housekeeping/_5097_/C1 (sky130_fd_sc_hd__a211o_1)
0.0893 0.4648 26.4487 v housekeeping/_5097_/X (sky130_fd_sc_hd__a211o_1)
1 0.0032 housekeeping/_0368_ (net)
0.0893 0.0001 26.4488 v housekeeping/_6724_/D (sky130_fd_sc_hd__dfrtp_2)
26.4488 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1799 0.0022 31.1816 ^ housekeeping/_6724_/CLK (sky130_fd_sc_hd__dfrtp_2)
-0.1000 31.0816 clock uncertainty
1.2772 32.3588 clock reconvergence pessimism
-0.2553 32.1035 library setup time
32.1035 data required time
-------------------------------------------------------------------------------------
32.1035 data required time
-26.4488 data arrival time
-------------------------------------------------------------------------------------
5.6547 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29681_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2943 0.0027 25.0368 ^ soc/fanout957/A (sky130_fd_sc_hd__buf_6)
0.2450 0.6822 25.7191 ^ soc/fanout957/X (sky130_fd_sc_hd__buf_6)
8 0.0725 soc/net957 (net)
0.2450 0.0013 25.7203 ^ soc/fanout954/A (sky130_fd_sc_hd__buf_12)
0.4473 0.5141 26.2344 ^ soc/fanout954/X (sky130_fd_sc_hd__buf_12)
40 0.2516 soc/net954 (net)
0.4482 0.0177 26.2521 ^ soc/fanout953/A (sky130_fd_sc_hd__clkbuf_16)
0.3295 0.5906 26.8427 ^ soc/fanout953/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2066 soc/net953 (net)
0.3342 0.0329 26.8757 ^ soc/_16841_/C1 (sky130_fd_sc_hd__o221a_1)
0.2164 0.5758 27.4515 ^ soc/_16841_/X (sky130_fd_sc_hd__o221a_1)
1 0.0113 soc/_06798_ (net)
0.2164 0.0006 27.4521 ^ soc/_16843_/C1 (sky130_fd_sc_hd__a211o_2)
0.3233 0.4480 27.9002 ^ soc/_16843_/X (sky130_fd_sc_hd__a211o_2)
2 0.0417 soc/_06800_ (net)
0.3234 0.0057 27.9058 ^ soc/wire745/A (sky130_fd_sc_hd__buf_4)
0.4494 0.6049 28.5107 ^ soc/wire745/X (sky130_fd_sc_hd__buf_4)
2 0.1020 soc/net745 (net)
0.4516 0.0269 28.5376 ^ soc/_16848_/C1 (sky130_fd_sc_hd__o311a_1)
0.1095 0.5024 29.0400 ^ soc/_16848_/X (sky130_fd_sc_hd__o311a_1)
1 0.0043 soc/_06805_ (net)
0.1095 0.0001 29.0401 ^ soc/_16857_/B1 (sky130_fd_sc_hd__a31o_2)
0.6422 0.6316 29.6718 ^ soc/_16857_/X (sky130_fd_sc_hd__a31o_2)
2 0.0735 soc/_06814_ (net)
0.6426 0.0138 29.6856 ^ soc/wire705/A (sky130_fd_sc_hd__buf_6)
0.3284 0.5915 30.2771 ^ soc/wire705/X (sky130_fd_sc_hd__buf_6)
2 0.1030 soc/net705 (net)
0.3319 0.0283 30.3054 ^ soc/_16858_/B2 (sky130_fd_sc_hd__o22a_1)
0.5889 0.7293 31.0347 ^ soc/_16858_/X (sky130_fd_sc_hd__o22a_1)
3 0.0385 soc/_00020_ (net)
0.5889 0.0031 31.0378 ^ soc/_29681_/D (sky130_fd_sc_hd__dfxtp_1)
31.0378 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8945 0.0172 35.3109 ^ soc/clkbuf_leaf_121_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1787 0.5962 35.9071 ^ soc/clkbuf_leaf_121_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0952 soc/clknet_leaf_121_core_clk (net)
0.1787 0.0020 35.9091 ^ soc/_29681_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.8091 clock uncertainty
1.2772 37.0864 clock reconvergence pessimism
-0.2443 36.8421 library setup time
36.8421 data required time
-------------------------------------------------------------------------------------
36.8421 data required time
-31.0378 data arrival time
-------------------------------------------------------------------------------------
5.8043 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29133_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2646 0.0212 25.7014 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.4262 0.5233 26.2247 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1356 soc/net1142 (net)
0.4312 0.0385 26.2632 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.4260 0.5912 26.8544 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1359 soc/net1141 (net)
0.4263 0.0087 26.8631 ^ soc/_14582_/S (sky130_fd_sc_hd__mux2_1)
0.1510 0.9017 27.7648 v soc/_14582_/X (sky130_fd_sc_hd__mux2_1)
1 0.0071 soc/_04599_ (net)
0.1510 0.0003 27.7652 v soc/_14585_/A2 (sky130_fd_sc_hd__a211o_1)
0.2216 0.7516 28.5168 v soc/_14585_/X (sky130_fd_sc_hd__a211o_1)
1 0.0199 soc/_04602_ (net)
0.2217 0.0013 28.5181 v soc/_14586_/C1 (sky130_fd_sc_hd__o311a_1)
0.1219 0.3015 28.8196 v soc/_14586_/X (sky130_fd_sc_hd__o311a_1)
1 0.0061 soc/_04603_ (net)
0.1219 0.0002 28.8198 v soc/_14596_/A (sky130_fd_sc_hd__nor2_2)
1.1566 0.9902 29.8101 ^ soc/_14596_/Y (sky130_fd_sc_hd__nor2_2)
5 0.0666 soc/_04613_ (net)
1.1567 0.0123 29.8224 ^ soc/_14597_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.3252 0.9837 30.8061 v soc/_14597_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0291 soc/_00032_ (net)
0.3252 0.0028 30.8089 v soc/_29133_/D (sky130_fd_sc_hd__dfxtp_2)
30.8089 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8129 0.0156 35.2622 ^ soc/clkbuf_leaf_104_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1739 0.5721 35.8343 ^ soc/clkbuf_leaf_104_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0928 soc/clknet_leaf_104_core_clk (net)
0.1740 0.0026 35.8369 ^ soc/_29133_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7369 clock uncertainty
1.2772 37.0141 clock reconvergence pessimism
-0.3522 36.6619 library setup time
36.6619 data required time
-------------------------------------------------------------------------------------
36.6619 data required time
-30.8089 data arrival time
-------------------------------------------------------------------------------------
5.8530 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6725_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1130 0.0071 16.3152 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.2013 0.3685 16.6837 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2170 soc/net3232 (net)
0.2159 0.0435 16.7272 v soc/_14304_/B2 (sky130_fd_sc_hd__a221oi_4)
1.6423 1.4958 18.2230 ^ soc/_14304_/Y (sky130_fd_sc_hd__a221oi_4)
10 0.0950 soc/_04324_ (net)
1.6424 0.0136 18.2366 ^ soc/_14305_/A (sky130_fd_sc_hd__inv_6)
0.4730 0.7751 19.0118 v soc/_14305_/Y (sky130_fd_sc_hd__inv_6)
6 0.1550 soc/net627 (net)
0.4744 0.0211 19.0329 v soc/output627/A (sky130_fd_sc_hd__buf_12)
0.0986 0.4814 19.5143 v soc/output627/X (sky130_fd_sc_hd__buf_12)
3 0.0853 mprj_adr_o_core[23] (net)
0.1034 0.0173 19.5316 v housekeeping/input114/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.1631 0.3947 19.9263 v housekeeping/input114/X (sky130_fd_sc_hd__dlymetal6s2s_1)
3 0.0143 housekeeping/net114 (net)
0.1631 0.0004 19.9266 v housekeeping/_4334_/B (sky130_fd_sc_hd__nor3_2)
0.6000 0.6190 20.5457 ^ housekeeping/_4334_/Y (sky130_fd_sc_hd__nor3_2)
3 0.0201 housekeeping/_1566_ (net)
0.6000 0.0011 20.5468 ^ housekeeping/max_cap439/A (sky130_fd_sc_hd__buf_2)
0.3555 0.6418 21.1886 ^ housekeeping/max_cap439/X (sky130_fd_sc_hd__buf_2)
6 0.0453 housekeeping/net439 (net)
0.3555 0.0008 21.1894 ^ housekeeping/_4372_/B (sky130_fd_sc_hd__and2_1)
0.3430 0.5480 21.7374 ^ housekeeping/_4372_/X (sky130_fd_sc_hd__and2_1)
2 0.0213 housekeeping/_1604_ (net)
0.3430 0.0008 21.7382 ^ housekeeping/_4375_/B1 (sky130_fd_sc_hd__a31o_2)
0.4077 0.5588 22.2970 ^ housekeeping/_4375_/X (sky130_fd_sc_hd__a31o_2)
5 0.0448 housekeeping/_1607_ (net)
0.4078 0.0040 22.3009 ^ housekeeping/_4394_/B1 (sky130_fd_sc_hd__o21a_4)
0.3008 0.5705 22.8714 ^ housekeeping/_4394_/X (sky130_fd_sc_hd__o21a_4)
11 0.0608 housekeeping/_1626_ (net)
0.3010 0.0063 22.8777 ^ housekeeping/_4399_/A (sky130_fd_sc_hd__and2_2)
0.2109 0.4968 23.3745 ^ housekeeping/_4399_/X (sky130_fd_sc_hd__and2_2)
3 0.0229 housekeeping/_1631_ (net)
0.2109 0.0005 23.3751 ^ housekeeping/_4405_/A (sky130_fd_sc_hd__nand2_4)
0.2985 0.3088 23.6839 v housekeeping/_4405_/Y (sky130_fd_sc_hd__nand2_4)
12 0.0600 housekeeping/_1637_ (net)
0.2986 0.0051 23.6890 v housekeeping/_4743_/A1 (sky130_fd_sc_hd__o22a_1)
0.1229 0.5349 24.2239 v housekeeping/_4743_/X (sky130_fd_sc_hd__o22a_1)
2 0.0068 housekeeping/_1974_ (net)
0.1229 0.0002 24.2241 v housekeeping/_4929_/B1 (sky130_fd_sc_hd__o211a_1)
0.0912 0.2822 24.5063 v housekeeping/_4929_/X (sky130_fd_sc_hd__o211a_1)
2 0.0050 housekeeping/_2159_ (net)
0.0912 0.0001 24.5064 v housekeeping/_5002_/C (sky130_fd_sc_hd__and3_1)
0.1133 0.4331 24.9395 v housekeeping/_5002_/X (sky130_fd_sc_hd__and3_1)
2 0.0080 housekeeping/_2231_ (net)
0.1134 0.0002 24.9397 v housekeeping/_5074_/B (sky130_fd_sc_hd__and3_1)
0.1433 0.4452 25.3849 v housekeeping/_5074_/X (sky130_fd_sc_hd__and3_1)
2 0.0115 housekeeping/_2302_ (net)
0.1433 0.0005 25.3854 v housekeeping/_5103_/C (sky130_fd_sc_hd__nand3_1)
0.1774 0.2225 25.6079 ^ housekeeping/_5103_/Y (sky130_fd_sc_hd__nand3_1)
2 0.0083 housekeeping/_2330_ (net)
0.1774 0.0002 25.6082 ^ housekeeping/_5104_/B1 (sky130_fd_sc_hd__a41o_1)
0.1738 0.2789 25.8871 ^ housekeeping/_5104_/X (sky130_fd_sc_hd__a41o_1)
1 0.0095 housekeeping/_2331_ (net)
0.1738 0.0005 25.8876 ^ housekeeping/_5129_/D (sky130_fd_sc_hd__nand4b_1)
0.1847 0.2819 26.1694 v housekeeping/_5129_/Y (sky130_fd_sc_hd__nand4b_1)
1 0.0033 housekeeping/_0369_ (net)
0.1847 0.0001 26.1695 v housekeeping/_6725_/D (sky130_fd_sc_hd__dfrtp_1)
26.1695 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0015 30.6230 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0896 0.2562 30.8792 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0226 housekeeping/clknet_2_2_0_wb_clk_i (net)
0.0896 0.0013 30.8805 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1586 0.2963 31.1768 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0519 housekeeping/clknet_3_5_0_wb_clk_i (net)
0.1586 0.0017 31.1785 ^ housekeeping/_6725_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0785 clock uncertainty
1.2772 32.3557 clock reconvergence pessimism
-0.3007 32.0550 library setup time
32.0550 data required time
-------------------------------------------------------------------------------------
32.0550 data required time
-26.1695 data arrival time
-------------------------------------------------------------------------------------
5.8854 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29655_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2944 0.0072 25.0413 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2343 0.6543 25.6956 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0857 soc/net950 (net)
0.2343 0.0018 25.6974 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.3058 0.4329 26.1303 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0951 soc/net952 (net)
0.3079 0.0213 26.1516 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4972 0.5857 26.7373 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1591 soc/net951 (net)
0.5018 0.0405 26.7778 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.5066 0.6577 27.4355 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.2029 soc/net945 (net)
0.5082 0.0239 27.4594 ^ soc/_15882_/C1 (sky130_fd_sc_hd__o221a_1)
0.5975 0.9434 28.4028 ^ soc/_15882_/X (sky130_fd_sc_hd__o221a_1)
2 0.0369 soc/_05865_ (net)
0.5975 0.0040 28.4068 ^ soc/_15883_/C1 (sky130_fd_sc_hd__a211o_1)
0.3537 0.5780 28.9848 ^ soc/_15883_/X (sky130_fd_sc_hd__a211o_1)
2 0.0252 soc/_05866_ (net)
0.3537 0.0025 28.9872 ^ soc/_15884_/B1 (sky130_fd_sc_hd__o31a_2)
0.3280 0.6091 29.5964 ^ soc/_15884_/X (sky130_fd_sc_hd__o31a_2)
2 0.0360 soc/_05867_ (net)
0.3281 0.0040 29.6004 ^ soc/_15894_/A2 (sky130_fd_sc_hd__a211o_4)
0.3838 0.6015 30.2018 ^ soc/_15894_/X (sky130_fd_sc_hd__a211o_4)
2 0.0955 soc/_05877_ (net)
0.3862 0.0260 30.2279 ^ soc/_15895_/B1 (sky130_fd_sc_hd__o31a_1)
0.4112 0.6392 30.8670 ^ soc/_15895_/X (sky130_fd_sc_hd__o31a_1)
2 0.0242 soc/_00022_ (net)
0.4112 0.0024 30.8694 ^ soc/_29655_/D (sky130_fd_sc_hd__dfxtp_1)
30.8694 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8127 0.0124 35.2589 ^ soc/clkbuf_leaf_107_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1419 0.5464 35.8054 ^ soc/clkbuf_leaf_107_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0687 soc/clknet_leaf_107_core_clk (net)
0.1419 0.0015 35.8069 ^ soc/_29655_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7069 clock uncertainty
1.2772 36.9841 clock reconvergence pessimism
-0.2047 36.7794 library setup time
36.7794 data required time
-------------------------------------------------------------------------------------
36.7794 data required time
-30.8694 data arrival time
-------------------------------------------------------------------------------------
5.9100 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29139_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2646 0.0212 25.7014 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.4262 0.5233 26.2247 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1356 soc/net1142 (net)
0.4312 0.0385 26.2632 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.4260 0.5912 26.8544 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1359 soc/net1141 (net)
0.4280 0.0246 26.8791 ^ soc/_14809_/S (sky130_fd_sc_hd__mux2_1)
0.1379 0.8834 27.7625 v soc/_14809_/X (sky130_fd_sc_hd__mux2_1)
1 0.0056 soc/_04820_ (net)
0.1379 0.0002 27.7627 v soc/_14810_/A1 (sky130_fd_sc_hd__a211o_2)
0.3495 0.9241 28.6868 v soc/_14810_/X (sky130_fd_sc_hd__a211o_2)
2 0.0712 soc/_04821_ (net)
0.3504 0.0145 28.7013 v soc/_14815_/C1 (sky130_fd_sc_hd__o311a_1)
0.1770 0.4136 29.1148 v soc/_14815_/X (sky130_fd_sc_hd__o311a_1)
1 0.0126 soc/_04826_ (net)
0.1770 0.0005 29.1154 v soc/_14824_/C1 (sky130_fd_sc_hd__a311oi_4)
0.8960 0.8610 29.9763 ^ soc/_14824_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0436 soc/_04835_ (net)
0.8960 0.0056 29.9820 ^ soc/_14825_/B (sky130_fd_sc_hd__nor2_1)
0.3149 0.5413 30.5232 v soc/_14825_/Y (sky130_fd_sc_hd__nor2_1)
2 0.0216 soc/_00060_ (net)
0.3150 0.0019 30.5251 v soc/_29139_/D (sky130_fd_sc_hd__dfxtp_2)
30.5251 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8128 0.0151 35.2617 ^ soc/clkbuf_leaf_106_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2029 0.5944 35.8561 ^ soc/clkbuf_leaf_106_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1142 soc/clknet_leaf_106_core_clk (net)
0.2032 0.0053 35.8613 ^ soc/_29139_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7613 clock uncertainty
1.2772 37.0386 clock reconvergence pessimism
-0.3399 36.6986 library setup time
36.6986 data required time
-------------------------------------------------------------------------------------
36.6986 data required time
-30.5251 data arrival time
-------------------------------------------------------------------------------------
6.1735 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29164_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0008 26.3008 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.4365 0.5062 26.8070 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1389 soc/net1151 (net)
0.4395 0.0304 26.8375 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2909 0.4921 27.3296 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1131 soc/net1150 (net)
0.2923 0.0171 27.3466 ^ soc/_15731_/S (sky130_fd_sc_hd__mux2_1)
0.1445 0.8552 28.2018 v soc/_15731_/X (sky130_fd_sc_hd__mux2_1)
1 0.0064 soc/_05717_ (net)
0.1445 0.0003 28.2021 v soc/_15734_/A2 (sky130_fd_sc_hd__a211oi_1)
0.6877 0.7472 28.9493 ^ soc/_15734_/Y (sky130_fd_sc_hd__a211oi_1)
1 0.0111 soc/_05720_ (net)
0.6877 0.0005 28.9498 ^ soc/_15735_/A_N (sky130_fd_sc_hd__and3b_1)
0.2081 0.7061 29.6559 v soc/_15735_/X (sky130_fd_sc_hd__and3b_1)
1 0.0202 soc/_05721_ (net)
0.2081 0.0013 29.6571 v soc/_15764_/A2 (sky130_fd_sc_hd__o22a_2)
0.2895 0.7121 30.3692 v soc/_15764_/X (sky130_fd_sc_hd__o22a_2)
2 0.0508 soc/_00056_ (net)
0.2898 0.0083 30.3775 v soc/_29164_/D (sky130_fd_sc_hd__dfxtp_1)
30.3775 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8002 0.0182 35.2453 ^ soc/clkbuf_leaf_260_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1899 0.5804 35.8257 ^ soc/clkbuf_leaf_260_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.1047 soc/clknet_leaf_260_core_clk (net)
0.1899 0.0013 35.8270 ^ soc/_29164_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7270 clock uncertainty
1.2772 37.0042 clock reconvergence pessimism
-0.3279 36.6764 library setup time
36.6764 data required time
-------------------------------------------------------------------------------------
36.6764 data required time
-30.3775 data arrival time
-------------------------------------------------------------------------------------
6.2989 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29136_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2646 0.0212 25.7014 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.4262 0.5233 26.2247 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1356 soc/net1142 (net)
0.4312 0.0385 26.2632 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.4260 0.5912 26.8544 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1359 soc/net1141 (net)
0.4264 0.0108 26.8652 ^ soc/_14695_/S (sky130_fd_sc_hd__mux2_1)
0.1315 0.8726 27.7378 v soc/_14695_/X (sky130_fd_sc_hd__mux2_1)
1 0.0047 soc/_04709_ (net)
0.1315 0.0002 27.7380 v soc/_14698_/A2 (sky130_fd_sc_hd__a211o_1)
0.1918 0.7149 28.4528 v soc/_14698_/X (sky130_fd_sc_hd__a211o_1)
1 0.0159 soc/_04712_ (net)
0.1918 0.0007 28.4535 v soc/_14708_/A3 (sky130_fd_sc_hd__a31oi_4)
0.7024 0.7564 29.2100 ^ soc/_14708_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.0570 soc/_04722_ (net)
0.7026 0.0094 29.2193 ^ soc/_14709_/A2 (sky130_fd_sc_hd__a21oi_4)
0.3336 0.5222 29.7415 v soc/_14709_/Y (sky130_fd_sc_hd__a21oi_4)
2 0.0671 soc/_00057_ (net)
0.3349 0.0172 29.7587 v soc/_29136_/D (sky130_fd_sc_hd__dfxtp_1)
29.7587 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4137 0.0035 34.8866 ^ soc/clkbuf_leaf_371_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0846 0.3701 35.2567 ^ soc/clkbuf_leaf_371_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0306 soc/clknet_leaf_371_core_clk (net)
0.0847 0.0009 35.2576 ^ soc/_29136_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1576 clock uncertainty
1.2772 36.4348 clock reconvergence pessimism
-0.3751 36.0597 library setup time
36.0597 data required time
-------------------------------------------------------------------------------------
36.0597 data required time
-29.7587 data arrival time
-------------------------------------------------------------------------------------
6.3010 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29134_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2646 0.0212 25.7014 ^ soc/wire1142/A (sky130_fd_sc_hd__buf_6)
0.4262 0.5233 26.2247 ^ soc/wire1142/X (sky130_fd_sc_hd__buf_6)
15 0.1356 soc/net1142 (net)
0.4312 0.0385 26.2632 ^ soc/wire1141/A (sky130_fd_sc_hd__buf_6)
0.4260 0.5912 26.8544 ^ soc/wire1141/X (sky130_fd_sc_hd__buf_6)
22 0.1359 soc/net1141 (net)
0.4274 0.0209 26.8753 ^ soc/_14631_/S (sky130_fd_sc_hd__mux2_1)
0.1569 0.9098 27.7851 v soc/_14631_/X (sky130_fd_sc_hd__mux2_1)
1 0.0078 soc/_04647_ (net)
0.1569 0.0003 27.7855 v soc/_14632_/A2 (sky130_fd_sc_hd__a211oi_1)
0.7584 0.8084 28.5938 ^ soc/_14632_/Y (sky130_fd_sc_hd__a211oi_1)
1 0.0126 soc/_04648_ (net)
0.7584 0.0007 28.5946 ^ soc/_14634_/A2_N (sky130_fd_sc_hd__a2bb2o_2)
0.2772 0.9588 29.5534 v soc/_14634_/X (sky130_fd_sc_hd__a2bb2o_2)
2 0.0496 soc/_04650_ (net)
0.2774 0.0078 29.5612 v soc/_14635_/B2 (sky130_fd_sc_hd__o22a_1)
0.3661 0.6939 30.2551 v soc/_14635_/X (sky130_fd_sc_hd__o22a_1)
2 0.0349 soc/_00043_ (net)
0.3662 0.0048 30.2599 v soc/_29134_/D (sky130_fd_sc_hd__dfxtp_1)
30.2599 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8126 0.0100 35.2565 ^ soc/clkbuf_leaf_12_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1714 0.5696 35.8261 ^ soc/clkbuf_leaf_12_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0909 soc/clknet_leaf_12_core_clk (net)
0.1716 0.0037 35.8299 ^ soc/_29134_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7299 clock uncertainty
1.2772 37.0071 clock reconvergence pessimism
-0.3645 36.6426 library setup time
36.6426 data required time
-------------------------------------------------------------------------------------
36.6426 data required time
-30.2599 data arrival time
-------------------------------------------------------------------------------------
6.3826 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29159_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0009 26.3009 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.4240 0.5016 26.8025 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2384 soc/net1147 (net)
0.4255 0.0222 26.8247 ^ soc/_15556_/S (sky130_fd_sc_hd__mux2_1)
0.1705 0.9263 27.7511 v soc/_15556_/X (sky130_fd_sc_hd__mux2_1)
1 0.0092 soc/_05547_ (net)
0.1705 0.0004 27.7515 v soc/_15557_/A1 (sky130_fd_sc_hd__a211o_1)
0.1215 0.5907 28.3423 v soc/_15557_/X (sky130_fd_sc_hd__a211o_1)
1 0.0069 soc/_05548_ (net)
0.1215 0.0003 28.3425 v soc/_15573_/B1 (sky130_fd_sc_hd__a2bb2o_1)
0.2442 0.8027 29.1452 v soc/_15573_/X (sky130_fd_sc_hd__a2bb2o_1)
1 0.0203 soc/_05564_ (net)
0.2442 0.0013 29.1465 v soc/_15574_/A2 (sky130_fd_sc_hd__o22a_1)
0.4035 0.7709 29.9174 v soc/_15574_/X (sky130_fd_sc_hd__o22a_1)
2 0.0403 soc/_00050_ (net)
0.4036 0.0054 29.9227 v soc/_29159_/D (sky130_fd_sc_hd__dfxtp_1)
29.9227 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6304 0.0107 35.0559 ^ soc/clkbuf_leaf_276_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1340 0.4956 35.5515 ^ soc/clkbuf_leaf_276_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0657 soc/clknet_leaf_276_core_clk (net)
0.1341 0.0017 35.5531 ^ soc/_29159_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4531 clock uncertainty
1.2772 36.7304 clock reconvergence pessimism
-0.3902 36.3401 library setup time
36.3401 data required time
-------------------------------------------------------------------------------------
36.3401 data required time
-29.9227 data arrival time
-------------------------------------------------------------------------------------
6.4174 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29147_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0008 26.3008 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.4365 0.5062 26.8070 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1389 soc/net1151 (net)
0.4395 0.0304 26.8375 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2909 0.4921 27.3296 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1131 soc/net1150 (net)
0.2921 0.0161 27.3456 ^ soc/_15102_/S (sky130_fd_sc_hd__mux2_1)
0.2524 0.9894 28.3351 v soc/_15102_/X (sky130_fd_sc_hd__mux2_1)
1 0.0195 soc/_05105_ (net)
0.2524 0.0015 28.3365 v soc/_15105_/A2 (sky130_fd_sc_hd__a211o_1)
0.1234 0.6797 29.0162 v soc/_15105_/X (sky130_fd_sc_hd__a211o_1)
1 0.0071 soc/_05108_ (net)
0.1234 0.0003 29.0165 v soc/_15106_/A3 (sky130_fd_sc_hd__a31o_1)
0.2427 0.5970 29.6135 v soc/_15106_/X (sky130_fd_sc_hd__a31o_1)
2 0.0253 soc/_05109_ (net)
0.2427 0.0021 29.6157 v soc/_15125_/A1 (sky130_fd_sc_hd__o22a_1)
0.2182 0.6200 30.2356 v soc/_15125_/X (sky130_fd_sc_hd__o22a_1)
1 0.0181 soc/_00037_ (net)
0.2182 0.0015 30.2371 v soc/_29147_/D (sky130_fd_sc_hd__dfxtp_1)
30.2371 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0069 32.6457 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2596 32.9054 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0013 32.9067 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.2900 33.1967 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 33.1991 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0840 0.2653 33.4644 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0202 soc/clknet_3_6_0_core_clk (net)
0.0840 0.0014 33.4658 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0810 0.2301 33.6959 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0190 soc/clknet_3_6_1_core_clk (net)
0.0810 0.0013 33.6972 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1764 0.3050 34.0022 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0594 soc/clknet_3_6_2_core_clk (net)
0.1765 0.0032 34.0055 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1674 0.3412 34.3467 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0556 soc/clknet_4_12_0_core_clk (net)
0.1674 0.0023 34.3490 ^ soc/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0716 0.2583 34.6073 ^ soc/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0148 soc/clknet_5_25_0_core_clk (net)
0.0716 0.0009 34.6082 ^ soc/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7210 0.6669 35.2751 ^ soc/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2776 soc/clknet_5_25_1_core_clk (net)
0.7221 0.0223 35.2973 ^ soc/clkbuf_leaf_138_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1873 0.5590 35.8563 ^ soc/clkbuf_leaf_138_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
38 0.1038 soc/clknet_leaf_138_core_clk (net)
0.1874 0.0044 35.8608 ^ soc/_29147_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7608 clock uncertainty
1.2772 37.0380 clock reconvergence pessimism
-0.2988 36.7392 library setup time
36.7392 data required time
-------------------------------------------------------------------------------------
36.7392 data required time
-30.2371 data arrival time
-------------------------------------------------------------------------------------
6.5020 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29662_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2944 0.0072 25.0413 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2343 0.6543 25.6956 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0857 soc/net950 (net)
0.2343 0.0018 25.6974 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.3058 0.4329 26.1303 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0951 soc/net952 (net)
0.3079 0.0213 26.1516 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4972 0.5857 26.7373 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1591 soc/net951 (net)
0.4981 0.0194 26.7566 ^ soc/fanout946/A (sky130_fd_sc_hd__clkbuf_16)
0.3316 0.6146 27.3712 ^ soc/fanout946/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2079 soc/net946 (net)
0.3393 0.0415 27.4127 ^ soc/_16145_/B1 (sky130_fd_sc_hd__o311a_1)
0.2094 0.5529 27.9656 ^ soc/_16145_/X (sky130_fd_sc_hd__o311a_1)
1 0.0113 soc/_06121_ (net)
0.2094 0.0007 27.9663 ^ soc/_16146_/C1 (sky130_fd_sc_hd__a211o_1)
0.1845 0.3181 28.2844 ^ soc/_16146_/X (sky130_fd_sc_hd__a211o_1)
1 0.0120 soc/_06122_ (net)
0.1845 0.0008 28.2852 ^ soc/_16151_/C1 (sky130_fd_sc_hd__o311a_1)
0.4138 0.6430 28.9282 ^ soc/_16151_/X (sky130_fd_sc_hd__o311a_1)
2 0.0252 soc/_06127_ (net)
0.4138 0.0020 28.9302 ^ soc/_16152_/B1 (sky130_fd_sc_hd__a31oi_2)
0.3146 0.2505 29.1807 v soc/_16152_/Y (sky130_fd_sc_hd__a31oi_2)
1 0.0178 soc/_06128_ (net)
0.3146 0.0010 29.1817 v soc/_16153_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.4879 0.8980 30.0797 ^ soc/_16153_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0316 soc/_00031_ (net)
0.4879 0.0039 30.0836 ^ soc/_29662_/D (sky130_fd_sc_hd__dfxtp_1)
30.0836 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6412 0.0144 35.1384 ^ soc/clkbuf_leaf_111_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1691 0.5247 35.6630 ^ soc/clkbuf_leaf_111_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.0915 soc/clknet_leaf_111_core_clk (net)
0.1691 0.0013 35.6643 ^ soc/_29662_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5643 clock uncertainty
1.2772 36.8415 clock reconvergence pessimism
-0.2199 36.6217 library setup time
36.6217 data required time
-------------------------------------------------------------------------------------
36.6217 data required time
-30.0836 data arrival time
-------------------------------------------------------------------------------------
6.5381 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29140_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4126 0.0224 26.8308 ^ soc/_14856_/S (sky130_fd_sc_hd__mux2_1)
0.1286 0.8648 27.6956 v soc/_14856_/X (sky130_fd_sc_hd__mux2_1)
1 0.0044 soc/_04866_ (net)
0.1286 0.0002 27.6957 v soc/_14859_/A1 (sky130_fd_sc_hd__a211o_1)
0.1410 0.5953 28.2911 v soc/_14859_/X (sky130_fd_sc_hd__a211o_1)
1 0.0091 soc/_04869_ (net)
0.1410 0.0004 28.2914 v soc/_14860_/B1 (sky130_fd_sc_hd__o311a_1)
0.2693 0.4349 28.7263 v soc/_14860_/X (sky130_fd_sc_hd__o311a_1)
1 0.0249 soc/_04870_ (net)
0.2694 0.0024 28.7288 v soc/_14861_/C1 (sky130_fd_sc_hd__a311oi_2)
0.5125 0.5695 29.2983 ^ soc/_14861_/Y (sky130_fd_sc_hd__a311oi_2)
1 0.0119 soc/_04871_ (net)
0.5125 0.0007 29.2990 ^ soc/_14862_/B1 (sky130_fd_sc_hd__a21oi_1)
0.4425 0.4369 29.7358 v soc/_14862_/Y (sky130_fd_sc_hd__a21oi_1)
2 0.0265 soc/_00061_ (net)
0.4425 0.0027 29.7385 v soc/_29140_/D (sky130_fd_sc_hd__dfxtp_1)
29.7385 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1877 0.0034 35.5307 ^ soc/_29140_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4307 clock uncertainty
1.2772 36.7079 clock reconvergence pessimism
-0.3918 36.3161 library setup time
36.3161 data required time
-------------------------------------------------------------------------------------
36.3161 data required time
-29.7385 data arrival time
-------------------------------------------------------------------------------------
6.5776 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29653_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2944 0.0072 25.0413 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2343 0.6543 25.6956 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0857 soc/net950 (net)
0.2343 0.0018 25.6974 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.3058 0.4329 26.1303 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0951 soc/net952 (net)
0.3079 0.0213 26.1516 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4972 0.5857 26.7373 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1591 soc/net951 (net)
0.5018 0.0405 26.7778 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.5066 0.6577 27.4355 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.2029 soc/net945 (net)
0.5112 0.0404 27.4759 ^ soc/_15810_/B1 (sky130_fd_sc_hd__o311a_1)
0.4403 0.7968 28.2727 ^ soc/_15810_/X (sky130_fd_sc_hd__o311a_1)
2 0.0270 soc/_05795_ (net)
0.4403 0.0019 28.2746 ^ soc/_15817_/A2 (sky130_fd_sc_hd__o311a_1)
0.1536 0.5259 28.8006 ^ soc/_15817_/X (sky130_fd_sc_hd__o311a_1)
1 0.0074 soc/_05802_ (net)
0.1536 0.0003 28.8008 ^ soc/_15818_/B1 (sky130_fd_sc_hd__a31o_2)
0.6235 0.6385 29.4393 ^ soc/_15818_/X (sky130_fd_sc_hd__a31o_2)
2 0.0712 soc/_05803_ (net)
0.6238 0.0132 29.4526 ^ soc/_15819_/B2 (sky130_fd_sc_hd__o22a_1)
0.5110 0.7745 30.2270 ^ soc/_15819_/X (sky130_fd_sc_hd__o22a_1)
2 0.0330 soc/_00000_ (net)
0.5110 0.0041 30.2312 ^ soc/_29653_/D (sky130_fd_sc_hd__dfxtp_1)
30.2312 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8128 0.0151 35.2617 ^ soc/clkbuf_leaf_106_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2029 0.5944 35.8561 ^ soc/clkbuf_leaf_106_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1142 soc/clknet_leaf_106_core_clk (net)
0.2031 0.0052 35.8612 ^ soc/_29653_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7612 clock uncertainty
1.2772 37.0385 clock reconvergence pessimism
-0.2199 36.8185 library setup time
36.8185 data required time
-------------------------------------------------------------------------------------
36.8185 data required time
-30.2312 data arrival time
-------------------------------------------------------------------------------------
6.5874 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29659_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2944 0.0072 25.0413 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2343 0.6543 25.6956 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0857 soc/net950 (net)
0.2343 0.0018 25.6974 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.3058 0.4329 26.1303 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0951 soc/net952 (net)
0.3079 0.0213 26.1516 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4972 0.5857 26.7373 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1591 soc/net951 (net)
0.5018 0.0405 26.7778 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.5066 0.6577 27.4355 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.2029 soc/net945 (net)
0.5095 0.0326 27.4680 ^ soc/_16039_/C1 (sky130_fd_sc_hd__o221a_1)
0.1248 0.5655 28.0336 ^ soc/_16039_/X (sky130_fd_sc_hd__o221a_1)
1 0.0049 soc/_06018_ (net)
0.1248 0.0002 28.0337 ^ soc/_16040_/C1 (sky130_fd_sc_hd__a211o_1)
0.4568 0.4814 28.5152 ^ soc/_16040_/X (sky130_fd_sc_hd__a211o_1)
2 0.0331 soc/_06019_ (net)
0.4568 0.0037 28.5188 ^ soc/_16041_/A3 (sky130_fd_sc_hd__a31o_1)
0.2333 0.5669 29.0857 ^ soc/_16041_/X (sky130_fd_sc_hd__a31o_1)
1 0.0139 soc/_06020_ (net)
0.2333 0.0007 29.0864 ^ soc/_16042_/B1 (sky130_fd_sc_hd__a31oi_4)
0.5497 0.2442 29.3306 v soc/_16042_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.0739 soc/_06021_ (net)
0.5503 0.0147 29.3453 v soc/_16043_/B1 (sky130_fd_sc_hd__a21oi_2)
0.5300 0.6794 30.0247 ^ soc/_16043_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.0258 soc/_00028_ (net)
0.5300 0.0027 30.0274 ^ soc/_29659_/D (sky130_fd_sc_hd__dfxtp_1)
30.0274 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6412 0.0144 35.1384 ^ soc/clkbuf_leaf_111_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1691 0.5247 35.6630 ^ soc/clkbuf_leaf_111_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
34 0.0915 soc/clknet_leaf_111_core_clk (net)
0.1694 0.0057 35.6688 ^ soc/_29659_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5688 clock uncertainty
1.2772 36.8460 clock reconvergence pessimism
-0.2307 36.6153 library setup time
36.6153 data required time
-------------------------------------------------------------------------------------
36.6153 data required time
-30.0274 data arrival time
-------------------------------------------------------------------------------------
6.5879 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29679_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0032 26.9499 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3424 0.6464 27.5963 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2173 soc/net1465 (net)
0.3443 0.0214 27.6177 ^ soc/_16762_/A3 (sky130_fd_sc_hd__o311a_1)
0.1661 0.4644 28.0820 ^ soc/_16762_/X (sky130_fd_sc_hd__o311a_1)
1 0.0083 soc/_06721_ (net)
0.1661 0.0004 28.0824 ^ soc/_16765_/A2 (sky130_fd_sc_hd__o31a_1)
0.2779 0.4488 28.5312 ^ soc/_16765_/X (sky130_fd_sc_hd__o31a_1)
1 0.0157 soc/_06724_ (net)
0.2779 0.0008 28.5320 ^ soc/_16766_/A1 (sky130_fd_sc_hd__a21oi_1)
0.2773 0.3622 28.8941 v soc/_16766_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0152 soc/_06725_ (net)
0.2773 0.0011 28.8952 v soc/_16785_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
0.5604 0.9593 29.8545 ^ soc/_16785_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0365 soc/_00018_ (net)
0.5604 0.0041 29.8586 ^ soc/_29679_/D (sky130_fd_sc_hd__dfxtp_1)
29.8586 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6304 0.0107 35.0559 ^ soc/clkbuf_leaf_276_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1340 0.4956 35.5515 ^ soc/clkbuf_leaf_276_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0657 soc/clknet_leaf_276_core_clk (net)
0.1342 0.0033 35.5547 ^ soc/_29679_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4547 clock uncertainty
1.2772 36.7320 clock reconvergence pessimism
-0.2448 36.4872 library setup time
36.4872 data required time
-------------------------------------------------------------------------------------
36.4872 data required time
-29.8586 data arrival time
-------------------------------------------------------------------------------------
6.6286 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29162_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0008 26.3008 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.4365 0.5062 26.8070 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1389 soc/net1151 (net)
0.4395 0.0304 26.8375 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2909 0.4921 27.3296 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1131 soc/net1150 (net)
0.2914 0.0108 27.3404 ^ soc/_15659_/S (sky130_fd_sc_hd__mux2_1)
0.1529 0.8664 28.2068 v soc/_15659_/X (sky130_fd_sc_hd__mux2_1)
1 0.0073 soc/_05647_ (net)
0.1529 0.0003 28.2071 v soc/_15660_/A2 (sky130_fd_sc_hd__a211o_1)
0.0917 0.5982 28.8053 v soc/_15660_/X (sky130_fd_sc_hd__a211o_1)
1 0.0035 soc/_05648_ (net)
0.0917 0.0001 28.8055 v soc/_15661_/C1 (sky130_fd_sc_hd__o311a_1)
0.1355 0.2517 29.0572 v soc/_15661_/X (sky130_fd_sc_hd__o311a_1)
1 0.0076 soc/_05649_ (net)
0.1355 0.0004 29.0575 v soc/_15670_/B1 (sky130_fd_sc_hd__a31o_1)
0.3140 0.6041 29.6616 v soc/_15670_/X (sky130_fd_sc_hd__a31o_1)
2 0.0341 soc/_05658_ (net)
0.3141 0.0041 29.6657 v soc/_15689_/B2 (sky130_fd_sc_hd__o22a_1)
0.0839 0.4224 30.0881 v soc/_15689_/X (sky130_fd_sc_hd__o22a_1)
1 0.0028 soc/_00053_ (net)
0.0839 0.0001 30.0882 v soc/_29162_/D (sky130_fd_sc_hd__dfxtp_1)
30.0882 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8007 0.0239 35.2510 ^ soc/clkbuf_leaf_256_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1589 0.5570 35.8081 ^ soc/clkbuf_leaf_256_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0817 soc/clknet_leaf_256_core_clk (net)
0.1589 0.0017 35.8098 ^ soc/_29162_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7098 clock uncertainty
1.2772 36.9870 clock reconvergence pessimism
-0.2508 36.7362 library setup time
36.7362 data required time
-------------------------------------------------------------------------------------
36.7362 data required time
-30.0882 data arrival time
-------------------------------------------------------------------------------------
6.6480 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29157_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0008 26.3008 ^ soc/wire1151/A (sky130_fd_sc_hd__buf_6)
0.4365 0.5062 26.8070 ^ soc/wire1151/X (sky130_fd_sc_hd__buf_6)
16 0.1389 soc/net1151 (net)
0.4395 0.0304 26.8375 ^ soc/max_length1150/A (sky130_fd_sc_hd__buf_8)
0.2909 0.4921 27.3296 ^ soc/max_length1150/X (sky130_fd_sc_hd__buf_8)
14 0.1131 soc/net1150 (net)
0.2909 0.0025 27.3320 ^ soc/_15473_/S (sky130_fd_sc_hd__mux2_1)
0.1423 0.8516 28.1836 v soc/_15473_/X (sky130_fd_sc_hd__mux2_1)
1 0.0061 soc/_05466_ (net)
0.1423 0.0003 28.1840 v soc/_15474_/A2 (sky130_fd_sc_hd__a211o_1)
0.1097 0.6191 28.8031 v soc/_15474_/X (sky130_fd_sc_hd__a211o_1)
1 0.0055 soc/_05467_ (net)
0.1097 0.0002 28.8033 v soc/_15479_/A2 (sky130_fd_sc_hd__a31o_1)
0.1637 0.5049 29.3082 v soc/_15479_/X (sky130_fd_sc_hd__a31o_1)
1 0.0153 soc/_05472_ (net)
0.1637 0.0010 29.3092 v soc/_15499_/B2 (sky130_fd_sc_hd__o22a_1)
0.1742 0.4642 29.7734 v soc/_15499_/X (sky130_fd_sc_hd__o22a_1)
1 0.0120 soc/_00048_ (net)
0.1742 0.0008 29.7742 v soc/_29157_/D (sky130_fd_sc_hd__dfxtp_1)
29.7742 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6303 0.0080 35.0532 ^ soc/clkbuf_leaf_279_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1783 0.5296 35.5828 ^ soc/clkbuf_leaf_279_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0985 soc/clknet_leaf_279_core_clk (net)
0.1785 0.0042 35.5870 ^ soc/_29157_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4870 clock uncertainty
1.2772 36.7643 clock reconvergence pessimism
-0.2830 36.4813 library setup time
36.4813 data required time
-------------------------------------------------------------------------------------
36.4813 data required time
-29.7742 data arrival time
-------------------------------------------------------------------------------------
6.7071 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29160_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4151 0.0346 26.8430 ^ soc/_15586_/S (sky130_fd_sc_hd__mux2_1)
0.2089 0.9783 27.8212 v soc/_15586_/X (sky130_fd_sc_hd__mux2_1)
1 0.0139 soc/_05576_ (net)
0.2089 0.0008 27.8220 v soc/_15587_/A1 (sky130_fd_sc_hd__a211o_1)
0.0885 0.5636 28.3856 v soc/_15587_/X (sky130_fd_sc_hd__a211o_1)
1 0.0032 soc/_05577_ (net)
0.0885 0.0001 28.3857 v soc/_15592_/C1 (sky130_fd_sc_hd__o311a_1)
0.1516 0.2652 28.6508 v soc/_15592_/X (sky130_fd_sc_hd__o311a_1)
1 0.0094 soc/_05582_ (net)
0.1516 0.0005 28.6513 v soc/_15593_/B1 (sky130_fd_sc_hd__a31o_1)
0.2640 0.5697 29.2210 v soc/_15593_/X (sky130_fd_sc_hd__a31o_1)
2 0.0279 soc/_05583_ (net)
0.2640 0.0026 29.2236 v soc/_15613_/B2 (sky130_fd_sc_hd__o22a_2)
0.3080 0.7275 29.9512 v soc/_15613_/X (sky130_fd_sc_hd__o22a_2)
2 0.0594 soc/_00051_ (net)
0.3086 0.0114 29.9626 v soc/_29160_/D (sky130_fd_sc_hd__dfxtp_2)
29.9626 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8943 0.0131 35.3068 ^ soc/clkbuf_leaf_125_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1236 0.5514 35.8581 ^ soc/clkbuf_leaf_125_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0532 soc/clknet_leaf_125_core_clk (net)
0.1236 0.0012 35.8593 ^ soc/_29160_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7593 clock uncertainty
1.2772 37.0365 clock reconvergence pessimism
-0.3590 36.6776 library setup time
36.6776 data required time
-------------------------------------------------------------------------------------
36.6776 data required time
-29.9626 data arrival time
-------------------------------------------------------------------------------------
6.7150 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7145_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2441 0.0458 16.0064 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.2028 0.4437 16.4501 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2201 soc/net3128 (net)
0.2049 0.0179 16.4680 v soc/_14179_/A2 (sky130_fd_sc_hd__o21ai_4)
0.8920 0.8251 17.2931 ^ soc/_14179_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0797 soc/_13656_ (net)
0.8922 0.0133 17.3064 ^ soc/hold185/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.4972 1.6738 18.9802 ^ soc/hold185/X (sky130_fd_sc_hd__dlygate4sd3_1)
2 0.0319 soc/net4404 (net)
0.4973 0.0038 18.9841 ^ soc/wire2672/A (sky130_fd_sc_hd__buf_4)
0.5115 0.7233 19.7074 ^ soc/wire2672/X (sky130_fd_sc_hd__buf_4)
6 0.1168 soc/net2672 (net)
0.5139 0.0294 19.7367 ^ soc/wire2671/A (sky130_fd_sc_hd__buf_4)
0.5213 0.7324 20.4691 ^ soc/wire2671/X (sky130_fd_sc_hd__buf_4)
4 0.1190 soc/net2671 (net)
0.5247 0.0357 20.5048 ^ soc/wire2670/A (sky130_fd_sc_hd__buf_6)
0.4259 0.6188 21.1236 ^ soc/wire2670/X (sky130_fd_sc_hd__buf_6)
8 0.1356 soc/net2670 (net)
0.4297 0.0340 21.1577 ^ soc/_14180_/A (sky130_fd_sc_hd__clkinv_16)
0.1839 0.2783 21.4360 v soc/_14180_/Y (sky130_fd_sc_hd__clkinv_16)
7 0.1148 soc/net648 (net)
0.1840 0.0025 21.4385 v soc/wire2376/A (sky130_fd_sc_hd__buf_4)
0.2531 0.4848 21.9232 v soc/wire2376/X (sky130_fd_sc_hd__buf_4)
4 0.1103 soc/net2376 (net)
0.2610 0.0368 21.9601 v soc/wire2375/A (sky130_fd_sc_hd__buf_6)
0.1731 0.4172 22.3773 v soc/wire2375/X (sky130_fd_sc_hd__buf_6)
2 0.1015 soc/net2375 (net)
0.1794 0.0269 22.4042 v soc/wire2374/A (sky130_fd_sc_hd__buf_12)
0.1686 0.3609 22.7651 v soc/wire2374/X (sky130_fd_sc_hd__buf_12)
5 0.1779 soc/net2374 (net)
0.2233 0.0776 22.8427 v soc/wire2373/A (sky130_fd_sc_hd__buf_6)
0.1691 0.3965 23.2392 v soc/wire2373/X (sky130_fd_sc_hd__buf_6)
2 0.0992 soc/net2373 (net)
0.1757 0.0272 23.2665 v soc/output648/A (sky130_fd_sc_hd__buf_12)
0.1117 0.3468 23.6133 v soc/output648/X (sky130_fd_sc_hd__buf_12)
3 0.1056 mprj_dat_o_core[12] (net)
0.1144 0.0141 23.6274 v housekeeping/input135/A (sky130_fd_sc_hd__clkbuf_1)
0.0728 0.2086 23.8359 v housekeeping/input135/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0050 housekeeping/net135 (net)
0.0728 0.0002 23.8361 v housekeeping/_6335_/B1 (sky130_fd_sc_hd__a22o_1)
0.0781 0.3478 24.1839 v housekeeping/_6335_/X (sky130_fd_sc_hd__a22o_1)
1 0.0036 housekeeping/_3151_ (net)
0.0781 0.0001 24.1841 v housekeeping/_6336_/C1 (sky130_fd_sc_hd__a221o_1)
0.1220 0.5506 24.7346 v housekeeping/_6336_/X (sky130_fd_sc_hd__a221o_1)
1 0.0059 housekeeping/_3152_ (net)
0.1220 0.0002 24.7349 v housekeeping/_6337_/A0 (sky130_fd_sc_hd__mux2_1)
0.1095 0.6711 25.4060 v housekeeping/_6337_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 housekeeping/_0788_ (net)
0.1095 0.0001 25.4061 v housekeeping/_7145_/D (sky130_fd_sc_hd__dfrtp_1)
25.4061 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0029 31.2375 ^ housekeeping/_7145_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1375 clock uncertainty
1.2772 32.4147 clock reconvergence pessimism
-0.2431 32.1716 library setup time
32.1716 data required time
-------------------------------------------------------------------------------------
32.1716 data required time
-25.4061 data arrival time
-------------------------------------------------------------------------------------
6.7655 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29656_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2944 0.0072 25.0413 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2343 0.6543 25.6956 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0857 soc/net950 (net)
0.2343 0.0018 25.6974 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.3058 0.4329 26.1303 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0951 soc/net952 (net)
0.3079 0.0213 26.1516 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4972 0.5857 26.7373 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1591 soc/net951 (net)
0.5018 0.0405 26.7778 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.5066 0.6577 27.4355 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.2029 soc/net945 (net)
0.5076 0.0193 27.4548 ^ soc/_15928_/B1 (sky130_fd_sc_hd__o311a_1)
0.2689 0.6648 28.1196 ^ soc/_15928_/X (sky130_fd_sc_hd__o311a_1)
1 0.0155 soc/_05910_ (net)
0.2689 0.0011 28.1207 ^ soc/_15929_/C1 (sky130_fd_sc_hd__a211o_1)
0.1473 0.3108 28.4315 ^ soc/_15929_/X (sky130_fd_sc_hd__a211o_1)
1 0.0090 soc/_05911_ (net)
0.1473 0.0004 28.4319 ^ soc/_15930_/B1 (sky130_fd_sc_hd__o311a_1)
0.1321 0.4139 28.8458 ^ soc/_15930_/X (sky130_fd_sc_hd__o311a_1)
1 0.0059 soc/_05912_ (net)
0.1321 0.0002 28.8461 ^ soc/_15931_/B1 (sky130_fd_sc_hd__a31o_2)
0.4698 0.5140 29.3601 ^ soc/_15931_/X (sky130_fd_sc_hd__a31o_2)
2 0.0523 soc/_05913_ (net)
0.4701 0.0091 29.3691 ^ soc/_15932_/B2 (sky130_fd_sc_hd__o22a_1)
0.3744 0.6180 29.9871 ^ soc/_15932_/X (sky130_fd_sc_hd__o22a_1)
2 0.0237 soc/_00025_ (net)
0.3744 0.0022 29.9893 ^ soc/_29656_/D (sky130_fd_sc_hd__dfxtp_1)
29.9893 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8127 0.0124 35.2589 ^ soc/clkbuf_leaf_107_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1419 0.5464 35.8054 ^ soc/clkbuf_leaf_107_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0687 soc/clknet_leaf_107_core_clk (net)
0.1419 0.0019 35.8073 ^ soc/_29656_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7073 clock uncertainty
1.2772 36.9845 clock reconvergence pessimism
-0.1952 36.7893 library setup time
36.7893 data required time
-------------------------------------------------------------------------------------
36.7893 data required time
-29.9893 data arrival time
-------------------------------------------------------------------------------------
6.8000 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29684_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0047 26.9514 ^ soc/fanout1466/A (sky130_fd_sc_hd__buf_8)
0.4290 0.6122 27.5636 ^ soc/fanout1466/X (sky130_fd_sc_hd__buf_8)
32 0.1706 soc/net1466 (net)
0.4298 0.0162 27.5798 ^ soc/_16960_/B2 (sky130_fd_sc_hd__o221a_1)
0.2125 0.6132 28.1930 ^ soc/_16960_/X (sky130_fd_sc_hd__o221a_1)
1 0.0111 soc/_06914_ (net)
0.2125 0.0007 28.1937 ^ soc/_16962_/C1 (sky130_fd_sc_hd__a211o_1)
0.0730 0.2282 28.4219 ^ soc/_16962_/X (sky130_fd_sc_hd__a211o_1)
1 0.0029 soc/_06916_ (net)
0.0730 0.0001 28.4220 ^ soc/_16967_/C1 (sky130_fd_sc_hd__o311a_1)
0.1913 0.4209 28.8429 ^ soc/_16967_/X (sky130_fd_sc_hd__o311a_1)
1 0.0100 soc/_06921_ (net)
0.1913 0.0006 28.8435 ^ soc/_16968_/B1 (sky130_fd_sc_hd__a31o_2)
0.4141 0.5017 29.3452 ^ soc/_16968_/X (sky130_fd_sc_hd__a31o_2)
2 0.0456 soc/_06922_ (net)
0.4142 0.0062 29.3514 ^ soc/_16969_/B2 (sky130_fd_sc_hd__o22a_2)
0.3419 0.6188 29.9702 ^ soc/_16969_/X (sky130_fd_sc_hd__o22a_2)
2 0.0403 soc/_00024_ (net)
0.3420 0.0064 29.9766 ^ soc/_29684_/D (sky130_fd_sc_hd__dfxtp_1)
29.9766 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8001 0.0172 35.2443 ^ soc/clkbuf_leaf_249_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1796 0.5729 35.8172 ^ soc/clkbuf_leaf_249_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0972 soc/clknet_leaf_249_core_clk (net)
0.1797 0.0019 35.8191 ^ soc/_29684_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7191 clock uncertainty
1.2772 36.9963 clock reconvergence pessimism
-0.1803 36.8160 library setup time
36.8160 data required time
-------------------------------------------------------------------------------------
36.8160 data required time
-29.9766 data arrival time
-------------------------------------------------------------------------------------
6.8395 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29654_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2944 0.0072 25.0413 ^ soc/fanout950/A (sky130_fd_sc_hd__buf_8)
0.2343 0.6543 25.6956 ^ soc/fanout950/X (sky130_fd_sc_hd__buf_8)
10 0.0857 soc/net950 (net)
0.2343 0.0018 25.6974 ^ soc/max_length952/A (sky130_fd_sc_hd__buf_6)
0.3058 0.4329 26.1303 ^ soc/max_length952/X (sky130_fd_sc_hd__buf_6)
8 0.0951 soc/net952 (net)
0.3079 0.0213 26.1516 ^ soc/wire951/A (sky130_fd_sc_hd__buf_6)
0.4972 0.5857 26.7373 ^ soc/wire951/X (sky130_fd_sc_hd__buf_6)
16 0.1591 soc/net951 (net)
0.5018 0.0405 26.7778 ^ soc/fanout945/A (sky130_fd_sc_hd__buf_8)
0.5066 0.6577 27.4355 ^ soc/fanout945/X (sky130_fd_sc_hd__buf_8)
40 0.2029 soc/net945 (net)
0.5103 0.0363 27.4718 ^ soc/_15840_/B1 (sky130_fd_sc_hd__o311a_1)
0.1010 0.5154 27.9873 ^ soc/_15840_/X (sky130_fd_sc_hd__o311a_1)
1 0.0036 soc/_05824_ (net)
0.1010 0.0001 27.9874 ^ soc/_15842_/C1 (sky130_fd_sc_hd__a211o_1)
0.1650 0.2528 28.2402 ^ soc/_15842_/X (sky130_fd_sc_hd__a211o_1)
1 0.0105 soc/_05826_ (net)
0.1650 0.0006 28.2409 ^ soc/_15847_/A (sky130_fd_sc_hd__and3_2)
0.4298 0.7018 28.9426 ^ soc/_15847_/X (sky130_fd_sc_hd__and3_2)
2 0.0519 soc/_05831_ (net)
0.4299 0.0030 28.9457 ^ soc/_15857_/B1 (sky130_fd_sc_hd__o22a_1)
0.5696 0.7916 29.7373 ^ soc/_15857_/X (sky130_fd_sc_hd__o22a_1)
2 0.0370 soc/_00011_ (net)
0.5696 0.0048 29.7420 ^ soc/_29654_/D (sky130_fd_sc_hd__dfxtp_1)
29.7420 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6411 0.0128 35.1367 ^ soc/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1720 0.5260 35.6627 ^ soc/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
38 0.0932 soc/clknet_leaf_115_core_clk (net)
0.1722 0.0042 35.6669 ^ soc/_29654_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5669 clock uncertainty
1.2772 36.8441 clock reconvergence pessimism
-0.2405 36.6036 library setup time
36.6036 data required time
-------------------------------------------------------------------------------------
36.6036 data required time
-29.7420 data arrival time
-------------------------------------------------------------------------------------
6.8616 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29657_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3679 0.0310 26.3529 ^ soc/wire933/A (sky130_fd_sc_hd__buf_6)
0.4504 0.5823 26.9352 ^ soc/wire933/X (sky130_fd_sc_hd__buf_6)
20 0.1438 soc/net933 (net)
0.4534 0.0312 26.9664 ^ soc/fanout927/A (sky130_fd_sc_hd__buf_8)
0.4818 0.6270 27.5934 ^ soc/fanout927/X (sky130_fd_sc_hd__buf_8)
40 0.1928 soc/net927 (net)
0.4818 0.0032 27.5966 ^ soc/_15953_/B1 (sky130_fd_sc_hd__o311a_1)
0.3610 0.7252 28.3218 ^ soc/_15953_/X (sky130_fd_sc_hd__o311a_1)
1 0.0217 soc/_05934_ (net)
0.3610 0.0018 28.3236 ^ soc/_15954_/B1 (sky130_fd_sc_hd__a211o_1)
0.2371 0.4221 28.7457 ^ soc/_15954_/X (sky130_fd_sc_hd__a211o_1)
1 0.0163 soc/_05935_ (net)
0.2371 0.0011 28.7468 ^ soc/_15958_/B1 (sky130_fd_sc_hd__o211ai_1)
0.2572 0.3228 29.0695 v soc/_15958_/Y (sky130_fd_sc_hd__o211ai_1)
1 0.0063 soc/_05939_ (net)
0.2572 0.0002 29.0698 v soc/_15968_/A2_N (sky130_fd_sc_hd__o2bb2a_4)
0.3503 0.6881 29.7579 ^ soc/_15968_/X (sky130_fd_sc_hd__o2bb2a_4)
2 0.0693 soc/_00026_ (net)
0.3513 0.0156 29.7735 ^ soc/_29657_/D (sky130_fd_sc_hd__dfxtp_1)
29.7735 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6409 0.0098 35.1338 ^ soc/clkbuf_leaf_114_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1533 0.5138 35.6475 ^ soc/clkbuf_leaf_114_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0799 soc/clknet_leaf_114_core_clk (net)
0.1533 0.0015 35.6491 ^ soc/_29657_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5491 clock uncertainty
1.2772 36.8263 clock reconvergence pessimism
-0.1872 36.6391 library setup time
36.6391 data required time
-------------------------------------------------------------------------------------
36.6391 data required time
-29.7735 data arrival time
-------------------------------------------------------------------------------------
6.8656 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29144_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4239 0.0210 25.8447 ^ soc/fanout1146/A (sky130_fd_sc_hd__buf_12)
0.3476 0.5344 26.3791 ^ soc/fanout1146/X (sky130_fd_sc_hd__buf_12)
36 0.1924 soc/net1146 (net)
0.3480 0.0109 26.3899 ^ soc/_14984_/S (sky130_fd_sc_hd__mux2_1)
0.1607 0.8924 27.2823 v soc/_14984_/X (sky130_fd_sc_hd__mux2_1)
1 0.0082 soc/_04990_ (net)
0.1607 0.0004 27.2827 v soc/_14985_/A2 (sky130_fd_sc_hd__a211o_1)
0.2126 0.7476 28.0303 v soc/_14985_/X (sky130_fd_sc_hd__a211o_1)
1 0.0187 soc/_04991_ (net)
0.2126 0.0007 28.0310 v soc/_14986_/C1 (sky130_fd_sc_hd__o311ai_4)
0.6536 0.2473 28.2783 ^ soc/_14986_/Y (sky130_fd_sc_hd__o311ai_4)
2 0.0286 soc/_04992_ (net)
0.6536 0.0021 28.2804 ^ soc/_14995_/B1 (sky130_fd_sc_hd__o31a_1)
0.2339 0.6092 28.8895 ^ soc/_14995_/X (sky130_fd_sc_hd__o31a_1)
1 0.0129 soc/_05001_ (net)
0.2339 0.0008 28.8903 ^ soc/_15014_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2640 0.6926 29.5829 v soc/_15014_/X (sky130_fd_sc_hd__o2bb2a_1)
2 0.0218 soc/_00034_ (net)
0.2641 0.0019 29.5848 v soc/_29144_/D (sky130_fd_sc_hd__dfxtp_1)
29.5848 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6418 0.0210 35.1450 ^ soc/clkbuf_leaf_129_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1663 0.5232 35.6681 ^ soc/clkbuf_leaf_129_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0895 soc/clknet_leaf_129_core_clk (net)
0.1664 0.0030 35.6711 ^ soc/_29144_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5711 clock uncertainty
1.2772 36.8483 clock reconvergence pessimism
-0.3235 36.5248 library setup time
36.5248 data required time
-------------------------------------------------------------------------------------
36.5248 data required time
-29.5848 data arrival time
-------------------------------------------------------------------------------------
6.9399 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29152_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4145 0.0320 26.8404 ^ soc/_15276_/S (sky130_fd_sc_hd__mux2_1)
0.1300 0.8672 27.7076 v soc/_15276_/X (sky130_fd_sc_hd__mux2_1)
1 0.0045 soc/_05274_ (net)
0.1300 0.0002 27.7078 v soc/_15277_/A1 (sky130_fd_sc_hd__mux2_1)
0.1383 0.7417 28.4494 v soc/_15277_/X (sky130_fd_sc_hd__mux2_1)
1 0.0056 soc/_05275_ (net)
0.1383 0.0002 28.4496 v soc/_15282_/A2 (sky130_fd_sc_hd__o211a_1)
0.1709 0.5310 28.9806 v soc/_15282_/X (sky130_fd_sc_hd__o211a_1)
1 0.0148 soc/_05280_ (net)
0.1709 0.0009 28.9816 v soc/_15310_/A1_N (sky130_fd_sc_hd__a2bb2oi_2)
0.2924 0.4356 29.4172 v soc/_15310_/Y (sky130_fd_sc_hd__a2bb2oi_2)
2 0.0294 soc/_00042_ (net)
0.2925 0.0035 29.4207 v soc/_29152_/D (sky130_fd_sc_hd__dfxtp_1)
29.4207 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1876 0.0015 35.5288 ^ soc/_29152_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4288 clock uncertainty
1.2772 36.7060 clock reconvergence pessimism
-0.3296 36.3764 library setup time
36.3764 data required time
-------------------------------------------------------------------------------------
36.3764 data required time
-29.4207 data arrival time
-------------------------------------------------------------------------------------
6.9558 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29148_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4126 0.0222 26.8305 ^ soc/_15127_/S (sky130_fd_sc_hd__mux2_1)
0.1116 0.8388 27.6693 v soc/_15127_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_05129_ (net)
0.1116 0.0001 27.6694 v soc/_15128_/A1 (sky130_fd_sc_hd__mux2_1)
0.1071 0.6845 28.3539 v soc/_15128_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_05130_ (net)
0.1071 0.0001 28.3539 v soc/_15133_/A2 (sky130_fd_sc_hd__o211ai_1)
0.4112 0.4292 28.7831 ^ soc/_15133_/Y (sky130_fd_sc_hd__o211ai_1)
1 0.0086 soc/_05135_ (net)
0.4112 0.0004 28.7835 ^ soc/_15142_/C1 (sky130_fd_sc_hd__o311ai_1)
0.2326 0.3782 29.1618 v soc/_15142_/Y (sky130_fd_sc_hd__o311ai_1)
1 0.0049 soc/_05144_ (net)
0.2326 0.0002 29.1619 v soc/_15161_/B1 (sky130_fd_sc_hd__o31a_1)
0.1284 0.3133 29.4753 v soc/_15161_/X (sky130_fd_sc_hd__o31a_1)
1 0.0079 soc/_00038_ (net)
0.1284 0.0004 29.4757 v soc/_29148_/D (sky130_fd_sc_hd__dfxtp_1)
29.4757 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1877 0.0035 35.5308 ^ soc/_29148_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4308 clock uncertainty
1.2772 36.7080 clock reconvergence pessimism
-0.2615 36.4465 library setup time
36.4465 data required time
-------------------------------------------------------------------------------------
36.4465 data required time
-29.4757 data arrival time
-------------------------------------------------------------------------------------
6.9708 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29145_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4148 0.0335 26.8418 ^ soc/_15039_/S (sky130_fd_sc_hd__mux2_1)
0.1573 0.9068 27.7486 v soc/_15039_/X (sky130_fd_sc_hd__mux2_1)
1 0.0078 soc/_05044_ (net)
0.1573 0.0003 27.7490 v soc/_15040_/B1 (sky130_fd_sc_hd__a221oi_2)
0.4178 0.5147 28.2636 ^ soc/_15040_/Y (sky130_fd_sc_hd__a221oi_2)
1 0.0087 soc/_05045_ (net)
0.4178 0.0004 28.2641 ^ soc/_15050_/A3 (sky130_fd_sc_hd__o32a_1)
0.5878 0.7815 29.0456 ^ soc/_15050_/X (sky130_fd_sc_hd__o32a_1)
2 0.0356 soc/_05055_ (net)
0.5879 0.0038 29.0494 ^ soc/_15051_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.2000 0.7527 29.8021 v soc/_15051_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0138 soc/_00035_ (net)
0.2000 0.0009 29.8030 v soc/_29145_/D (sky130_fd_sc_hd__dfxtp_1)
29.8030 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8945 0.0172 35.3109 ^ soc/clkbuf_leaf_121_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1787 0.5962 35.9071 ^ soc/clkbuf_leaf_121_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0952 soc/clknet_leaf_121_core_clk (net)
0.1787 0.0014 35.9085 ^ soc/_29145_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.8085 clock uncertainty
1.2772 37.0857 clock reconvergence pessimism
-0.2937 36.7921 library setup time
36.7921 data required time
-------------------------------------------------------------------------------------
36.7921 data required time
-29.8030 data arrival time
-------------------------------------------------------------------------------------
6.9891 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29155_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0009 26.3009 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.4240 0.5016 26.8025 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2384 soc/net1147 (net)
0.4289 0.0385 26.8410 ^ soc/_15414_/S (sky130_fd_sc_hd__mux2_1)
0.1339 0.8771 27.7181 v soc/_15414_/X (sky130_fd_sc_hd__mux2_1)
1 0.0050 soc/_05409_ (net)
0.1339 0.0002 27.7183 v soc/_15415_/A2 (sky130_fd_sc_hd__a211o_1)
0.1026 0.6058 28.3241 v soc/_15415_/X (sky130_fd_sc_hd__a211o_1)
1 0.0045 soc/_05410_ (net)
0.1026 0.0002 28.3243 v soc/_15420_/A2 (sky130_fd_sc_hd__a31o_1)
0.1401 0.4747 28.7990 v soc/_15420_/X (sky130_fd_sc_hd__a31o_1)
1 0.0117 soc/_05415_ (net)
0.1401 0.0007 28.7997 v soc/_15421_/B1_N (sky130_fd_sc_hd__o21bai_2)
0.2737 0.5931 29.3929 v soc/_15421_/Y (sky130_fd_sc_hd__o21bai_2)
2 0.0261 soc/_05416_ (net)
0.2738 0.0028 29.3957 v soc/_15422_/B1 (sky130_fd_sc_hd__o31a_1)
0.1375 0.3394 29.7350 v soc/_15422_/X (sky130_fd_sc_hd__o31a_1)
1 0.0089 soc/_00046_ (net)
0.1375 0.0004 29.7354 v soc/_29155_/D (sky130_fd_sc_hd__dfxtp_1)
29.7354 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8006 0.0224 35.2495 ^ soc/clkbuf_leaf_250_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2148 0.6004 35.8499 ^ soc/clkbuf_leaf_250_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1231 soc/clknet_leaf_250_core_clk (net)
0.2149 0.0038 35.8537 ^ soc/_29155_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7537 clock uncertainty
1.2772 37.0309 clock reconvergence pessimism
-0.2580 36.7730 library setup time
36.7730 data required time
-------------------------------------------------------------------------------------
36.7730 data required time
-29.7354 data arrival time
-------------------------------------------------------------------------------------
7.0376 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29660_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3663 0.0234 26.3453 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4330 0.5448 26.8901 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2432 soc/net929 (net)
0.4331 0.0066 26.8967 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3394 0.5927 27.4895 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2141 soc/net928 (net)
0.3402 0.0145 27.5039 ^ soc/_16046_/C1 (sky130_fd_sc_hd__o221a_1)
0.1177 0.4888 27.9927 ^ soc/_16046_/X (sky130_fd_sc_hd__o221a_1)
1 0.0044 soc/_06024_ (net)
0.1177 0.0002 27.9928 ^ soc/_16047_/B1 (sky130_fd_sc_hd__a211o_1)
0.0855 0.2040 28.1968 ^ soc/_16047_/X (sky130_fd_sc_hd__a211o_1)
1 0.0041 soc/_06025_ (net)
0.0855 0.0001 28.1969 ^ soc/_16052_/C1 (sky130_fd_sc_hd__o2111ai_1)
0.2497 0.2705 28.4675 v soc/_16052_/Y (sky130_fd_sc_hd__o2111ai_1)
1 0.0037 soc/_06030_ (net)
0.2497 0.0001 28.4676 v soc/_16061_/B1 (sky130_fd_sc_hd__o31a_1)
0.1100 0.3014 28.7690 v soc/_16061_/X (sky130_fd_sc_hd__o31a_1)
1 0.0056 soc/_06039_ (net)
0.1100 0.0002 28.7692 v soc/_16079_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.3158 0.6652 29.4345 ^ soc/_16079_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0198 soc/_00029_ (net)
0.3158 0.0012 29.4357 ^ soc/_29660_/D (sky130_fd_sc_hd__dfxtp_1)
29.4357 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4957 0.0220 35.0452 ^ soc/clkbuf_leaf_7_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1671 0.4692 35.5144 ^ soc/clkbuf_leaf_7_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0914 soc/clknet_leaf_7_core_clk (net)
0.1672 0.0017 35.5161 ^ soc/_29660_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4161 clock uncertainty
1.2772 36.6933 clock reconvergence pessimism
-0.1756 36.5177 library setup time
36.5177 data required time
-------------------------------------------------------------------------------------
36.5177 data required time
-29.4357 data arrival time
-------------------------------------------------------------------------------------
7.0820 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29154_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4239 0.0210 25.8447 ^ soc/fanout1146/A (sky130_fd_sc_hd__buf_12)
0.3476 0.5344 26.3791 ^ soc/fanout1146/X (sky130_fd_sc_hd__buf_12)
36 0.1924 soc/net1146 (net)
0.3486 0.0161 26.3952 ^ soc/_15362_/S (sky130_fd_sc_hd__mux2_1)
0.1176 0.8310 27.2262 v soc/_15362_/X (sky130_fd_sc_hd__mux2_1)
1 0.0035 soc/_05358_ (net)
0.1176 0.0001 27.2263 v soc/_15363_/A1 (sky130_fd_sc_hd__mux2_1)
0.2147 0.8410 28.0673 v soc/_15363_/X (sky130_fd_sc_hd__mux2_1)
1 0.0147 soc/_05359_ (net)
0.2147 0.0010 28.0683 v soc/_15364_/A2 (sky130_fd_sc_hd__o211a_1)
0.2455 0.6275 28.6958 v soc/_15364_/X (sky130_fd_sc_hd__o211a_1)
2 0.0236 soc/_05360_ (net)
0.2455 0.0019 28.6977 v soc/_15365_/B1 (sky130_fd_sc_hd__a31oi_1)
0.3705 0.4368 29.1345 ^ soc/_15365_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0083 soc/_05361_ (net)
0.3705 0.0004 29.1349 ^ soc/_15383_/B2 (sky130_fd_sc_hd__a2bb2oi_1)
0.3304 0.4252 29.5601 v soc/_15383_/Y (sky130_fd_sc_hd__a2bb2oi_1)
2 0.0182 soc/_00045_ (net)
0.3304 0.0014 29.5615 v soc/_29154_/D (sky130_fd_sc_hd__dfxtp_1)
29.5615 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0069 32.6457 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2596 32.9054 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0013 32.9067 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.2900 33.1967 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 33.1991 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0840 0.2653 33.4644 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0202 soc/clknet_3_6_0_core_clk (net)
0.0840 0.0014 33.4658 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0810 0.2301 33.6959 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0190 soc/clknet_3_6_1_core_clk (net)
0.0810 0.0013 33.6972 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1764 0.3050 34.0022 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0594 soc/clknet_3_6_2_core_clk (net)
0.1765 0.0032 34.0055 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1674 0.3412 34.3467 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0556 soc/clknet_4_12_0_core_clk (net)
0.1675 0.0032 34.3499 ^ soc/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0779 0.2642 34.6141 ^ soc/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_5_24_0_core_clk (net)
0.0779 0.0012 34.6153 ^ soc/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7892 0.7117 35.3270 ^ soc/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
32 0.3047 soc/clknet_5_24_1_core_clk (net)
0.7897 0.0155 35.3425 ^ soc/clkbuf_leaf_135_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1481 0.5461 35.8886 ^ soc/clkbuf_leaf_135_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0738 soc/clknet_leaf_135_core_clk (net)
0.1482 0.0029 35.8914 ^ soc/_29154_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7915 clock uncertainty
1.2772 37.0687 clock reconvergence pessimism
-0.3560 36.7127 library setup time
36.7127 data required time
-------------------------------------------------------------------------------------
36.7127 data required time
-29.5615 data arrival time
-------------------------------------------------------------------------------------
7.1511 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29680_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3663 0.0234 26.3453 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4330 0.5448 26.8901 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2432 soc/net929 (net)
0.4331 0.0066 26.8967 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3394 0.5927 27.4895 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2141 soc/net928 (net)
0.3395 0.0040 27.4934 ^ soc/_16799_/C1 (sky130_fd_sc_hd__o221a_1)
0.2036 0.5667 28.0601 ^ soc/_16799_/X (sky130_fd_sc_hd__o221a_1)
1 0.0105 soc/_06757_ (net)
0.2036 0.0006 28.0607 ^ soc/_16802_/A2 (sky130_fd_sc_hd__o311a_1)
0.2339 0.5237 28.5844 ^ soc/_16802_/X (sky130_fd_sc_hd__o311a_1)
1 0.0132 soc/_06760_ (net)
0.2339 0.0008 28.5852 ^ soc/_16803_/B1 (sky130_fd_sc_hd__a31oi_1)
0.3800 0.2225 28.8077 v soc/_16803_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0139 soc/_06761_ (net)
0.3800 0.0007 28.8084 v soc/_16822_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.1544 0.6764 29.4847 ^ soc/_16822_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0083 soc/_00019_ (net)
0.1544 0.0004 29.4851 ^ soc/_29680_/D (sky130_fd_sc_hd__dfxtp_2)
29.4851 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6407 0.0048 35.1287 ^ soc/clkbuf_leaf_118_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1376 0.5006 35.6293 ^ soc/clkbuf_leaf_118_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0682 soc/clknet_leaf_118_core_clk (net)
0.1376 0.0012 35.6305 ^ soc/_29680_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.5305 clock uncertainty
1.2772 36.8077 clock reconvergence pessimism
-0.1514 36.6563 library setup time
36.6563 data required time
-------------------------------------------------------------------------------------
36.6563 data required time
-29.4851 data arrival time
-------------------------------------------------------------------------------------
7.1712 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29142_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4899 0.0758 26.2911 ^ soc/_14924_/S (sky130_fd_sc_hd__mux2_1)
0.1197 0.8731 27.1642 v soc/_14924_/X (sky130_fd_sc_hd__mux2_1)
1 0.0037 soc/_04932_ (net)
0.1197 0.0001 27.1643 v soc/_14927_/A1 (sky130_fd_sc_hd__a211o_1)
0.0967 0.5368 27.7011 v soc/_14927_/X (sky130_fd_sc_hd__a211o_1)
1 0.0040 soc/_04935_ (net)
0.0967 0.0001 27.7012 v soc/_14928_/C1 (sky130_fd_sc_hd__o311a_1)
0.0937 0.2118 27.9130 v soc/_14928_/X (sky130_fd_sc_hd__o311a_1)
1 0.0030 soc/_04936_ (net)
0.0937 0.0001 27.9131 v soc/_14937_/C1 (sky130_fd_sc_hd__a311o_1)
0.3563 0.8145 28.7276 v soc/_14937_/X (sky130_fd_sc_hd__a311o_1)
2 0.0341 soc/_04945_ (net)
0.3564 0.0040 28.7316 v soc/_14938_/B1 (sky130_fd_sc_hd__o31a_1)
0.3416 0.5632 29.2948 v soc/_14938_/X (sky130_fd_sc_hd__o31a_1)
2 0.0360 soc/_00063_ (net)
0.3417 0.0038 29.2986 v soc/_29142_/D (sky130_fd_sc_hd__dfxtp_2)
29.2986 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6417 0.0202 35.1442 ^ soc/clkbuf_leaf_131_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1541 0.5144 35.6585 ^ soc/clkbuf_leaf_131_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0805 soc/clknet_leaf_131_core_clk (net)
0.1541 0.0019 35.6604 ^ soc/_29142_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.5604 clock uncertainty
1.2772 36.8377 clock reconvergence pessimism
-0.3644 36.4733 library setup time
36.4733 data required time
-------------------------------------------------------------------------------------
36.4733 data required time
-29.2986 data arrival time
-------------------------------------------------------------------------------------
7.1747 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29163_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0009 26.3009 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.4240 0.5016 26.8025 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2384 soc/net1147 (net)
0.4297 0.0411 26.8437 ^ soc/_15691_/S (sky130_fd_sc_hd__mux2_1)
0.1889 0.9493 27.7930 v soc/_15691_/X (sky130_fd_sc_hd__mux2_1)
1 0.0110 soc/_05678_ (net)
0.1889 0.0006 27.7936 v soc/_15692_/A1 (sky130_fd_sc_hd__mux2_1)
0.1186 0.7366 28.5302 v soc/_15692_/X (sky130_fd_sc_hd__mux2_1)
1 0.0036 soc/_05679_ (net)
0.1186 0.0001 28.5303 v soc/_15697_/A2 (sky130_fd_sc_hd__o211a_1)
0.0909 0.4330 28.9633 v soc/_15697_/X (sky130_fd_sc_hd__o211a_1)
1 0.0049 soc/_05684_ (net)
0.0909 0.0002 28.9635 v soc/_15726_/A2 (sky130_fd_sc_hd__o22a_1)
0.2679 0.5763 29.5398 v soc/_15726_/X (sky130_fd_sc_hd__o22a_1)
2 0.0238 soc/_00055_ (net)
0.2679 0.0023 29.5421 v soc/_29163_/D (sky130_fd_sc_hd__dfxtp_1)
29.5421 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8006 0.0224 35.2495 ^ soc/clkbuf_leaf_250_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2148 0.6004 35.8499 ^ soc/clkbuf_leaf_250_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1231 soc/clknet_leaf_250_core_clk (net)
0.2149 0.0038 35.8537 ^ soc/_29163_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7537 clock uncertainty
1.2772 37.0310 clock reconvergence pessimism
-0.3120 36.7190 library setup time
36.7190 data required time
-------------------------------------------------------------------------------------
36.7190 data required time
-29.5421 data arrival time
-------------------------------------------------------------------------------------
7.1768 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29671_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0047 26.9514 ^ soc/fanout1466/A (sky130_fd_sc_hd__buf_8)
0.4290 0.6122 27.5636 ^ soc/fanout1466/X (sky130_fd_sc_hd__buf_8)
32 0.1706 soc/net1466 (net)
0.4300 0.0172 27.5808 ^ soc/_16479_/B2 (sky130_fd_sc_hd__o221a_1)
0.1202 0.5293 28.1101 ^ soc/_16479_/X (sky130_fd_sc_hd__o221a_1)
1 0.0046 soc/_06446_ (net)
0.1202 0.0002 28.1103 ^ soc/_16486_/B1 (sky130_fd_sc_hd__o32a_1)
0.2707 0.4559 28.5662 ^ soc/_16486_/X (sky130_fd_sc_hd__o32a_1)
1 0.0153 soc/_06453_ (net)
0.2707 0.0010 28.5671 ^ soc/_16487_/A1 (sky130_fd_sc_hd__a22o_1)
0.1216 0.3934 28.9606 ^ soc/_16487_/X (sky130_fd_sc_hd__a22o_1)
1 0.0059 soc/_06454_ (net)
0.1216 0.0002 28.9607 ^ soc/_16488_/B2 (sky130_fd_sc_hd__o22a_1)
0.5619 0.6235 29.5842 ^ soc/_16488_/X (sky130_fd_sc_hd__o22a_1)
2 0.0364 soc/_00009_ (net)
0.5619 0.0046 29.5889 ^ soc/_29671_/D (sky130_fd_sc_hd__dfxtp_1)
29.5889 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8002 0.0185 35.2456 ^ soc/clkbuf_leaf_137_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2185 0.6028 35.8484 ^ soc/clkbuf_leaf_137_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1257 soc/clknet_leaf_137_core_clk (net)
0.2187 0.0058 35.8542 ^ soc/_29671_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7542 clock uncertainty
1.2772 37.0315 clock reconvergence pessimism
-0.2304 36.8011 library setup time
36.8011 data required time
-------------------------------------------------------------------------------------
36.8011 data required time
-29.5889 data arrival time
-------------------------------------------------------------------------------------
7.2122 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29667_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0047 26.9514 ^ soc/fanout1466/A (sky130_fd_sc_hd__buf_8)
0.4290 0.6122 27.5636 ^ soc/fanout1466/X (sky130_fd_sc_hd__buf_8)
32 0.1706 soc/net1466 (net)
0.4312 0.0258 27.5894 ^ soc/_16325_/A3 (sky130_fd_sc_hd__o311a_1)
0.3666 0.6504 28.2398 ^ soc/_16325_/X (sky130_fd_sc_hd__o311a_1)
2 0.0221 soc/_06296_ (net)
0.3666 0.0018 28.2416 ^ soc/_16328_/A2 (sky130_fd_sc_hd__o31a_1)
0.2405 0.4906 28.7322 ^ soc/_16328_/X (sky130_fd_sc_hd__o31a_1)
1 0.0134 soc/_06299_ (net)
0.2405 0.0008 28.7329 ^ soc/_16337_/B2 (sky130_fd_sc_hd__a32oi_2)
0.2499 0.2227 28.9556 v soc/_16337_/Y (sky130_fd_sc_hd__a32oi_2)
1 0.0118 soc/_06308_ (net)
0.2499 0.0007 28.9563 v soc/_16338_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.1868 0.6356 29.5919 ^ soc/_16338_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0106 soc/_00005_ (net)
0.1868 0.0006 29.5925 ^ soc/_29667_/D (sky130_fd_sc_hd__dfxtp_1)
29.5925 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0069 32.6457 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2596 32.9054 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0013 32.9067 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.2900 33.1967 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 33.1991 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0840 0.2653 33.4644 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0202 soc/clknet_3_6_0_core_clk (net)
0.0840 0.0014 33.4658 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0810 0.2301 33.6959 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0190 soc/clknet_3_6_1_core_clk (net)
0.0810 0.0013 33.6972 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1764 0.3050 34.0022 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0594 soc/clknet_3_6_2_core_clk (net)
0.1765 0.0032 34.0055 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1674 0.3412 34.3467 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0556 soc/clknet_4_12_0_core_clk (net)
0.1674 0.0023 34.3490 ^ soc/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0716 0.2583 34.6073 ^ soc/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0148 soc/clknet_5_25_0_core_clk (net)
0.0716 0.0009 34.6082 ^ soc/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7210 0.6669 35.2751 ^ soc/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2776 soc/clknet_5_25_1_core_clk (net)
0.7222 0.0238 35.2988 ^ soc/clkbuf_leaf_139_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1085 0.4974 35.7963 ^ soc/clkbuf_leaf_139_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
11 0.0443 soc/clknet_leaf_139_core_clk (net)
0.1086 0.0009 35.7971 ^ soc/_29667_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6971 clock uncertainty
1.2772 36.9744 clock reconvergence pessimism
-0.1522 36.8222 library setup time
36.8222 data required time
-------------------------------------------------------------------------------------
36.8222 data required time
-29.5925 data arrival time
-------------------------------------------------------------------------------------
7.2297 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29672_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3663 0.0234 26.3453 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4330 0.5448 26.8901 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2432 soc/net929 (net)
0.4331 0.0066 26.8967 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3394 0.5927 27.4895 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2141 soc/net928 (net)
0.3395 0.0034 27.4928 ^ soc/_16519_/B1 (sky130_fd_sc_hd__o311a_1)
0.4184 0.7171 28.2099 ^ soc/_16519_/X (sky130_fd_sc_hd__o311a_1)
2 0.0255 soc/_06485_ (net)
0.4185 0.0023 28.2122 ^ soc/_16522_/A2 (sky130_fd_sc_hd__o311a_1)
0.2364 0.5930 28.8052 ^ soc/_16522_/X (sky130_fd_sc_hd__o311a_1)
1 0.0133 soc/_06488_ (net)
0.2364 0.0006 28.8058 ^ soc/_16523_/B1 (sky130_fd_sc_hd__a31oi_4)
0.3174 0.1889 28.9947 v soc/_16523_/Y (sky130_fd_sc_hd__a31oi_4)
2 0.0383 soc/_06489_ (net)
0.3175 0.0042 28.9989 v soc/_16524_/B2 (sky130_fd_sc_hd__a2bb2oi_1)
0.1724 0.3110 29.3100 ^ soc/_16524_/Y (sky130_fd_sc_hd__a2bb2oi_1)
1 0.0025 soc/_00010_ (net)
0.1724 0.0001 29.3101 ^ soc/_29672_/D (sky130_fd_sc_hd__dfxtp_1)
29.3101 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4958 0.0228 35.0460 ^ soc/clkbuf_leaf_9_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1585 0.4629 35.5089 ^ soc/clkbuf_leaf_9_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0851 soc/clknet_leaf_9_core_clk (net)
0.1586 0.0035 35.5124 ^ soc/_29672_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4124 clock uncertainty
1.2772 36.6896 clock reconvergence pessimism
-0.1399 36.5497 library setup time
36.5497 data required time
-------------------------------------------------------------------------------------
36.5497 data required time
-29.3101 data arrival time
-------------------------------------------------------------------------------------
7.2396 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29151_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2100 0.0068 26.3069 ^ soc/fanout1148/A (sky130_fd_sc_hd__buf_8)
0.3523 0.4550 26.7619 ^ soc/fanout1148/X (sky130_fd_sc_hd__buf_8)
26 0.1393 soc/net1148 (net)
0.3531 0.0143 26.7762 ^ soc/_15270_/S (sky130_fd_sc_hd__mux2_1)
0.1243 0.8423 27.6184 v soc/_15270_/X (sky130_fd_sc_hd__mux2_1)
1 0.0041 soc/_05269_ (net)
0.1243 0.0001 27.6186 v soc/_15271_/A2 (sky130_fd_sc_hd__a211o_1)
0.0958 0.5927 28.2113 v soc/_15271_/X (sky130_fd_sc_hd__a211o_1)
1 0.0039 soc/_05270_ (net)
0.0958 0.0001 28.2114 v soc/_15272_/B1 (sky130_fd_sc_hd__o311a_1)
0.2254 0.3730 28.5845 v soc/_15272_/X (sky130_fd_sc_hd__o311a_1)
1 0.0192 soc/_05271_ (net)
0.2254 0.0015 28.5860 v soc/_15273_/C1 (sky130_fd_sc_hd__a311oi_4)
0.4700 0.5252 29.1112 ^ soc/_15273_/Y (sky130_fd_sc_hd__a311oi_4)
1 0.0151 soc/_05272_ (net)
0.4700 0.0010 29.1122 ^ soc/_15274_/B1 (sky130_fd_sc_hd__a21oi_2)
0.2921 0.3310 29.4432 v soc/_15274_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.0343 soc/_00041_ (net)
0.2922 0.0043 29.4475 v soc/_29151_/D (sky130_fd_sc_hd__dfxtp_1)
29.4475 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8002 0.0185 35.2456 ^ soc/clkbuf_leaf_137_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2185 0.6028 35.8484 ^ soc/clkbuf_leaf_137_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1257 soc/clknet_leaf_137_core_clk (net)
0.2185 0.0025 35.8509 ^ soc/_29151_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7509 clock uncertainty
1.2772 37.0282 clock reconvergence pessimism
-0.3211 36.7071 library setup time
36.7071 data required time
-------------------------------------------------------------------------------------
36.7071 data required time
-29.4475 data arrival time
-------------------------------------------------------------------------------------
7.2595 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29670_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4189 0.0016 24.8689 ^ soc/fanout1457/A (sky130_fd_sc_hd__buf_6)
0.0863 0.3387 25.2076 ^ soc/fanout1457/X (sky130_fd_sc_hd__buf_6)
3 0.0199 soc/net1457 (net)
0.0863 0.0002 25.2078 ^ soc/wire1459/A (sky130_fd_sc_hd__buf_4)
0.5154 0.5464 25.7542 ^ soc/wire1459/X (sky130_fd_sc_hd__buf_4)
4 0.1180 soc/net1459 (net)
0.5164 0.0197 25.7739 ^ soc/fanout1456/A (sky130_fd_sc_hd__buf_12)
0.4865 0.6705 26.4444 ^ soc/fanout1456/X (sky130_fd_sc_hd__buf_12)
40 0.2773 soc/net1456 (net)
0.4875 0.0190 26.4634 ^ soc/fanout1455/A (sky130_fd_sc_hd__clkbuf_16)
0.3289 0.6269 27.0903 ^ soc/fanout1455/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2080 soc/net1455 (net)
0.3297 0.0143 27.1046 ^ soc/_16442_/A3 (sky130_fd_sc_hd__o311a_1)
0.1962 0.4856 27.5902 ^ soc/_16442_/X (sky130_fd_sc_hd__o311a_1)
1 0.0104 soc/_06410_ (net)
0.1962 0.0006 27.5908 ^ soc/_16444_/C1 (sky130_fd_sc_hd__a211o_1)
0.1139 0.2572 27.8480 ^ soc/_16444_/X (sky130_fd_sc_hd__a211o_1)
1 0.0064 soc/_06412_ (net)
0.1139 0.0003 27.8483 ^ soc/_16449_/C1 (sky130_fd_sc_hd__o311a_1)
0.4695 0.6564 28.5047 ^ soc/_16449_/X (sky130_fd_sc_hd__o311a_1)
2 0.0289 soc/_06417_ (net)
0.4695 0.0028 28.5075 ^ soc/_16450_/B1 (sky130_fd_sc_hd__a31o_1)
0.4218 0.5852 29.0927 ^ soc/_16450_/X (sky130_fd_sc_hd__a31o_1)
2 0.0267 soc/_06418_ (net)
0.4219 0.0023 29.0950 ^ soc/_16451_/B2 (sky130_fd_sc_hd__o22a_1)
0.0722 0.3581 29.4532 ^ soc/_16451_/X (sky130_fd_sc_hd__o22a_1)
1 0.0025 soc/_00008_ (net)
0.0722 0.0001 29.4532 ^ soc/_29670_/D (sky130_fd_sc_hd__dfxtp_1)
29.4532 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6417 0.0202 35.1442 ^ soc/clkbuf_leaf_131_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1541 0.5144 35.6585 ^ soc/clkbuf_leaf_131_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0805 soc/clknet_leaf_131_core_clk (net)
0.1541 0.0008 35.6593 ^ soc/_29670_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5593 clock uncertainty
1.2772 36.8365 clock reconvergence pessimism
-0.1147 36.7218 library setup time
36.7218 data required time
-------------------------------------------------------------------------------------
36.7218 data required time
-29.4532 data arrival time
-------------------------------------------------------------------------------------
7.2686 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29682_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0032 26.9499 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3424 0.6464 27.5963 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2173 soc/net1465 (net)
0.3433 0.0153 27.6116 ^ soc/_16888_/A3 (sky130_fd_sc_hd__o311a_1)
0.1323 0.4346 28.0462 ^ soc/_16888_/X (sky130_fd_sc_hd__o311a_1)
1 0.0059 soc/_06844_ (net)
0.1323 0.0002 28.0465 ^ soc/_16889_/B1 (sky130_fd_sc_hd__a211o_1)
0.0803 0.2056 28.2521 ^ soc/_16889_/X (sky130_fd_sc_hd__a211o_1)
1 0.0036 soc/_06845_ (net)
0.0803 0.0001 28.2522 ^ soc/_16894_/A2 (sky130_fd_sc_hd__a31o_1)
0.5041 0.6364 28.8886 ^ soc/_16894_/X (sky130_fd_sc_hd__a31o_1)
2 0.0324 soc/_06850_ (net)
0.5041 0.0035 28.8921 ^ soc/_16895_/B2 (sky130_fd_sc_hd__o22a_1)
0.1207 0.4332 29.3253 ^ soc/_16895_/X (sky130_fd_sc_hd__o22a_1)
1 0.0061 soc/_00021_ (net)
0.1207 0.0002 29.3255 ^ soc/_29682_/D (sky130_fd_sc_hd__dfxtp_1)
29.3255 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6307 0.0156 35.0608 ^ soc/clkbuf_leaf_253_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1372 0.4980 35.5588 ^ soc/clkbuf_leaf_253_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0680 soc/clknet_leaf_253_core_clk (net)
0.1374 0.0037 35.5625 ^ soc/_29682_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4625 clock uncertainty
1.2772 36.7397 clock reconvergence pessimism
-0.1302 36.6096 library setup time
36.6096 data required time
-------------------------------------------------------------------------------------
36.6096 data required time
-29.3255 data arrival time
-------------------------------------------------------------------------------------
7.2841 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29665_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3663 0.0234 26.3453 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4330 0.5448 26.8901 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2432 soc/net929 (net)
0.4352 0.0274 26.9175 ^ soc/_16249_/S (sky130_fd_sc_hd__mux2_1)
0.2041 0.9747 27.8922 v soc/_16249_/X (sky130_fd_sc_hd__mux2_1)
1 0.0130 soc/_06222_ (net)
0.2041 0.0008 27.8930 v soc/_16254_/A2 (sky130_fd_sc_hd__o211a_1)
0.3552 0.7136 28.6066 v soc/_16254_/X (sky130_fd_sc_hd__o211a_1)
2 0.0363 soc/_06227_ (net)
0.3553 0.0042 28.6108 v soc/_16264_/B1 (sky130_fd_sc_hd__o22a_1)
0.1643 0.5692 29.1800 v soc/_16264_/X (sky130_fd_sc_hd__o22a_1)
1 0.0110 soc/_00003_ (net)
0.1643 0.0006 29.1805 v soc/_29665_/D (sky130_fd_sc_hd__dfxtp_1)
29.1805 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6408 0.0058 35.1298 ^ soc/clkbuf_leaf_119_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1006 0.4701 35.5999 ^ soc/clkbuf_leaf_119_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0394 soc/clknet_leaf_119_core_clk (net)
0.1006 0.0005 35.6004 ^ soc/_29665_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5004 clock uncertainty
1.2772 36.7777 clock reconvergence pessimism
-0.2999 36.4778 library setup time
36.4778 data required time
-------------------------------------------------------------------------------------
36.4778 data required time
-29.1805 data arrival time
-------------------------------------------------------------------------------------
7.2972 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29675_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0032 26.9499 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3424 0.6464 27.5963 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2173 soc/net1465 (net)
0.3424 0.0026 27.5989 ^ soc/_16630_/B2 (sky130_fd_sc_hd__o221a_1)
0.3200 0.6689 28.2677 ^ soc/_16630_/X (sky130_fd_sc_hd__o221a_1)
1 0.0185 soc/_06593_ (net)
0.3200 0.0011 28.2688 ^ soc/_16633_/A2 (sky130_fd_sc_hd__o311ai_4)
0.3575 0.4494 28.7182 v soc/_16633_/Y (sky130_fd_sc_hd__o311ai_4)
2 0.0378 soc/_06596_ (net)
0.3576 0.0039 28.7221 v soc/_16634_/C1 (sky130_fd_sc_hd__o211ai_2)
0.4179 0.3166 29.0387 ^ soc/_16634_/Y (sky130_fd_sc_hd__o211ai_2)
1 0.0180 soc/_06597_ (net)
0.4179 0.0015 29.0402 ^ soc/_16635_/B1 (sky130_fd_sc_hd__o31a_1)
0.1419 0.4434 29.4836 ^ soc/_16635_/X (sky130_fd_sc_hd__o31a_1)
1 0.0068 soc/_00014_ (net)
0.1419 0.0003 29.4840 ^ soc/_29675_/D (sky130_fd_sc_hd__dfxtp_1)
29.4840 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0069 32.6457 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2596 32.9054 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0013 32.9067 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.2900 33.1967 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 33.1991 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0840 0.2653 33.4644 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0202 soc/clknet_3_6_0_core_clk (net)
0.0840 0.0014 33.4658 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0810 0.2301 33.6959 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0190 soc/clknet_3_6_1_core_clk (net)
0.0810 0.0013 33.6972 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1764 0.3050 34.0022 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0594 soc/clknet_3_6_2_core_clk (net)
0.1765 0.0032 34.0055 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1674 0.3412 34.3467 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0556 soc/clknet_4_12_0_core_clk (net)
0.1674 0.0023 34.3490 ^ soc/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0716 0.2583 34.6073 ^ soc/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0148 soc/clknet_5_25_0_core_clk (net)
0.0716 0.0009 34.6082 ^ soc/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7210 0.6669 35.2751 ^ soc/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2776 soc/clknet_5_25_1_core_clk (net)
0.7221 0.0229 35.2980 ^ soc/clkbuf_leaf_247_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1342 0.5186 35.8166 ^ soc/clkbuf_leaf_247_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0643 soc/clknet_leaf_247_core_clk (net)
0.1342 0.0017 35.8183 ^ soc/_29675_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7183 clock uncertainty
1.2772 36.9956 clock reconvergence pessimism
-0.1362 36.8594 library setup time
36.8594 data required time
-------------------------------------------------------------------------------------
36.8594 data required time
-29.4840 data arrival time
-------------------------------------------------------------------------------------
7.3754 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29677_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0032 26.9499 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3424 0.6464 27.5963 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2173 soc/net1465 (net)
0.3442 0.0210 27.6173 ^ soc/_16701_/A3 (sky130_fd_sc_hd__o311a_1)
0.1405 0.4421 28.0593 ^ soc/_16701_/X (sky130_fd_sc_hd__o311a_1)
1 0.0065 soc/_06662_ (net)
0.1405 0.0003 28.0596 ^ soc/_16703_/C1 (sky130_fd_sc_hd__a211o_1)
0.0837 0.2056 28.2652 ^ soc/_16703_/X (sky130_fd_sc_hd__a211o_1)
1 0.0039 soc/_06664_ (net)
0.0837 0.0001 28.2654 ^ soc/_16708_/A2 (sky130_fd_sc_hd__a31o_1)
0.2596 0.4545 28.7199 ^ soc/_16708_/X (sky130_fd_sc_hd__a31o_1)
1 0.0157 soc/_06669_ (net)
0.2596 0.0013 28.7211 ^ soc/_16709_/B1 (sky130_fd_sc_hd__a21oi_1)
0.1778 0.1874 28.9085 v soc/_16709_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0075 soc/_06670_ (net)
0.1779 0.0003 28.9088 v soc/_16710_/B1 (sky130_fd_sc_hd__a21oi_1)
0.1990 0.2579 29.1667 ^ soc/_16710_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0038 soc/_00016_ (net)
0.1990 0.0001 29.1669 ^ soc/_29677_/D (sky130_fd_sc_hd__dfxtp_1)
29.1669 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6305 0.0120 35.0572 ^ soc/clkbuf_leaf_275_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1168 0.4819 35.5391 ^ soc/clkbuf_leaf_275_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0525 soc/clknet_leaf_275_core_clk (net)
0.1169 0.0024 35.5415 ^ soc/_29677_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4415 clock uncertainty
1.2772 36.7187 clock reconvergence pessimism
-0.1539 36.5648 library setup time
36.5648 data required time
-------------------------------------------------------------------------------------
36.5648 data required time
-29.1669 data arrival time
-------------------------------------------------------------------------------------
7.3979 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29153_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4271 0.0367 25.8604 ^ soc/fanout1149/A (sky130_fd_sc_hd__buf_6)
0.2097 0.4396 26.3001 ^ soc/fanout1149/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1149 (net)
0.2097 0.0009 26.3009 ^ soc/fanout1147/A (sky130_fd_sc_hd__buf_12)
0.4240 0.5016 26.8025 ^ soc/fanout1147/X (sky130_fd_sc_hd__buf_12)
40 0.2384 soc/net1147 (net)
0.4292 0.0394 26.8420 ^ soc/_15324_/S (sky130_fd_sc_hd__mux2_1)
0.1664 0.9224 27.7644 v soc/_15324_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 soc/_05321_ (net)
0.1664 0.0004 27.7647 v soc/_15327_/A2 (sky130_fd_sc_hd__a211o_1)
0.1070 0.6253 28.3900 v soc/_15327_/X (sky130_fd_sc_hd__a211o_1)
1 0.0051 soc/_05324_ (net)
0.1070 0.0002 28.3902 v soc/_15328_/A3 (sky130_fd_sc_hd__a31o_1)
0.0724 0.4220 28.8122 v soc/_15328_/X (sky130_fd_sc_hd__a31o_1)
1 0.0035 soc/_05325_ (net)
0.0724 0.0001 28.8123 v soc/_15348_/A1 (sky130_fd_sc_hd__o22a_1)
0.1459 0.4685 29.2808 v soc/_15348_/X (sky130_fd_sc_hd__o22a_1)
1 0.0092 soc/_00044_ (net)
0.1459 0.0004 29.2812 v soc/_29153_/D (sky130_fd_sc_hd__dfxtp_1)
29.2812 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.7997 0.0091 35.2362 ^ soc/clkbuf_leaf_262_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1701 0.5654 35.8016 ^ soc/clkbuf_leaf_262_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0901 soc/clknet_leaf_262_core_clk (net)
0.1702 0.0015 35.8031 ^ soc/_29153_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7031 clock uncertainty
1.2772 36.9803 clock reconvergence pessimism
-0.2735 36.7068 library setup time
36.7068 data required time
-------------------------------------------------------------------------------------
36.7068 data required time
-29.2812 data arrival time
-------------------------------------------------------------------------------------
7.4256 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29149_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4148 0.0334 26.8418 ^ soc/_15176_/B2 (sky130_fd_sc_hd__o221a_1)
0.0968 0.4976 27.3394 ^ soc/_15176_/X (sky130_fd_sc_hd__o221a_1)
1 0.0029 soc/_05177_ (net)
0.0968 0.0001 27.3394 ^ soc/_15177_/B1 (sky130_fd_sc_hd__o21a_1)
0.1784 0.3248 27.6642 ^ soc/_15177_/X (sky130_fd_sc_hd__o21a_1)
1 0.0105 soc/_05178_ (net)
0.1784 0.0004 27.6647 ^ soc/_15178_/A3 (sky130_fd_sc_hd__o31a_1)
0.5178 0.6012 28.2659 ^ soc/_15178_/X (sky130_fd_sc_hd__o31a_1)
2 0.0310 soc/_05179_ (net)
0.5179 0.0031 28.2690 ^ soc/_15179_/B2 (sky130_fd_sc_hd__a32o_1)
0.4917 0.7290 28.9980 ^ soc/_15179_/X (sky130_fd_sc_hd__a32o_1)
2 0.0293 soc/_05180_ (net)
0.4917 0.0030 29.0010 ^ soc/_15198_/A2 (sky130_fd_sc_hd__o22a_1)
0.1358 0.4590 29.4600 ^ soc/_15198_/X (sky130_fd_sc_hd__o22a_1)
1 0.0073 soc/_00039_ (net)
0.1358 0.0003 29.4603 ^ soc/_29149_/D (sky130_fd_sc_hd__dfxtp_1)
29.4603 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8943 0.0131 35.3068 ^ soc/clkbuf_leaf_125_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1236 0.5514 35.8581 ^ soc/clkbuf_leaf_125_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0532 soc/clknet_leaf_125_core_clk (net)
0.1237 0.0025 35.8606 ^ soc/_29149_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7606 clock uncertainty
1.2772 37.0379 clock reconvergence pessimism
-0.1364 36.9015 library setup time
36.9015 data required time
-------------------------------------------------------------------------------------
36.9015 data required time
-29.4603 data arrival time
-------------------------------------------------------------------------------------
7.4412 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29137_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2074 0.0347 23.9116 v soc/_14548_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4067 0.4519 24.3634 ^ soc/_14548_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0113 soc/_04565_ (net)
0.4067 0.0005 24.3640 ^ soc/fanout1169/A (sky130_fd_sc_hd__buf_8)
0.2962 0.4875 24.8514 ^ soc/fanout1169/X (sky130_fd_sc_hd__buf_8)
12 0.1155 soc/net1169 (net)
0.2963 0.0015 24.8529 ^ soc/wire1172/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5327 25.3856 ^ soc/wire1172/X (sky130_fd_sc_hd__buf_6)
10 0.1344 soc/net1172 (net)
0.4240 0.0217 25.4073 ^ soc/wire1171/A (sky130_fd_sc_hd__buf_6)
0.4551 0.5944 26.0016 ^ soc/wire1171/X (sky130_fd_sc_hd__buf_6)
10 0.1449 soc/net1171 (net)
0.4609 0.0432 26.0448 ^ soc/fanout1155/A (sky130_fd_sc_hd__buf_6)
0.2798 0.4997 26.5445 ^ soc/fanout1155/X (sky130_fd_sc_hd__buf_6)
12 0.0866 soc/net1155 (net)
0.2798 0.0026 26.5472 ^ soc/fanout1154/A (sky130_fd_sc_hd__buf_8)
0.4425 0.5299 27.0770 ^ soc/fanout1154/X (sky130_fd_sc_hd__buf_8)
40 0.1760 soc/net1154 (net)
0.4477 0.0402 27.1173 ^ soc/_14713_/A2 (sky130_fd_sc_hd__o221ai_1)
0.3283 0.4804 27.5977 v soc/_14713_/Y (sky130_fd_sc_hd__o221ai_1)
1 0.0081 soc/_04726_ (net)
0.3283 0.0004 27.5981 v soc/_14718_/A3 (sky130_fd_sc_hd__a31oi_1)
0.3129 0.4713 28.0694 ^ soc/_14718_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0065 soc/_04731_ (net)
0.3129 0.0003 28.0697 ^ soc/_14719_/B1 (sky130_fd_sc_hd__o31a_1)
0.1411 0.4015 28.4712 ^ soc/_14719_/X (sky130_fd_sc_hd__o31a_1)
1 0.0068 soc/_04732_ (net)
0.1411 0.0002 28.4714 ^ soc/_14728_/B1 (sky130_fd_sc_hd__a31o_1)
0.1209 0.2332 28.7047 ^ soc/_14728_/X (sky130_fd_sc_hd__a31o_1)
1 0.0056 soc/_04741_ (net)
0.1209 0.0002 28.7049 ^ soc/_14748_/A2 (sky130_fd_sc_hd__o22a_1)
0.4980 0.6009 29.3058 ^ soc/_14748_/X (sky130_fd_sc_hd__o22a_1)
2 0.0321 soc/_00058_ (net)
0.4981 0.0034 29.3092 ^ soc/_29137_/D (sky130_fd_sc_hd__dfxtp_1)
29.3092 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8127 0.0124 35.2589 ^ soc/clkbuf_leaf_107_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1419 0.5464 35.8054 ^ soc/clkbuf_leaf_107_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0687 soc/clknet_leaf_107_core_clk (net)
0.1419 0.0020 35.8073 ^ soc/_29137_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7073 clock uncertainty
1.2772 36.9846 clock reconvergence pessimism
-0.2272 36.7573 library setup time
36.7573 data required time
-------------------------------------------------------------------------------------
36.7573 data required time
-29.3092 data arrival time
-------------------------------------------------------------------------------------
7.4481 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29138_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4921 0.0799 26.2952 ^ soc/_14782_/S (sky130_fd_sc_hd__mux2_1)
0.2024 0.9824 27.2777 v soc/_14782_/X (sky130_fd_sc_hd__mux2_1)
1 0.0124 soc/_04794_ (net)
0.2024 0.0005 27.2782 v soc/_14785_/A2 (sky130_fd_sc_hd__a211o_1)
0.1057 0.6380 27.9161 v soc/_14785_/X (sky130_fd_sc_hd__a211o_1)
1 0.0049 soc/_04797_ (net)
0.1057 0.0001 27.9163 v soc/_14786_/A3 (sky130_fd_sc_hd__a31o_1)
0.0689 0.4168 28.3331 v soc/_14786_/X (sky130_fd_sc_hd__a31o_1)
1 0.0031 soc/_04798_ (net)
0.0689 0.0001 28.3332 v soc/_14787_/A1 (sky130_fd_sc_hd__o22a_1)
0.3553 0.6678 29.0010 v soc/_14787_/X (sky130_fd_sc_hd__o22a_1)
2 0.0336 soc/_00059_ (net)
0.3554 0.0051 29.0061 v soc/_29138_/D (sky130_fd_sc_hd__dfxtp_1)
29.0061 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6408 0.0061 35.1300 ^ soc/clkbuf_leaf_120_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1652 0.5225 35.6526 ^ soc/clkbuf_leaf_120_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0887 soc/clknet_leaf_120_core_clk (net)
0.1653 0.0019 35.6545 ^ soc/_29138_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5545 clock uncertainty
1.2772 36.8317 clock reconvergence pessimism
-0.3618 36.4699 library setup time
36.4699 data required time
-------------------------------------------------------------------------------------
36.4699 data required time
-29.0061 data arrival time
-------------------------------------------------------------------------------------
7.4639 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29141_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4717 0.0042 26.2195 ^ soc/fanout1138/A (sky130_fd_sc_hd__buf_12)
0.4109 0.5888 26.8083 ^ soc/fanout1138/X (sky130_fd_sc_hd__buf_12)
40 0.2294 soc/net1138 (net)
0.4150 0.0344 26.8428 ^ soc/_14890_/S (sky130_fd_sc_hd__mux2_1)
0.2306 1.0014 27.8442 v soc/_14890_/X (sky130_fd_sc_hd__mux2_1)
1 0.0168 soc/_04899_ (net)
0.2306 0.0011 27.8453 v soc/_14893_/A1 (sky130_fd_sc_hd__a211o_1)
0.1312 0.6277 28.4730 v soc/_14893_/X (sky130_fd_sc_hd__a211o_1)
1 0.0080 soc/_04902_ (net)
0.1312 0.0003 28.4733 v soc/_14898_/C1 (sky130_fd_sc_hd__o311a_1)
0.0951 0.2299 28.7032 v soc/_14898_/X (sky130_fd_sc_hd__o311a_1)
1 0.0031 soc/_04907_ (net)
0.0951 0.0001 28.7033 v soc/_14899_/A2 (sky130_fd_sc_hd__o21ai_1)
0.2828 0.3056 29.0090 ^ soc/_14899_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0071 soc/_04908_ (net)
0.2828 0.0003 29.0092 ^ soc/_14901_/A (sky130_fd_sc_hd__nand2_1)
0.1373 0.2269 29.2361 v soc/_14901_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0061 soc/_00062_ (net)
0.1373 0.0002 29.2364 v soc/_29141_/D (sky130_fd_sc_hd__dfxtp_2)
29.2364 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8126 0.0100 35.2565 ^ soc/clkbuf_leaf_12_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1714 0.5696 35.8261 ^ soc/clkbuf_leaf_12_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0909 soc/clknet_leaf_12_core_clk (net)
0.1715 0.0029 35.8291 ^ soc/_29141_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7291 clock uncertainty
1.2772 37.0063 clock reconvergence pessimism
-0.2748 36.7315 library setup time
36.7315 data required time
-------------------------------------------------------------------------------------
36.7315 data required time
-29.2364 data arrival time
-------------------------------------------------------------------------------------
7.4951 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29150_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4790 0.0496 26.2649 ^ soc/_15234_/S (sky130_fd_sc_hd__mux2_1)
0.1855 0.9593 27.2242 v soc/_15234_/X (sky130_fd_sc_hd__mux2_1)
1 0.0107 soc/_05234_ (net)
0.1855 0.0005 27.2247 v soc/_15235_/A2 (sky130_fd_sc_hd__a211o_1)
0.1390 0.6722 27.8969 v soc/_15235_/X (sky130_fd_sc_hd__a211o_1)
1 0.0089 soc/_05235_ (net)
0.1390 0.0004 27.8973 v soc/_15236_/A3 (sky130_fd_sc_hd__a31o_1)
0.2584 0.6174 28.5148 v soc/_15236_/X (sky130_fd_sc_hd__a31o_1)
2 0.0272 soc/_05236_ (net)
0.2584 0.0025 28.5172 v soc/_15237_/A1 (sky130_fd_sc_hd__o22a_1)
0.1394 0.5382 29.0555 v soc/_15237_/X (sky130_fd_sc_hd__o22a_1)
1 0.0085 soc/_00040_ (net)
0.1394 0.0005 29.0559 v soc/_29150_/D (sky130_fd_sc_hd__dfxtp_1)
29.0559 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6418 0.0210 35.1450 ^ soc/clkbuf_leaf_129_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1663 0.5232 35.6681 ^ soc/clkbuf_leaf_129_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0895 soc/clknet_leaf_129_core_clk (net)
0.1665 0.0038 35.6719 ^ soc/_29150_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5719 clock uncertainty
1.2772 36.8492 clock reconvergence pessimism
-0.2718 36.5774 library setup time
36.5774 data required time
-------------------------------------------------------------------------------------
36.5774 data required time
-29.0559 data arrival time
-------------------------------------------------------------------------------------
7.5215 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29683_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0228 25.6706 ^ soc/wire1469/A (sky130_fd_sc_hd__clkbuf_8)
0.3971 0.6294 26.2999 ^ soc/wire1469/X (sky130_fd_sc_hd__clkbuf_8)
6 0.1469 soc/net1469 (net)
0.4000 0.0291 26.3290 ^ soc/fanout1467/A (sky130_fd_sc_hd__buf_12)
0.5148 0.6177 26.9467 ^ soc/fanout1467/X (sky130_fd_sc_hd__buf_12)
40 0.2914 soc/net1467 (net)
0.5148 0.0032 26.9499 ^ soc/fanout1465/A (sky130_fd_sc_hd__clkbuf_16)
0.3424 0.6464 27.5963 ^ soc/fanout1465/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2173 soc/net1465 (net)
0.3425 0.0043 27.6006 ^ soc/_16897_/A3 (sky130_fd_sc_hd__o311a_1)
0.3414 0.6044 28.2050 ^ soc/_16897_/X (sky130_fd_sc_hd__o311a_1)
1 0.0204 soc/_06852_ (net)
0.3414 0.0015 28.2066 ^ soc/_16899_/C1 (sky130_fd_sc_hd__a211o_1)
0.0928 0.2914 28.4980 ^ soc/_16899_/X (sky130_fd_sc_hd__a211o_1)
1 0.0046 soc/_06854_ (net)
0.0928 0.0002 28.4981 ^ soc/_16913_/A2 (sky130_fd_sc_hd__a32o_1)
0.2728 0.5128 29.0109 ^ soc/_16913_/X (sky130_fd_sc_hd__a32o_1)
1 0.0151 soc/_06868_ (net)
0.2728 0.0010 29.0119 ^ soc/_16932_/B2 (sky130_fd_sc_hd__o22a_1)
0.0859 0.3188 29.3307 ^ soc/_16932_/X (sky130_fd_sc_hd__o22a_1)
1 0.0035 soc/_00023_ (net)
0.0859 0.0001 29.3308 ^ soc/_29683_/D (sky130_fd_sc_hd__dfxtp_1)
29.3308 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8001 0.0172 35.2443 ^ soc/clkbuf_leaf_249_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1796 0.5729 35.8172 ^ soc/clkbuf_leaf_249_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0972 soc/clknet_leaf_249_core_clk (net)
0.1797 0.0022 35.8194 ^ soc/_29683_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7194 clock uncertainty
1.2772 36.9966 clock reconvergence pessimism
-0.1140 36.8826 library setup time
36.8826 data required time
-------------------------------------------------------------------------------------
36.8826 data required time
-29.3308 data arrival time
-------------------------------------------------------------------------------------
7.5519 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29658_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3663 0.0234 26.3453 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4330 0.5448 26.8901 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2432 soc/net929 (net)
0.4407 0.0483 26.9384 ^ soc/_15991_/C1 (sky130_fd_sc_hd__o221a_1)
0.2825 0.6752 27.6136 ^ soc/_15991_/X (sky130_fd_sc_hd__o221a_1)
1 0.0160 soc/_05971_ (net)
0.2825 0.0011 27.6147 ^ soc/_15994_/B1 (sky130_fd_sc_hd__o32a_1)
0.2364 0.5012 28.1159 ^ soc/_15994_/X (sky130_fd_sc_hd__o32a_1)
1 0.0131 soc/_05974_ (net)
0.2364 0.0008 28.1168 ^ soc/_16004_/B1 (sky130_fd_sc_hd__a22oi_1)
0.1859 0.2263 28.3431 v soc/_16004_/Y (sky130_fd_sc_hd__a22oi_1)
1 0.0052 soc/_05984_ (net)
0.1859 0.0002 28.3433 v soc/_16005_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.3177 0.7052 29.0485 ^ soc/_16005_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0199 soc/_00027_ (net)
0.3177 0.0015 29.0500 ^ soc/_29658_/D (sky130_fd_sc_hd__dfxtp_1)
29.0500 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6410 0.0121 35.1360 ^ soc/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1528 0.5130 35.6490 ^ soc/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0795 soc/clknet_leaf_109_core_clk (net)
0.1528 0.0012 35.6502 ^ soc/_29658_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5502 clock uncertainty
1.2772 36.8274 clock reconvergence pessimism
-0.1786 36.6488 library setup time
36.6488 data required time
-------------------------------------------------------------------------------------
36.6488 data required time
-29.0500 data arrival time
-------------------------------------------------------------------------------------
7.5989 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29666_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0229 25.6707 ^ soc/fanout1464/A (sky130_fd_sc_hd__buf_12)
0.4248 0.5740 26.2447 ^ soc/fanout1464/X (sky130_fd_sc_hd__buf_12)
40 0.2385 soc/net1464 (net)
0.4249 0.0043 26.2490 ^ soc/fanout1463/A (sky130_fd_sc_hd__clkbuf_16)
0.3240 0.5905 26.8395 ^ soc/fanout1463/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2052 soc/net1463 (net)
0.3243 0.0082 26.8476 ^ soc/_16267_/B2 (sky130_fd_sc_hd__o221a_1)
0.2095 0.5719 27.4195 ^ soc/_16267_/X (sky130_fd_sc_hd__o221a_1)
1 0.0109 soc/_06239_ (net)
0.2095 0.0005 27.4200 ^ soc/_16268_/B1 (sky130_fd_sc_hd__a211oi_1)
0.2664 0.2149 27.6349 v soc/_16268_/Y (sky130_fd_sc_hd__a211oi_1)
1 0.0132 soc/_06240_ (net)
0.2664 0.0006 27.6356 v soc/_16282_/A2 (sky130_fd_sc_hd__o32a_1)
0.3205 0.9593 28.5949 v soc/_16282_/X (sky130_fd_sc_hd__o32a_1)
2 0.0264 soc/_06254_ (net)
0.3205 0.0021 28.5969 v soc/_16302_/A1 (sky130_fd_sc_hd__a21oi_1)
0.5212 0.5988 29.1957 ^ soc/_16302_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.0143 soc/_00004_ (net)
0.5212 0.0007 29.1964 ^ soc/_29666_/D (sky130_fd_sc_hd__dfxtp_1)
29.1964 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8942 0.0119 35.3055 ^ soc/clkbuf_leaf_268_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1298 0.5566 35.8622 ^ soc/clkbuf_leaf_268_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0581 soc/clknet_leaf_268_core_clk (net)
0.1298 0.0007 35.8629 ^ soc/_29666_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7629 clock uncertainty
1.2772 37.0401 clock reconvergence pessimism
-0.2353 36.8048 library setup time
36.8048 data required time
-------------------------------------------------------------------------------------
36.8048 data required time
-29.1964 data arrival time
-------------------------------------------------------------------------------------
7.6084 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30450_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3140 0.0160 26.7735 ^ soc/_23527_/S (sky130_fd_sc_hd__mux2_1)
0.1247 0.8319 27.6054 v soc/_23527_/X (sky130_fd_sc_hd__mux2_1)
1 0.0041 soc/_10957_ (net)
0.1247 0.0001 27.6056 v soc/_23528_/A1 (sky130_fd_sc_hd__mux2_1)
0.1353 0.7349 28.3405 v soc/_23528_/X (sky130_fd_sc_hd__mux2_1)
1 0.0052 soc/_02529_ (net)
0.1353 0.0002 28.3407 v soc/_30450_/D (sky130_fd_sc_hd__dfxtp_4)
28.3407 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0028 33.9020 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0718 0.2357 34.1377 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0149 soc/clknet_4_1_0_core_clk (net)
0.0718 0.0006 34.1383 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2102 34.3485 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0121 soc/clknet_5_3_0_core_clk (net)
0.0660 0.0005 34.3490 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3699 0.4374 34.7864 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1383 soc/clknet_5_3_1_core_clk (net)
0.3700 0.0035 34.7899 ^ soc/clkbuf_leaf_375_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1041 0.3726 35.1626 ^ soc/clkbuf_leaf_375_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0460 soc/clknet_leaf_375_core_clk (net)
0.1042 0.0015 35.1640 ^ soc/_30450_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.0640 clock uncertainty
1.2772 36.3413 clock reconvergence pessimism
-0.2905 36.0507 library setup time
36.0507 data required time
-------------------------------------------------------------------------------------
36.0507 data required time
-28.3407 data arrival time
-------------------------------------------------------------------------------------
7.7100 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29663_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4189 0.0016 24.8689 ^ soc/fanout1457/A (sky130_fd_sc_hd__buf_6)
0.0863 0.3387 25.2076 ^ soc/fanout1457/X (sky130_fd_sc_hd__buf_6)
3 0.0199 soc/net1457 (net)
0.0863 0.0002 25.2078 ^ soc/wire1459/A (sky130_fd_sc_hd__buf_4)
0.5154 0.5464 25.7542 ^ soc/wire1459/X (sky130_fd_sc_hd__buf_4)
4 0.1180 soc/net1459 (net)
0.5164 0.0197 25.7739 ^ soc/fanout1456/A (sky130_fd_sc_hd__buf_12)
0.4865 0.6705 26.4444 ^ soc/fanout1456/X (sky130_fd_sc_hd__buf_12)
40 0.2773 soc/net1456 (net)
0.4875 0.0190 26.4634 ^ soc/fanout1455/A (sky130_fd_sc_hd__clkbuf_16)
0.3289 0.6269 27.0903 ^ soc/fanout1455/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2080 soc/net1455 (net)
0.3303 0.0183 27.1086 ^ soc/_16163_/B2 (sky130_fd_sc_hd__o221a_1)
0.1314 0.5036 27.6122 ^ soc/_16163_/X (sky130_fd_sc_hd__o221a_1)
1 0.0054 soc/_06138_ (net)
0.1314 0.0002 27.6124 ^ soc/_16170_/A2 (sky130_fd_sc_hd__o311a_1)
0.2767 0.5301 28.1425 ^ soc/_16170_/X (sky130_fd_sc_hd__o311a_1)
1 0.0160 soc/_06145_ (net)
0.2767 0.0011 28.1435 ^ soc/_16171_/B1 (sky130_fd_sc_hd__a31o_1)
0.2332 0.3793 28.5228 ^ soc/_16171_/X (sky130_fd_sc_hd__a31o_1)
1 0.0138 soc/_06146_ (net)
0.2332 0.0010 28.5238 ^ soc/_16190_/B2 (sky130_fd_sc_hd__o22a_1)
0.4579 0.5948 29.1186 ^ soc/_16190_/X (sky130_fd_sc_hd__o22a_1)
2 0.0295 soc/_00001_ (net)
0.4579 0.0028 29.1214 ^ soc/_29663_/D (sky130_fd_sc_hd__dfxtp_1)
29.1214 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8945 0.0168 35.3105 ^ soc/clkbuf_leaf_128_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1397 0.5645 35.8750 ^ soc/clkbuf_leaf_128_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0658 soc/clknet_leaf_128_core_clk (net)
0.1397 0.0020 35.8770 ^ soc/_29663_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7770 clock uncertainty
1.2772 37.0543 clock reconvergence pessimism
-0.2172 36.8371 library setup time
36.8371 data required time
-------------------------------------------------------------------------------------
36.8371 data required time
-29.1214 data arrival time
-------------------------------------------------------------------------------------
7.7157 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29673_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0229 25.6707 ^ soc/fanout1464/A (sky130_fd_sc_hd__buf_12)
0.4248 0.5740 26.2447 ^ soc/fanout1464/X (sky130_fd_sc_hd__buf_12)
40 0.2385 soc/net1464 (net)
0.4249 0.0043 26.2490 ^ soc/fanout1463/A (sky130_fd_sc_hd__clkbuf_16)
0.3240 0.5905 26.8395 ^ soc/fanout1463/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2052 soc/net1463 (net)
0.3250 0.0150 26.8545 ^ soc/_16548_/A3 (sky130_fd_sc_hd__o311a_1)
0.0942 0.3918 27.2463 ^ soc/_16548_/X (sky130_fd_sc_hd__o311a_1)
1 0.0032 soc/_06513_ (net)
0.0942 0.0001 27.2463 ^ soc/_16551_/A2 (sky130_fd_sc_hd__o31a_1)
0.2336 0.3880 27.6343 ^ soc/_16551_/X (sky130_fd_sc_hd__o31a_1)
1 0.0129 soc/_06516_ (net)
0.2336 0.0007 27.6350 ^ soc/_16560_/B2 (sky130_fd_sc_hd__a32o_1)
0.6272 0.7346 28.3696 ^ soc/_16560_/X (sky130_fd_sc_hd__a32o_1)
2 0.0383 soc/_06525_ (net)
0.6272 0.0042 28.3738 ^ soc/_16561_/B2 (sky130_fd_sc_hd__o22a_1)
0.3649 0.6682 29.0420 ^ soc/_16561_/X (sky130_fd_sc_hd__o22a_1)
2 0.0231 soc/_00012_ (net)
0.3649 0.0018 29.0438 ^ soc/_29673_/D (sky130_fd_sc_hd__dfxtp_1)
29.0438 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.7999 0.0138 35.2409 ^ soc/clkbuf_leaf_258_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1358 0.5384 35.7793 ^ soc/clkbuf_leaf_258_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0643 soc/clknet_leaf_258_core_clk (net)
0.1358 0.0012 35.7805 ^ soc/_29673_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6805 clock uncertainty
1.2772 36.9578 clock reconvergence pessimism
-0.1937 36.7640 library setup time
36.7640 data required time
-------------------------------------------------------------------------------------
36.7640 data required time
-29.0438 data arrival time
-------------------------------------------------------------------------------------
7.7202 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29146_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4257 0.0310 25.8547 ^ soc/fanout1145/A (sky130_fd_sc_hd__buf_12)
0.4505 0.6065 26.4612 ^ soc/fanout1145/X (sky130_fd_sc_hd__buf_12)
40 0.2551 soc/net1145 (net)
0.4507 0.0093 26.4706 ^ soc/_15085_/S (sky130_fd_sc_hd__mux2_1)
0.1224 0.8668 27.3373 v soc/_15085_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_05089_ (net)
0.1224 0.0001 27.3375 v soc/_15086_/A1 (sky130_fd_sc_hd__a211o_1)
0.1857 0.6489 27.9864 v soc/_15086_/X (sky130_fd_sc_hd__a211o_1)
1 0.0151 soc/_05090_ (net)
0.1857 0.0008 27.9872 v soc/_15087_/A3 (sky130_fd_sc_hd__a31o_1)
0.1452 0.5420 28.5292 v soc/_15087_/X (sky130_fd_sc_hd__a31o_1)
1 0.0128 soc/_05091_ (net)
0.1452 0.0005 28.5297 v soc/_15088_/A1 (sky130_fd_sc_hd__o22a_1)
0.1543 0.5088 29.0385 v soc/_15088_/X (sky130_fd_sc_hd__o22a_1)
1 0.0101 soc/_00036_ (net)
0.1543 0.0005 29.0390 v soc/_29146_/D (sky130_fd_sc_hd__dfxtp_1)
29.0390 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8944 0.0160 35.3096 ^ soc/clkbuf_leaf_355_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1364 0.5622 35.8718 ^ soc/clkbuf_leaf_355_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0632 soc/clknet_leaf_355_core_clk (net)
0.1364 0.0014 35.8732 ^ soc/_29146_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7732 clock uncertainty
1.2772 37.0505 clock reconvergence pessimism
-0.2861 36.7644 library setup time
36.7644 data required time
-------------------------------------------------------------------------------------
36.7644 data required time
-29.0390 data arrival time
-------------------------------------------------------------------------------------
7.7253 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30447_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3135 0.0119 26.7695 ^ soc/_23521_/S (sky130_fd_sc_hd__mux2_1)
0.1353 0.8475 27.6170 v soc/_23521_/X (sky130_fd_sc_hd__mux2_1)
1 0.0052 soc/_10954_ (net)
0.1353 0.0002 27.6172 v soc/_23522_/A1 (sky130_fd_sc_hd__mux2_1)
0.1285 0.7290 28.3462 v soc/_23522_/X (sky130_fd_sc_hd__mux2_1)
1 0.0044 soc/_02526_ (net)
0.1285 0.0002 28.3463 v soc/_30447_/D (sky130_fd_sc_hd__dfxtp_1)
28.3463 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0028 33.9020 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0718 0.2357 34.1377 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0149 soc/clknet_4_1_0_core_clk (net)
0.0718 0.0006 34.1383 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2102 34.3485 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0121 soc/clknet_5_3_0_core_clk (net)
0.0660 0.0005 34.3490 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3699 0.4374 34.7864 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1383 soc/clknet_5_3_1_core_clk (net)
0.3700 0.0035 34.7899 ^ soc/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.3951 35.1851 ^ soc/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0678 soc/clknet_leaf_373_core_clk (net)
0.1334 0.0024 35.1875 ^ soc/_30447_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.0875 clock uncertainty
1.2772 36.3647 clock reconvergence pessimism
-0.2762 36.0886 library setup time
36.0886 data required time
-------------------------------------------------------------------------------------
36.0886 data required time
-28.3463 data arrival time
-------------------------------------------------------------------------------------
7.7422 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7147_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2441 0.0458 16.0064 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.2028 0.4437 16.4501 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2201 soc/net3128 (net)
0.2049 0.0178 16.4679 v soc/_14173_/A2 (sky130_fd_sc_hd__o21ai_1)
0.1530 0.2439 16.7118 ^ soc/_14173_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0024 soc/_13652_ (net)
0.1530 0.0001 16.7119 ^ soc/hold141/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0921 1.1135 17.8254 ^ soc/hold141/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0028 soc/net4360 (net)
0.0921 0.0001 17.8254 ^ soc/wire2679/A (sky130_fd_sc_hd__buf_4)
0.5180 0.5427 18.3682 ^ soc/wire2679/X (sky130_fd_sc_hd__buf_4)
6 0.1183 soc/net2679 (net)
0.5221 0.0389 18.4071 ^ soc/wire2678/A (sky130_fd_sc_hd__buf_4)
0.7016 0.8570 19.2642 ^ soc/wire2678/X (sky130_fd_sc_hd__buf_4)
8 0.1614 soc/net2678 (net)
0.7073 0.0536 19.3177 ^ soc/wire2677/A (sky130_fd_sc_hd__buf_8)
0.4487 0.6560 19.9737 ^ soc/wire2677/X (sky130_fd_sc_hd__buf_8)
10 0.1771 soc/net2677 (net)
0.4642 0.0682 20.0419 ^ soc/_14174_/A (sky130_fd_sc_hd__clkinv_16)
0.1617 0.2767 20.3186 v soc/_14174_/Y (sky130_fd_sc_hd__clkinv_16)
7 0.0902 soc/net650 (net)
0.1619 0.0047 20.3233 v soc/wire2384/A (sky130_fd_sc_hd__buf_4)
0.2700 0.4869 20.8102 v soc/wire2384/X (sky130_fd_sc_hd__buf_4)
4 0.1186 soc/net2384 (net)
0.2775 0.0371 20.8473 v soc/wire2383/A (sky130_fd_sc_hd__buf_4)
0.2364 0.5231 21.3704 v soc/wire2383/X (sky130_fd_sc_hd__buf_4)
2 0.1025 soc/net2383 (net)
0.2415 0.0288 21.3992 v soc/wire2382/A (sky130_fd_sc_hd__buf_12)
0.1538 0.3891 21.7882 v soc/wire2382/X (sky130_fd_sc_hd__buf_12)
5 0.1578 soc/net2382 (net)
0.1915 0.0606 21.8489 v soc/wire2381/A (sky130_fd_sc_hd__buf_6)
0.1678 0.3780 22.2268 v soc/wire2381/X (sky130_fd_sc_hd__buf_6)
2 0.0979 soc/net2381 (net)
0.1747 0.0279 22.2547 v soc/output650/A (sky130_fd_sc_hd__buf_12)
0.1272 0.3595 22.6143 v soc/output650/X (sky130_fd_sc_hd__buf_12)
3 0.1261 mprj_dat_o_core[14] (net)
0.1291 0.0130 22.6272 v housekeeping/input137/A (sky130_fd_sc_hd__clkbuf_1)
0.0810 0.2226 22.8499 v housekeeping/input137/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0058 housekeeping/net137 (net)
0.0810 0.0002 22.8501 v housekeeping/_6341_/B1 (sky130_fd_sc_hd__a22o_1)
0.0799 0.3532 23.2034 v housekeeping/_6341_/X (sky130_fd_sc_hd__a22o_1)
1 0.0038 housekeeping/_3155_ (net)
0.0799 0.0001 23.2035 v housekeeping/_6342_/C1 (sky130_fd_sc_hd__a221o_1)
0.0900 0.5061 23.7096 v housekeeping/_6342_/X (sky130_fd_sc_hd__a221o_1)
1 0.0026 housekeeping/_3156_ (net)
0.0900 0.0001 23.7097 v housekeeping/_6343_/A0 (sky130_fd_sc_hd__mux2_1)
0.1079 0.6552 24.3649 v housekeeping/_6343_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 housekeeping/_0790_ (net)
0.1079 0.0001 24.3649 v housekeeping/_7147_/D (sky130_fd_sc_hd__dfrtp_1)
24.3649 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0031 31.2377 ^ housekeeping/_7147_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1377 clock uncertainty
1.2772 32.4149 clock reconvergence pessimism
-0.2424 32.1725 library setup time
32.1725 data required time
-------------------------------------------------------------------------------------
32.1725 data required time
-24.3649 data arrival time
-------------------------------------------------------------------------------------
7.8075 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29143_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.3990 0.0117 25.2254 ^ soc/fanout1140/A (sky130_fd_sc_hd__buf_8)
0.2622 0.4548 25.6802 ^ soc/fanout1140/X (sky130_fd_sc_hd__buf_8)
8 0.1012 soc/net1140 (net)
0.2622 0.0012 25.6814 ^ soc/fanout1139/A (sky130_fd_sc_hd__buf_12)
0.4716 0.5339 26.2154 ^ soc/fanout1139/X (sky130_fd_sc_hd__buf_12)
40 0.2665 soc/net1139 (net)
0.4931 0.0817 26.2971 ^ soc/_14952_/S (sky130_fd_sc_hd__mux2_1)
0.1151 0.8669 27.1640 v soc/_14952_/X (sky130_fd_sc_hd__mux2_1)
1 0.0033 soc/_04959_ (net)
0.1151 0.0001 27.1641 v soc/_14955_/A2 (sky130_fd_sc_hd__a211o_1)
0.1680 0.6746 27.8387 v soc/_14955_/X (sky130_fd_sc_hd__a211o_1)
1 0.0120 soc/_04962_ (net)
0.1680 0.0005 27.8392 v soc/_14956_/A3 (sky130_fd_sc_hd__a31oi_2)
0.3773 0.4899 28.3291 ^ soc/_14956_/Y (sky130_fd_sc_hd__a31oi_2)
1 0.0141 soc/_04963_ (net)
0.3773 0.0006 28.3297 ^ soc/_14977_/A2 (sky130_fd_sc_hd__o21ai_2)
0.2891 0.3740 28.7036 v soc/_14977_/Y (sky130_fd_sc_hd__o21ai_2)
2 0.0300 soc/_00033_ (net)
0.2892 0.0035 28.7071 v soc/_29143_/D (sky130_fd_sc_hd__dfxtp_1)
28.7071 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2563 ^ soc/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0683 0.2461 34.5024 ^ soc/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0132 soc/clknet_5_7_0_core_clk (net)
0.0683 0.0007 34.5031 ^ soc/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6407 0.6208 35.1239 ^ soc/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2474 soc/clknet_5_7_1_core_clk (net)
0.6418 0.0210 35.1450 ^ soc/clkbuf_leaf_129_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1663 0.5232 35.6681 ^ soc/clkbuf_leaf_129_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0895 soc/clknet_leaf_129_core_clk (net)
0.1665 0.0039 35.6720 ^ soc/_29143_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5720 clock uncertainty
1.2772 36.8492 clock reconvergence pessimism
-0.3340 36.5153 library setup time
36.5153 data required time
-------------------------------------------------------------------------------------
36.5153 data required time
-28.7071 data arrival time
-------------------------------------------------------------------------------------
7.8081 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29156_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4257 0.0310 25.8547 ^ soc/fanout1145/A (sky130_fd_sc_hd__buf_12)
0.4505 0.6065 26.4612 ^ soc/fanout1145/X (sky130_fd_sc_hd__buf_12)
40 0.2551 soc/net1145 (net)
0.4513 0.0172 26.4785 ^ soc/_15446_/S (sky130_fd_sc_hd__mux2_1)
0.1353 0.8858 27.3642 v soc/_15446_/X (sky130_fd_sc_hd__mux2_1)
1 0.0052 soc/_05440_ (net)
0.1353 0.0002 27.3644 v soc/_15449_/A2 (sky130_fd_sc_hd__a211o_1)
0.1869 0.7114 28.0759 v soc/_15449_/X (sky130_fd_sc_hd__a211o_1)
1 0.0152 soc/_05443_ (net)
0.1869 0.0008 28.0766 v soc/_15450_/C1 (sky130_fd_sc_hd__o311ai_4)
0.8941 0.2900 28.3667 ^ soc/_15450_/Y (sky130_fd_sc_hd__o311ai_4)
2 0.0454 soc/_05444_ (net)
0.8942 0.0061 28.3727 ^ soc/_15461_/A2 (sky130_fd_sc_hd__a31oi_1)
0.2678 0.5219 28.8946 v soc/_15461_/Y (sky130_fd_sc_hd__a31oi_1)
1 0.0065 soc/_00047_ (net)
0.2678 0.0003 28.8949 v soc/_29156_/D (sky130_fd_sc_hd__dfxtp_1)
28.8949 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8941 0.0099 35.3035 ^ soc/clkbuf_leaf_265_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1512 0.5736 35.8771 ^ soc/clkbuf_leaf_265_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0745 soc/clknet_leaf_265_core_clk (net)
0.1513 0.0037 35.8808 ^ soc/_29156_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7808 clock uncertainty
1.2772 37.0581 clock reconvergence pessimism
-0.3292 36.7289 library setup time
36.7289 data required time
-------------------------------------------------------------------------------------
36.7289 data required time
-28.8949 data arrival time
-------------------------------------------------------------------------------------
7.8340 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1130 0.0071 16.3152 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.2013 0.3685 16.6837 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2170 soc/net3232 (net)
0.2043 0.0210 16.7047 v soc/_14232_/B2 (sky130_fd_sc_hd__a221oi_4)
0.9366 0.9823 17.6870 ^ soc/_14232_/Y (sky130_fd_sc_hd__a221oi_4)
2 0.0493 soc/_13691_ (net)
0.9367 0.0086 17.6956 ^ soc/wire3127/A (sky130_fd_sc_hd__buf_6)
0.3202 0.6533 18.3489 ^ soc/wire3127/X (sky130_fd_sc_hd__buf_6)
8 0.0990 soc/net3127 (net)
0.3221 0.0204 18.3693 ^ soc/_14233_/A (sky130_fd_sc_hd__inv_6)
0.2312 0.3134 18.6827 v soc/_14233_/Y (sky130_fd_sc_hd__inv_6)
8 0.1449 soc/net636 (net)
0.2314 0.0069 18.6896 v soc/_17879_/A (sky130_fd_sc_hd__nor2_2)
0.9257 0.8668 19.5563 ^ soc/_17879_/Y (sky130_fd_sc_hd__nor2_2)
12 0.0527 soc/_07728_ (net)
0.9257 0.0064 19.5627 ^ soc/_17896_/C (sky130_fd_sc_hd__and4_1)
0.3338 0.8631 20.4258 ^ soc/_17896_/X (sky130_fd_sc_hd__and4_1)
2 0.0199 soc/net228 (net)
0.3338 0.0017 20.4275 ^ soc/wire1842/A (sky130_fd_sc_hd__buf_4)
0.3429 0.5317 20.9593 ^ soc/wire1842/X (sky130_fd_sc_hd__buf_4)
2 0.0765 soc/net1842 (net)
0.3449 0.0225 20.9817 ^ soc/output228/A (sky130_fd_sc_hd__buf_12)
0.1544 0.3592 21.3409 ^ soc/output228/X (sky130_fd_sc_hd__buf_12)
1 0.0768 hk_cyc_o (net)
0.1636 0.0302 21.3711 ^ housekeeping/input131/A (sky130_fd_sc_hd__clkbuf_1)
0.5193 0.5283 21.8995 ^ housekeeping/input131/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0274 housekeeping/net131 (net)
0.5193 0.0028 21.9022 ^ housekeeping/_3899_/C (sky130_fd_sc_hd__and4bb_1)
0.1486 0.5895 22.4917 ^ housekeeping/_3899_/X (sky130_fd_sc_hd__and4bb_1)
1 0.0061 housekeeping/_1463_ (net)
0.1486 0.0003 22.4920 ^ housekeeping/_3901_/C (sky130_fd_sc_hd__and4b_1)
0.1455 0.4725 22.9645 ^ housekeeping/_3901_/X (sky130_fd_sc_hd__and4b_1)
1 0.0060 housekeeping/_1465_ (net)
0.1455 0.0002 22.9646 ^ housekeeping/_3907_/A (sky130_fd_sc_hd__nand4_2)
0.4153 0.3847 23.3494 v housekeeping/_3907_/Y (sky130_fd_sc_hd__nand4_2)
2 0.0204 housekeeping/_1471_ (net)
0.4153 0.0014 23.3508 v housekeeping/_3909_/B (sky130_fd_sc_hd__nand2_1)
0.2243 0.3630 23.7137 ^ housekeeping/_3909_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0118 housekeeping/_1473_ (net)
0.2243 0.0004 23.7141 ^ housekeeping/_6305_/B1 (sky130_fd_sc_hd__o31ai_1)
0.1152 0.2062 23.9203 v housekeeping/_6305_/Y (sky130_fd_sc_hd__o31ai_1)
1 0.0031 housekeeping/_3134_ (net)
0.1152 0.0001 23.9204 v housekeeping/_6306_/B1 (sky130_fd_sc_hd__a22o_1)
0.0690 0.3522 24.2726 v housekeeping/_6306_/X (sky130_fd_sc_hd__a22o_1)
1 0.0026 housekeeping/_0775_ (net)
0.0690 0.0001 24.2727 v housekeeping/_7132_/D (sky130_fd_sc_hd__dfrtp_4)
24.2727 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0034 31.1828 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
-0.1000 31.0828 clock uncertainty
1.2772 32.3600 clock reconvergence pessimism
-0.2451 32.1149 library setup time
32.1149 data required time
-------------------------------------------------------------------------------------
32.1149 data required time
-24.2727 data arrival time
-------------------------------------------------------------------------------------
7.8422 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30421_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3143 0.0180 26.7755 ^ soc/_23469_/S (sky130_fd_sc_hd__mux2_1)
0.2406 0.9833 27.7589 v soc/_23469_/X (sky130_fd_sc_hd__mux2_1)
2 0.0180 soc/_10928_ (net)
0.2406 0.0015 27.7604 v soc/_23470_/A1 (sky130_fd_sc_hd__mux2_1)
0.1072 0.7383 28.4987 v soc/_23470_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_02500_ (net)
0.1072 0.0001 28.4988 v soc/_30421_/D (sky130_fd_sc_hd__dfxtp_2)
28.4988 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6322 0.0173 35.0458 ^ soc/clkbuf_leaf_398_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1181 0.4836 35.5295 ^ soc/clkbuf_leaf_398_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0535 soc/clknet_leaf_398_core_clk (net)
0.1181 0.0019 35.5314 ^ soc/_30421_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4314 clock uncertainty
1.2772 36.7086 clock reconvergence pessimism
-0.2768 36.4318 library setup time
36.4318 data required time
-------------------------------------------------------------------------------------
36.4318 data required time
-28.4988 data arrival time
-------------------------------------------------------------------------------------
7.9330 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29678_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2364 0.0532 23.0862 v soc/_15773_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4544 0.5012 23.5874 ^ soc/_15773_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0129 soc/_05758_ (net)
0.4544 0.0007 23.5881 ^ soc/fanout980/A (sky130_fd_sc_hd__buf_8)
0.2893 0.5009 24.0889 ^ soc/fanout980/X (sky130_fd_sc_hd__buf_8)
12 0.1130 soc/net980 (net)
0.2897 0.0088 24.0978 ^ soc/wire981/A (sky130_fd_sc_hd__buf_6)
0.5288 0.5901 24.6878 ^ soc/wire981/X (sky130_fd_sc_hd__buf_6)
8 0.1691 soc/net981 (net)
0.5328 0.0397 24.7275 ^ soc/fanout974/A (sky130_fd_sc_hd__buf_12)
0.4360 0.6353 25.3628 ^ soc/fanout974/X (sky130_fd_sc_hd__buf_12)
40 0.2468 soc/net974 (net)
0.4410 0.0389 25.4017 ^ soc/fanout973/A (sky130_fd_sc_hd__buf_12)
0.3758 0.5561 25.9578 ^ soc/fanout973/X (sky130_fd_sc_hd__buf_12)
40 0.2088 soc/net973 (net)
0.3792 0.0298 25.9876 ^ soc/_16734_/S (sky130_fd_sc_hd__mux2_1)
0.1387 0.8710 26.8586 v soc/_16734_/X (sky130_fd_sc_hd__mux2_1)
1 0.0056 soc/_06694_ (net)
0.1387 0.0002 26.8588 v soc/_16736_/A0 (sky130_fd_sc_hd__mux2_1)
0.1893 0.7880 27.6468 v soc/_16736_/X (sky130_fd_sc_hd__mux2_1)
1 0.0111 soc/_06696_ (net)
0.1894 0.0006 27.6475 v soc/_16737_/A2 (sky130_fd_sc_hd__o211a_1)
0.0928 0.4666 28.1140 v soc/_16737_/X (sky130_fd_sc_hd__o211a_1)
1 0.0052 soc/_06697_ (net)
0.0928 0.0002 28.1142 v soc/_16747_/A2 (sky130_fd_sc_hd__o22a_1)
0.2702 0.5794 28.6936 v soc/_16747_/X (sky130_fd_sc_hd__o22a_1)
2 0.0241 soc/_00017_ (net)
0.2702 0.0020 28.6956 v soc/_29678_/D (sky130_fd_sc_hd__dfxtp_1)
28.6956 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0028 34.2519 ^ soc/clkbuf_5_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0693 0.2446 34.4965 ^ soc/clkbuf_5_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0137 soc/clknet_5_19_0_core_clk (net)
0.0693 0.0006 34.4971 ^ soc/clkbuf_5_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7996 0.7300 35.2271 ^ soc/clkbuf_5_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3110 soc/clknet_5_19_1_core_clk (net)
0.8002 0.0185 35.2456 ^ soc/clkbuf_leaf_137_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2185 0.6028 35.8484 ^ soc/clkbuf_leaf_137_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1257 soc/clknet_leaf_137_core_clk (net)
0.2185 0.0023 35.8507 ^ soc/_29678_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7508 clock uncertainty
1.2772 37.0280 clock reconvergence pessimism
-0.3120 36.7160 library setup time
36.7160 data required time
-------------------------------------------------------------------------------------
36.7160 data required time
-28.6956 data arrival time
-------------------------------------------------------------------------------------
8.0204 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29661_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3663 0.0234 26.3453 ^ soc/fanout929/A (sky130_fd_sc_hd__buf_12)
0.4330 0.5448 26.8901 ^ soc/fanout929/X (sky130_fd_sc_hd__buf_12)
40 0.2432 soc/net929 (net)
0.4331 0.0066 26.8967 ^ soc/fanout928/A (sky130_fd_sc_hd__clkbuf_16)
0.3394 0.5927 27.4895 ^ soc/fanout928/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2141 soc/net928 (net)
0.3519 0.0528 27.5423 ^ soc/_16082_/C1 (sky130_fd_sc_hd__o221a_1)
0.1331 0.5083 28.0506 ^ soc/_16082_/X (sky130_fd_sc_hd__o221a_1)
1 0.0055 soc/_06059_ (net)
0.1331 0.0002 28.0508 ^ soc/_16083_/B1 (sky130_fd_sc_hd__a211o_1)
0.0797 0.2058 28.2565 ^ soc/_16083_/X (sky130_fd_sc_hd__a211o_1)
1 0.0036 soc/_06060_ (net)
0.0797 0.0001 28.2567 ^ soc/_16088_/C (sky130_fd_sc_hd__and3_1)
0.1181 0.3519 28.6086 ^ soc/_16088_/X (sky130_fd_sc_hd__and3_1)
1 0.0052 soc/_06065_ (net)
0.1181 0.0002 28.6087 ^ soc/_16117_/A2 (sky130_fd_sc_hd__o22a_1)
0.0727 0.2669 28.8756 ^ soc/_16117_/X (sky130_fd_sc_hd__o22a_1)
1 0.0025 soc/_00030_ (net)
0.0727 0.0001 28.8757 ^ soc/_29661_/D (sky130_fd_sc_hd__dfxtp_1)
28.8757 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8129 0.0156 35.2622 ^ soc/clkbuf_leaf_104_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1739 0.5721 35.8343 ^ soc/clkbuf_leaf_104_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0928 soc/clknet_leaf_104_core_clk (net)
0.1739 0.0012 35.8354 ^ soc/_29661_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7354 clock uncertainty
1.2772 37.0127 clock reconvergence pessimism
-0.1115 36.9012 library setup time
36.9012 data required time
-------------------------------------------------------------------------------------
36.9012 data required time
-28.8757 data arrival time
-------------------------------------------------------------------------------------
8.0255 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29668_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2297 0.0287 22.7919 v soc/fanout1546/A (sky130_fd_sc_hd__buf_6)
0.0791 0.3388 23.1307 v soc/fanout1546/X (sky130_fd_sc_hd__buf_6)
6 0.0367 soc/net1546 (net)
0.0792 0.0014 23.1320 v soc/max_length1548/A (sky130_fd_sc_hd__buf_6)
0.1856 0.3462 23.4782 v soc/max_length1548/X (sky130_fd_sc_hd__buf_6)
18 0.1169 soc/net1548 (net)
0.1858 0.0053 23.4835 v soc/fanout1543/A (sky130_fd_sc_hd__buf_12)
0.1980 0.3934 23.8769 v soc/fanout1543/X (sky130_fd_sc_hd__buf_12)
40 0.2126 soc/net1543 (net)
0.2021 0.0242 23.9011 v soc/_15776_/A2 (sky130_fd_sc_hd__o21ai_4)
1.2943 1.1330 25.0341 ^ soc/_15776_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1193 soc/_05761_ (net)
1.2943 0.0027 25.0368 ^ soc/fanout957/A (sky130_fd_sc_hd__buf_6)
0.2450 0.6822 25.7191 ^ soc/fanout957/X (sky130_fd_sc_hd__buf_6)
8 0.0725 soc/net957 (net)
0.2450 0.0013 25.7203 ^ soc/fanout954/A (sky130_fd_sc_hd__buf_12)
0.4473 0.5141 26.2344 ^ soc/fanout954/X (sky130_fd_sc_hd__buf_12)
40 0.2516 soc/net954 (net)
0.4482 0.0177 26.2521 ^ soc/fanout953/A (sky130_fd_sc_hd__clkbuf_16)
0.3295 0.5906 26.8427 ^ soc/fanout953/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2066 soc/net953 (net)
0.3411 0.0505 26.8932 ^ soc/_16340_/C1 (sky130_fd_sc_hd__o221a_1)
0.2859 0.6370 27.5302 ^ soc/_16340_/X (sky130_fd_sc_hd__o221a_1)
1 0.0162 soc/_06310_ (net)
0.2859 0.0012 27.5313 ^ soc/_16342_/C1 (sky130_fd_sc_hd__a211o_1)
0.0986 0.2781 27.8095 ^ soc/_16342_/X (sky130_fd_sc_hd__a211o_1)
1 0.0052 soc/_06312_ (net)
0.0986 0.0002 27.8096 ^ soc/_16356_/A2 (sky130_fd_sc_hd__a32o_1)
0.2745 0.5161 28.3257 ^ soc/_16356_/X (sky130_fd_sc_hd__a32o_1)
1 0.0152 soc/_06326_ (net)
0.2745 0.0010 28.3268 ^ soc/_16375_/A2 (sky130_fd_sc_hd__o22a_1)
0.0666 0.3223 28.6490 ^ soc/_16375_/X (sky130_fd_sc_hd__o22a_1)
1 0.0022 soc/_00006_ (net)
0.0666 0.0001 28.6491 ^ soc/_29668_/D (sky130_fd_sc_hd__dfxtp_1)
28.6491 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6036 0.0121 35.1122 ^ soc/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1852 0.5240 35.6361 ^ soc/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.1037 soc/clknet_leaf_8_core_clk (net)
0.1854 0.0048 35.6409 ^ soc/_29668_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5409 clock uncertainty
1.2772 36.8182 clock reconvergence pessimism
-0.1080 36.7102 library setup time
36.7102 data required time
-------------------------------------------------------------------------------------
36.7102 data required time
-28.6491 data arrival time
-------------------------------------------------------------------------------------
8.0611 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7142_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2441 0.0458 16.0064 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.2028 0.4437 16.4501 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2201 soc/net3128 (net)
0.2101 0.0315 16.4816 v soc/_14158_/A2 (sky130_fd_sc_hd__o21ai_4)
0.5836 0.5940 17.0756 ^ soc/_14158_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0488 soc/_13648_ (net)
0.5837 0.0052 17.0809 ^ soc/hold132/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3494 1.4879 18.5688 ^ soc/hold132/X (sky130_fd_sc_hd__dlygate4sd3_1)
2 0.0216 soc/net4351 (net)
0.3494 0.0020 18.5708 ^ soc/wire2721/A (sky130_fd_sc_hd__buf_4)
0.6515 0.7492 19.3201 ^ soc/wire2721/X (sky130_fd_sc_hd__buf_4)
6 0.1494 soc/net2721 (net)
0.6574 0.0522 19.3722 ^ soc/wire2720/A (sky130_fd_sc_hd__clkbuf_16)
0.3018 0.6378 20.0101 ^ soc/wire2720/X (sky130_fd_sc_hd__clkbuf_16)
6 0.1856 soc/net2720 (net)
0.3306 0.0743 20.0843 ^ soc/_14159_/A (sky130_fd_sc_hd__clkinv_16)
0.2127 0.2691 20.3535 v soc/_14159_/Y (sky130_fd_sc_hd__clkinv_16)
7 0.1596 soc/net662 (net)
0.2127 0.0025 20.3560 v soc/max_length2392/A (sky130_fd_sc_hd__buf_6)
0.1480 0.3785 20.7344 v soc/max_length2392/X (sky130_fd_sc_hd__buf_6)
4 0.0850 soc/net2392 (net)
0.1521 0.0202 20.7546 v soc/wire2391/A (sky130_fd_sc_hd__buf_4)
0.2284 0.4563 21.2109 v soc/wire2391/X (sky130_fd_sc_hd__buf_4)
2 0.0987 soc/net2391 (net)
0.2331 0.0271 21.2380 v soc/wire2390/A (sky130_fd_sc_hd__buf_12)
0.1807 0.4119 21.6499 v soc/wire2390/X (sky130_fd_sc_hd__buf_12)
5 0.1891 soc/net2390 (net)
0.1925 0.0372 21.6871 v soc/wire2389/A (sky130_fd_sc_hd__buf_6)
0.1622 0.3894 22.0765 v soc/wire2389/X (sky130_fd_sc_hd__buf_6)
2 0.0971 soc/net2389 (net)
0.1629 0.0091 22.0856 v soc/output662/A (sky130_fd_sc_hd__buf_12)
0.1020 0.3310 22.4166 v soc/output662/X (sky130_fd_sc_hd__buf_12)
3 0.0929 mprj_dat_o_core[25] (net)
0.1084 0.0205 22.4371 v housekeeping/input149/A (sky130_fd_sc_hd__clkbuf_1)
0.1310 0.2532 22.6903 v housekeeping/input149/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0106 housekeeping/net149 (net)
0.1310 0.0005 22.6908 v housekeeping/_6327_/A2 (sky130_fd_sc_hd__a221o_1)
0.1261 0.7272 23.4180 v housekeeping/_6327_/X (sky130_fd_sc_hd__a221o_1)
1 0.0064 housekeeping/_3146_ (net)
0.1261 0.0003 23.4183 v housekeeping/_6328_/A0 (sky130_fd_sc_hd__mux2_1)
0.1055 0.6655 24.0837 v housekeeping/_6328_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 housekeeping/_0785_ (net)
0.1055 0.0001 24.0838 v housekeeping/_7142_/D (sky130_fd_sc_hd__dfrtp_1)
24.0838 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0029 31.2375 ^ housekeeping/_7142_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1375 clock uncertainty
1.2772 32.4147 clock reconvergence pessimism
-0.2415 32.1733 library setup time
32.1733 data required time
-------------------------------------------------------------------------------------
32.1733 data required time
-24.0838 data arrival time
-------------------------------------------------------------------------------------
8.0895 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29664_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2364 0.0532 23.0862 v soc/_15773_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4544 0.5012 23.5874 ^ soc/_15773_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0129 soc/_05758_ (net)
0.4544 0.0007 23.5881 ^ soc/fanout980/A (sky130_fd_sc_hd__buf_8)
0.2893 0.5009 24.0889 ^ soc/fanout980/X (sky130_fd_sc_hd__buf_8)
12 0.1130 soc/net980 (net)
0.2897 0.0088 24.0978 ^ soc/wire981/A (sky130_fd_sc_hd__buf_6)
0.5288 0.5901 24.6878 ^ soc/wire981/X (sky130_fd_sc_hd__buf_6)
8 0.1691 soc/net981 (net)
0.5328 0.0397 24.7275 ^ soc/fanout974/A (sky130_fd_sc_hd__buf_12)
0.4360 0.6353 25.3628 ^ soc/fanout974/X (sky130_fd_sc_hd__buf_12)
40 0.2468 soc/net974 (net)
0.4410 0.0389 25.4017 ^ soc/fanout973/A (sky130_fd_sc_hd__buf_12)
0.3758 0.5561 25.9578 ^ soc/fanout973/X (sky130_fd_sc_hd__buf_12)
40 0.2088 soc/net973 (net)
0.3768 0.0166 25.9745 ^ soc/_16195_/S (sky130_fd_sc_hd__mux2_1)
0.1538 0.8916 26.8660 v soc/_16195_/X (sky130_fd_sc_hd__mux2_1)
1 0.0074 soc/_06169_ (net)
0.1538 0.0003 26.8663 v soc/_16198_/A1 (sky130_fd_sc_hd__a211o_1)
0.1584 0.6250 27.4913 v soc/_16198_/X (sky130_fd_sc_hd__a211o_1)
1 0.0110 soc/_06172_ (net)
0.1584 0.0006 27.4919 v soc/_16199_/B1 (sky130_fd_sc_hd__o31a_1)
0.1300 0.2790 27.7709 v soc/_16199_/X (sky130_fd_sc_hd__o31a_1)
1 0.0080 soc/_06173_ (net)
0.1300 0.0004 27.7713 v soc/_16209_/A2 (sky130_fd_sc_hd__a211o_1)
0.1025 0.6043 28.3756 v soc/_16209_/X (sky130_fd_sc_hd__a211o_1)
1 0.0045 soc/_06183_ (net)
0.1025 0.0002 28.3757 v soc/_16228_/B1 (sky130_fd_sc_hd__o31a_1)
0.1732 0.2962 28.6719 v soc/_16228_/X (sky130_fd_sc_hd__o31a_1)
1 0.0137 soc/_00002_ (net)
0.1732 0.0009 28.6728 v soc/_29664_/D (sky130_fd_sc_hd__dfxtp_1)
28.6728 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0069 32.6457 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2596 32.9054 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0013 32.9067 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.2900 33.1967 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 33.1991 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0840 0.2653 33.4644 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0202 soc/clknet_3_6_0_core_clk (net)
0.0840 0.0014 33.4658 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0810 0.2301 33.6959 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0190 soc/clknet_3_6_1_core_clk (net)
0.0810 0.0013 33.6972 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1764 0.3050 34.0022 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0594 soc/clknet_3_6_2_core_clk (net)
0.1765 0.0032 34.0055 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1674 0.3412 34.3467 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0556 soc/clknet_4_12_0_core_clk (net)
0.1675 0.0032 34.3499 ^ soc/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0779 0.2642 34.6141 ^ soc/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_5_24_0_core_clk (net)
0.0779 0.0012 34.6153 ^ soc/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7892 0.7117 35.3270 ^ soc/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
32 0.3047 soc/clknet_5_24_1_core_clk (net)
0.7897 0.0151 35.3422 ^ soc/clkbuf_leaf_133_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1658 0.5601 35.9023 ^ soc/clkbuf_leaf_133_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0871 soc/clknet_leaf_133_core_clk (net)
0.1659 0.0028 35.9051 ^ soc/_29664_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.8051 clock uncertainty
1.2772 37.0823 clock reconvergence pessimism
-0.2860 36.7963 library setup time
36.7963 data required time
-------------------------------------------------------------------------------------
36.7963 data required time
-28.6728 data arrival time
-------------------------------------------------------------------------------------
8.1235 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30432_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4165 0.0249 26.4126 ^ soc/_23491_/S (sky130_fd_sc_hd__mux2_1)
0.1050 0.8275 27.2401 v soc/_23491_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 soc/_10939_ (net)
0.1050 0.0001 27.2401 v soc/_23492_/A1 (sky130_fd_sc_hd__mux2_1)
0.3459 0.9656 28.2057 v soc/_23492_/X (sky130_fd_sc_hd__mux2_1)
2 0.0308 soc/_02511_ (net)
0.3459 0.0035 28.2092 v soc/_30432_/D (sky130_fd_sc_hd__dfxtp_4)
28.2092 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6322 0.0173 35.0458 ^ soc/clkbuf_leaf_398_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1181 0.4836 35.5295 ^ soc/clkbuf_leaf_398_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0535 soc/clknet_leaf_398_core_clk (net)
0.1181 0.0019 35.5314 ^ soc/_30432_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4314 clock uncertainty
1.2772 36.7086 clock reconvergence pessimism
-0.3733 36.3354 library setup time
36.3354 data required time
-------------------------------------------------------------------------------------
36.3354 data required time
-28.2092 data arrival time
-------------------------------------------------------------------------------------
8.1262 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7141_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2441 0.0458 16.0064 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.2028 0.4437 16.4501 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2201 soc/net3128 (net)
0.2125 0.0361 16.4863 v soc/_14191_/A2 (sky130_fd_sc_hd__o21ai_1)
0.1515 0.2457 16.7320 ^ soc/_14191_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0023 soc/_13664_ (net)
0.1515 0.0001 16.7321 ^ soc/hold157/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0979 1.1201 17.8522 ^ soc/hold157/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0032 soc/net4376 (net)
0.0979 0.0001 17.8523 ^ soc/wire2656/A (sky130_fd_sc_hd__buf_4)
0.5747 0.5822 18.4345 ^ soc/wire2656/X (sky130_fd_sc_hd__buf_4)
8 0.1316 soc/net2656 (net)
0.5801 0.0467 18.4812 ^ soc/wire2655/A (sky130_fd_sc_hd__buf_6)
0.6073 0.7209 19.2020 ^ soc/wire2655/X (sky130_fd_sc_hd__buf_6)
8 0.1941 soc/net2655 (net)
0.6373 0.1096 19.3116 ^ soc/wire2654/A (sky130_fd_sc_hd__buf_6)
0.5152 0.7239 20.0355 ^ soc/wire2654/X (sky130_fd_sc_hd__buf_6)
12 0.1653 soc/net2654 (net)
0.5165 0.0224 20.0579 ^ soc/_14192_/A (sky130_fd_sc_hd__inv_12)
0.1243 0.2114 20.2693 v soc/_14192_/Y (sky130_fd_sc_hd__inv_12)
4 0.0567 soc/net675 (net)
0.1249 0.0069 20.2762 v soc/wire2358/A (sky130_fd_sc_hd__buf_4)
0.2418 0.4498 20.7259 v soc/wire2358/X (sky130_fd_sc_hd__buf_4)
4 0.1050 soc/net2358 (net)
0.2483 0.0325 20.7584 v soc/wire2357/A (sky130_fd_sc_hd__buf_4)
0.2369 0.5073 21.2657 v soc/wire2357/X (sky130_fd_sc_hd__buf_4)
2 0.1027 soc/net2357 (net)
0.2436 0.0326 21.2983 v soc/wire2356/A (sky130_fd_sc_hd__buf_12)
0.1413 0.3872 21.6855 v soc/wire2356/X (sky130_fd_sc_hd__buf_12)
5 0.1412 soc/net2356 (net)
0.1637 0.0442 21.7297 v soc/wire2355/A (sky130_fd_sc_hd__buf_6)
0.1625 0.3602 22.0899 v soc/wire2355/X (sky130_fd_sc_hd__buf_6)
2 0.0944 soc/net2355 (net)
0.1696 0.0277 22.1176 v soc/output675/A (sky130_fd_sc_hd__buf_12)
0.1124 0.3355 22.4531 v soc/output675/X (sky130_fd_sc_hd__buf_12)
3 0.1088 mprj_dat_o_core[8] (net)
0.1333 0.0376 22.4907 v housekeeping/input162/A (sky130_fd_sc_hd__clkbuf_1)
0.0737 0.2186 22.7093 v housekeeping/input162/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0051 housekeeping/net162 (net)
0.0737 0.0002 22.7095 v housekeeping/_6324_/B1 (sky130_fd_sc_hd__a221o_1)
0.1107 0.5873 23.2969 v housekeeping/_6324_/X (sky130_fd_sc_hd__a221o_1)
1 0.0045 housekeeping/_3144_ (net)
0.1107 0.0002 23.2970 v housekeeping/_6325_/A0 (sky130_fd_sc_hd__mux2_1)
0.1073 0.6625 23.9595 v housekeeping/_6325_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 housekeeping/_0784_ (net)
0.1073 0.0001 23.9596 v housekeeping/_7141_/D (sky130_fd_sc_hd__dfrtp_1)
23.9596 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0034 31.1827 ^ housekeeping/_7141_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0827 clock uncertainty
1.2772 32.3600 clock reconvergence pessimism
-0.2628 32.0972 library setup time
32.0972 data required time
-------------------------------------------------------------------------------------
32.0972 data required time
-23.9596 data arrival time
-------------------------------------------------------------------------------------
8.1376 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29676_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2182 0.0018 22.5094 ^ soc/max_length1751/A (sky130_fd_sc_hd__buf_6)
0.3089 0.4280 22.9374 ^ soc/max_length1751/X (sky130_fd_sc_hd__buf_6)
10 0.0961 soc/net1751 (net)
0.3089 0.0017 22.9391 ^ soc/wire1750/A (sky130_fd_sc_hd__buf_4)
0.5370 0.6534 23.5925 ^ soc/wire1750/X (sky130_fd_sc_hd__buf_4)
10 0.1224 soc/net1750 (net)
0.5389 0.0269 23.6194 ^ soc/fanout1726/A (sky130_fd_sc_hd__buf_6)
0.2293 0.4909 24.1102 ^ soc/fanout1726/X (sky130_fd_sc_hd__buf_6)
14 0.0693 soc/net1726 (net)
0.2293 0.0017 24.1119 ^ soc/_15770_/A (sky130_fd_sc_hd__and3_4)
0.4189 0.7554 24.8673 ^ soc/_15770_/X (sky130_fd_sc_hd__and3_4)
4 0.0840 soc/_05755_ (net)
0.4198 0.0165 24.8838 ^ soc/fanout1468/A (sky130_fd_sc_hd__buf_6)
0.0708 0.3243 25.2080 ^ soc/fanout1468/X (sky130_fd_sc_hd__buf_6)
2 0.0142 soc/net1468 (net)
0.0708 0.0002 25.2082 ^ soc/wire1470/A (sky130_fd_sc_hd__buf_6)
0.4294 0.4395 25.6478 ^ soc/wire1470/X (sky130_fd_sc_hd__buf_6)
14 0.1369 soc/net1470 (net)
0.4311 0.0229 25.6707 ^ soc/fanout1464/A (sky130_fd_sc_hd__buf_12)
0.4248 0.5740 26.2447 ^ soc/fanout1464/X (sky130_fd_sc_hd__buf_12)
40 0.2385 soc/net1464 (net)
0.4249 0.0043 26.2490 ^ soc/fanout1463/A (sky130_fd_sc_hd__clkbuf_16)
0.3240 0.5905 26.8395 ^ soc/fanout1463/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2052 soc/net1463 (net)
0.3242 0.0072 26.8467 ^ soc/_16655_/A3 (sky130_fd_sc_hd__o311a_1)
0.1193 0.4173 27.2640 ^ soc/_16655_/X (sky130_fd_sc_hd__o311a_1)
1 0.0050 soc/_06617_ (net)
0.1193 0.0002 27.2642 ^ soc/_16657_/B1 (sky130_fd_sc_hd__a211o_1)
0.3604 0.4149 27.6791 ^ soc/_16657_/X (sky130_fd_sc_hd__a211o_1)
2 0.0257 soc/_06619_ (net)
0.3604 0.0026 27.6817 ^ soc/_16671_/A1 (sky130_fd_sc_hd__a32oi_1)
0.1826 0.3193 28.0010 v soc/_16671_/Y (sky130_fd_sc_hd__a32oi_1)
1 0.0032 soc/_06633_ (net)
0.1826 0.0001 28.0011 v soc/_16672_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
0.3211 0.7066 28.7078 ^ soc/_16672_/X (sky130_fd_sc_hd__o2bb2a_1)
1 0.0201 soc/_00015_ (net)
0.3211 0.0012 28.7090 ^ soc/_29676_/D (sky130_fd_sc_hd__dfxtp_1)
28.7090 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8941 0.0094 35.3030 ^ soc/clkbuf_leaf_264_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1539 0.5755 35.8785 ^ soc/clkbuf_leaf_264_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0766 soc/clknet_leaf_264_core_clk (net)
0.1541 0.0041 35.8826 ^ soc/_29676_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7826 clock uncertainty
1.2772 37.0598 clock reconvergence pessimism
-0.1792 36.8806 library setup time
36.8806 data required time
-------------------------------------------------------------------------------------
36.8806 data required time
-28.7090 data arrival time
-------------------------------------------------------------------------------------
8.1716 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30434_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3009 0.0199 25.8514 ^ soc/_23495_/S (sky130_fd_sc_hd__mux2_1)
0.1328 0.8396 26.6910 v soc/_23495_/X (sky130_fd_sc_hd__mux2_1)
1 0.0048 soc/_10941_ (net)
0.1328 0.0002 26.6912 v soc/_23496_/A1 (sky130_fd_sc_hd__mux2_1)
0.1304 0.7303 27.4216 v soc/_23496_/X (sky130_fd_sc_hd__mux2_1)
1 0.0045 soc/_02513_ (net)
0.1304 0.0001 27.4217 v soc/hold258/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1159 1.2105 28.6322 v soc/hold258/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0037 soc/net4477 (net)
0.1159 0.0001 28.6323 v soc/_30434_/D (sky130_fd_sc_hd__dfxtp_2)
28.6323 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8865 0.0242 35.3271 ^ soc/clkbuf_leaf_325_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1665 0.5843 35.9114 ^ soc/clkbuf_leaf_325_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0862 soc/clknet_leaf_325_core_clk (net)
0.1666 0.0034 35.9149 ^ soc/_30434_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.8149 clock uncertainty
1.2772 37.0921 clock reconvergence pessimism
-0.2672 36.8249 library setup time
36.8249 data required time
-------------------------------------------------------------------------------------
36.8249 data required time
-28.6323 data arrival time
-------------------------------------------------------------------------------------
8.1925 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30451_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4151 0.0145 26.4022 ^ soc/_23529_/S (sky130_fd_sc_hd__mux2_1)
0.2758 1.0471 27.4493 v soc/_23529_/X (sky130_fd_sc_hd__mux2_1)
2 0.0224 soc/_10958_ (net)
0.2758 0.0020 27.4513 v soc/_23530_/A1 (sky130_fd_sc_hd__mux2_1)
0.1092 0.7582 28.2095 v soc/_23530_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_02530_ (net)
0.1092 0.0001 28.2096 v soc/_30451_/D (sky130_fd_sc_hd__dfxtp_4)
28.2096 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6316 0.0089 35.0374 ^ soc/clkbuf_leaf_396_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1368 0.4983 35.5358 ^ soc/clkbuf_leaf_396_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0677 soc/clknet_leaf_396_core_clk (net)
0.1369 0.0017 35.5375 ^ soc/_30451_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4375 clock uncertainty
1.2772 36.7147 clock reconvergence pessimism
-0.2712 36.4435 library setup time
36.4435 data required time
-------------------------------------------------------------------------------------
36.4435 data required time
-28.2096 data arrival time
-------------------------------------------------------------------------------------
8.2339 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29674_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2364 0.0532 23.0862 v soc/_15773_/A2 (sky130_fd_sc_hd__o21ai_1)
0.4544 0.5012 23.5874 ^ soc/_15773_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.0129 soc/_05758_ (net)
0.4544 0.0007 23.5881 ^ soc/fanout980/A (sky130_fd_sc_hd__buf_8)
0.2893 0.5009 24.0889 ^ soc/fanout980/X (sky130_fd_sc_hd__buf_8)
12 0.1130 soc/net980 (net)
0.2897 0.0088 24.0978 ^ soc/wire981/A (sky130_fd_sc_hd__buf_6)
0.5288 0.5901 24.6878 ^ soc/wire981/X (sky130_fd_sc_hd__buf_6)
8 0.1691 soc/net981 (net)
0.5328 0.0397 24.7275 ^ soc/fanout974/A (sky130_fd_sc_hd__buf_12)
0.4360 0.6353 25.3628 ^ soc/fanout974/X (sky130_fd_sc_hd__buf_12)
40 0.2468 soc/net974 (net)
0.4410 0.0389 25.4017 ^ soc/fanout973/A (sky130_fd_sc_hd__buf_12)
0.3758 0.5561 25.9578 ^ soc/fanout973/X (sky130_fd_sc_hd__buf_12)
40 0.2088 soc/net973 (net)
0.3766 0.0146 25.9724 ^ soc/_16564_/S (sky130_fd_sc_hd__mux2_1)
0.1148 0.8341 26.8065 v soc/_16564_/X (sky130_fd_sc_hd__mux2_1)
1 0.0033 soc/_06528_ (net)
0.1148 0.0001 26.8066 v soc/_16565_/A1 (sky130_fd_sc_hd__a211o_1)
0.1763 0.6362 27.4428 v soc/_16565_/X (sky130_fd_sc_hd__a211o_1)
1 0.0138 soc/_06529_ (net)
0.1763 0.0009 27.4437 v soc/_16579_/A3 (sky130_fd_sc_hd__a32o_1)
0.0710 0.5189 27.9627 v soc/_16579_/X (sky130_fd_sc_hd__a32o_1)
1 0.0028 soc/_06543_ (net)
0.0710 0.0001 27.9628 v soc/_16598_/A2 (sky130_fd_sc_hd__o22a_1)
0.2462 0.5490 28.5118 v soc/_16598_/X (sky130_fd_sc_hd__o22a_1)
2 0.0213 soc/_00013_ (net)
0.2462 0.0018 28.5136 v soc/_29674_/D (sky130_fd_sc_hd__dfxtp_1)
28.5136 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0069 32.6457 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2596 32.9054 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0013 32.9067 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.2900 33.1967 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 33.1991 ^ soc/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0840 0.2653 33.4644 ^ soc/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0202 soc/clknet_3_6_0_core_clk (net)
0.0840 0.0014 33.4658 ^ soc/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0810 0.2301 33.6959 ^ soc/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0190 soc/clknet_3_6_1_core_clk (net)
0.0810 0.0013 33.6972 ^ soc/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1764 0.3050 34.0022 ^ soc/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0594 soc/clknet_3_6_2_core_clk (net)
0.1765 0.0032 34.0055 ^ soc/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1674 0.3412 34.3467 ^ soc/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0556 soc/clknet_4_12_0_core_clk (net)
0.1675 0.0032 34.3499 ^ soc/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0779 0.2642 34.6141 ^ soc/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_5_24_0_core_clk (net)
0.0779 0.0012 34.6153 ^ soc/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7892 0.7117 35.3270 ^ soc/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
32 0.3047 soc/clknet_5_24_1_core_clk (net)
0.7897 0.0155 35.3425 ^ soc/clkbuf_leaf_135_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1481 0.5461 35.8886 ^ soc/clkbuf_leaf_135_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0738 soc/clknet_leaf_135_core_clk (net)
0.1482 0.0029 35.8914 ^ soc/_29674_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7914 clock uncertainty
1.2772 37.0687 clock reconvergence pessimism
-0.3211 36.7476 library setup time
36.7476 data required time
-------------------------------------------------------------------------------------
36.7476 data required time
-28.5136 data arrival time
-------------------------------------------------------------------------------------
8.2340 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30430_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4170 0.0276 26.4154 ^ soc/_23487_/S (sky130_fd_sc_hd__mux2_1)
0.2047 0.9728 27.3882 v soc/_23487_/X (sky130_fd_sc_hd__mux2_1)
1 0.0133 soc/_10937_ (net)
0.2047 0.0007 27.3889 v soc/_23488_/A1 (sky130_fd_sc_hd__mux2_1)
0.1269 0.7549 28.1437 v soc/_23488_/X (sky130_fd_sc_hd__mux2_1)
1 0.0043 soc/_02509_ (net)
0.1269 0.0002 28.1439 v soc/_30430_/D (sky130_fd_sc_hd__dfxtp_4)
28.1439 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6314 0.0038 35.0323 ^ soc/clkbuf_leaf_377_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1209 0.4855 35.5178 ^ soc/clkbuf_leaf_377_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0557 soc/clknet_leaf_377_core_clk (net)
0.1209 0.0015 35.5193 ^ soc/_30430_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4193 clock uncertainty
1.2772 36.6966 clock reconvergence pessimism
-0.2827 36.4139 library setup time
36.4139 data required time
-------------------------------------------------------------------------------------
36.4139 data required time
-28.1439 data arrival time
-------------------------------------------------------------------------------------
8.2700 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30438_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0201 26.2872 ^ soc/_23503_/S (sky130_fd_sc_hd__mux2_1)
0.1206 0.8288 27.1160 v soc/_23503_/X (sky130_fd_sc_hd__mux2_1)
1 0.0038 soc/_10945_ (net)
0.1206 0.0001 27.1162 v soc/_23504_/A1 (sky130_fd_sc_hd__mux2_1)
0.1057 0.6854 27.8016 v soc/_23504_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 soc/_02517_ (net)
0.1057 0.0001 27.8017 v soc/_30438_/D (sky130_fd_sc_hd__dfxtp_4)
27.8017 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0028 33.9020 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0718 0.2357 34.1377 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0149 soc/clknet_4_1_0_core_clk (net)
0.0718 0.0006 34.1383 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2102 34.3485 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0121 soc/clknet_5_3_0_core_clk (net)
0.0660 0.0005 34.3490 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3699 0.4374 34.7864 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1383 soc/clknet_5_3_1_core_clk (net)
0.3701 0.0065 34.7929 ^ soc/clkbuf_leaf_370_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1119 0.3788 35.1718 ^ soc/clkbuf_leaf_370_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0519 soc/clknet_leaf_370_core_clk (net)
0.1119 0.0009 35.1726 ^ soc/_30438_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.0727 clock uncertainty
1.2772 36.3499 clock reconvergence pessimism
-0.2763 36.0735 library setup time
36.0735 data required time
-------------------------------------------------------------------------------------
36.0735 data required time
-27.8017 data arrival time
-------------------------------------------------------------------------------------
8.2719 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6635_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1130 0.0071 16.3152 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.2013 0.3685 16.6837 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2170 soc/net3232 (net)
0.2043 0.0210 16.7047 v soc/_14232_/B2 (sky130_fd_sc_hd__a221oi_4)
0.9366 0.9823 17.6870 ^ soc/_14232_/Y (sky130_fd_sc_hd__a221oi_4)
2 0.0493 soc/_13691_ (net)
0.9367 0.0086 17.6956 ^ soc/wire3127/A (sky130_fd_sc_hd__buf_6)
0.3202 0.6533 18.3489 ^ soc/wire3127/X (sky130_fd_sc_hd__buf_6)
8 0.0990 soc/net3127 (net)
0.3221 0.0204 18.3693 ^ soc/_14233_/A (sky130_fd_sc_hd__inv_6)
0.2312 0.3134 18.6827 v soc/_14233_/Y (sky130_fd_sc_hd__inv_6)
8 0.1449 soc/net636 (net)
0.2314 0.0069 18.6896 v soc/_17879_/A (sky130_fd_sc_hd__nor2_2)
0.9257 0.8668 19.5563 ^ soc/_17879_/Y (sky130_fd_sc_hd__nor2_2)
12 0.0527 soc/_07728_ (net)
0.9257 0.0064 19.5627 ^ soc/_17896_/C (sky130_fd_sc_hd__and4_1)
0.3338 0.8631 20.4258 ^ soc/_17896_/X (sky130_fd_sc_hd__and4_1)
2 0.0199 soc/net228 (net)
0.3338 0.0017 20.4275 ^ soc/wire1842/A (sky130_fd_sc_hd__buf_4)
0.3429 0.5317 20.9593 ^ soc/wire1842/X (sky130_fd_sc_hd__buf_4)
2 0.0765 soc/net1842 (net)
0.3449 0.0225 20.9817 ^ soc/output228/A (sky130_fd_sc_hd__buf_12)
0.1544 0.3592 21.3409 ^ soc/output228/X (sky130_fd_sc_hd__buf_12)
1 0.0768 hk_cyc_o (net)
0.1636 0.0302 21.3711 ^ housekeeping/input131/A (sky130_fd_sc_hd__clkbuf_1)
0.5193 0.5283 21.8995 ^ housekeeping/input131/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0274 housekeeping/net131 (net)
0.5193 0.0028 21.9022 ^ housekeeping/_3899_/C (sky130_fd_sc_hd__and4bb_1)
0.1486 0.5895 22.4917 ^ housekeeping/_3899_/X (sky130_fd_sc_hd__and4bb_1)
1 0.0061 housekeeping/_1463_ (net)
0.1486 0.0003 22.4920 ^ housekeeping/_3901_/C (sky130_fd_sc_hd__and4b_1)
0.1455 0.4725 22.9645 ^ housekeeping/_3901_/X (sky130_fd_sc_hd__and4b_1)
1 0.0060 housekeeping/_1465_ (net)
0.1455 0.0002 22.9646 ^ housekeeping/_3907_/A (sky130_fd_sc_hd__nand4_2)
0.4153 0.3847 23.3494 v housekeeping/_3907_/Y (sky130_fd_sc_hd__nand4_2)
2 0.0204 housekeeping/_1471_ (net)
0.4153 0.0014 23.3508 v housekeeping/_3909_/B (sky130_fd_sc_hd__nand2_1)
0.2243 0.3630 23.7137 ^ housekeeping/_3909_/Y (sky130_fd_sc_hd__nand2_1)
3 0.0118 housekeeping/_1473_ (net)
0.2243 0.0002 23.7139 ^ housekeeping/_3910_/B (sky130_fd_sc_hd__nand2_1)
0.0819 0.1722 23.8861 v housekeeping/_3910_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0031 housekeeping/_0009_ (net)
0.0819 0.0001 23.8862 v housekeeping/_6635_/D (sky130_fd_sc_hd__dfstp_1)
23.8862 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0034 31.1828 ^ housekeeping/_6635_/CLK (sky130_fd_sc_hd__dfstp_1)
-0.1000 31.0828 clock uncertainty
1.2772 32.3600 clock reconvergence pessimism
-0.1240 32.2360 library setup time
32.2360 data required time
-------------------------------------------------------------------------------------
32.2360 data required time
-23.8862 data arrival time
-------------------------------------------------------------------------------------
8.3498 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29158_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3580 v soc/fanout1540/A (sky130_fd_sc_hd__buf_6)
0.1382 0.3033 22.6613 v soc/fanout1540/X (sky130_fd_sc_hd__buf_6)
12 0.0800 soc/net1540 (net)
0.1382 0.0010 22.6624 v soc/wire1541/A (sky130_fd_sc_hd__buf_8)
0.2154 0.3706 23.0330 v soc/wire1541/X (sky130_fd_sc_hd__buf_8)
22 0.1675 soc/net1541 (net)
0.2299 0.0445 23.0775 v soc/fanout1538/A (sky130_fd_sc_hd__buf_6)
0.0956 0.3525 23.4300 v soc/fanout1538/X (sky130_fd_sc_hd__buf_6)
6 0.0489 soc/net1538 (net)
0.0956 0.0016 23.4316 v soc/fanout1535/A (sky130_fd_sc_hd__buf_12)
0.2096 0.3706 23.8022 v soc/fanout1535/X (sky130_fd_sc_hd__buf_12)
40 0.2293 soc/net1535 (net)
0.2098 0.0063 23.8085 v soc/_14549_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7651 0.7344 24.5429 ^ soc/_14549_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0669 soc/_04566_ (net)
0.7651 0.0016 24.5445 ^ soc/load_slew1153/A (sky130_fd_sc_hd__buf_6)
0.3986 0.6692 25.2137 ^ soc/load_slew1153/X (sky130_fd_sc_hd__buf_6)
8 0.1270 soc/net1153 (net)
0.4033 0.0362 25.2499 ^ soc/wire1152/A (sky130_fd_sc_hd__buf_6)
0.4225 0.5738 25.8237 ^ soc/wire1152/X (sky130_fd_sc_hd__buf_6)
6 0.1345 soc/net1152 (net)
0.4239 0.0210 25.8447 ^ soc/fanout1146/A (sky130_fd_sc_hd__buf_12)
0.3476 0.5344 26.3791 ^ soc/fanout1146/X (sky130_fd_sc_hd__buf_12)
36 0.1924 soc/net1146 (net)
0.3476 0.0025 26.3816 ^ soc/_15510_/S (sky130_fd_sc_hd__mux2_1)
0.1226 0.8384 27.2200 v soc/_15510_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_05502_ (net)
0.1226 0.0001 27.2201 v soc/_15513_/A2 (sky130_fd_sc_hd__a211o_1)
0.1383 0.6451 27.8651 v soc/_15513_/X (sky130_fd_sc_hd__a211o_1)
1 0.0088 soc/_05505_ (net)
0.1383 0.0003 27.8655 v soc/_15518_/A2 (sky130_fd_sc_hd__a32o_1)
0.1869 0.6089 28.4744 v soc/_15518_/X (sky130_fd_sc_hd__a32o_1)
1 0.0177 soc/_05510_ (net)
0.1869 0.0011 28.4755 v soc/_15536_/B2 (sky130_fd_sc_hd__o22a_1)
0.1012 0.3907 28.8662 v soc/_15536_/X (sky130_fd_sc_hd__o22a_1)
1 0.0043 soc/_00049_ (net)
0.1012 0.0002 28.8664 v soc/_29158_/D (sky130_fd_sc_hd__dfxtp_1)
28.8664 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8942 0.0114 35.3051 ^ soc/clkbuf_opt_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0824 0.5059 35.8110 ^ soc/clkbuf_opt_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0197 soc/clknet_opt_17_0_core_clk (net)
0.0824 0.0013 35.8124 ^ soc/clkbuf_opt_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0609 0.2114 36.0238 ^ soc/clkbuf_opt_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0148 soc/clknet_opt_17_1_core_clk (net)
0.0609 0.0008 36.0246 ^ soc/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1742 0.2972 36.3217 ^ soc/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0988 soc/clknet_leaf_126_core_clk (net)
0.1743 0.0019 36.3236 ^ soc/_29158_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 36.2236 clock uncertainty
1.2772 37.5009 clock reconvergence pessimism
-0.2539 37.2470 library setup time
37.2470 data required time
-------------------------------------------------------------------------------------
37.2470 data required time
-28.8664 data arrival time
-------------------------------------------------------------------------------------
8.3807 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30424_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4168 0.0264 26.4141 ^ soc/_23475_/S (sky130_fd_sc_hd__mux2_1)
0.1780 0.9329 27.3470 v soc/_23475_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 soc/_10931_ (net)
0.1780 0.0005 27.3475 v soc/_23476_/A1 (sky130_fd_sc_hd__mux2_1)
0.1098 0.7167 28.0641 v soc/_23476_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_02503_ (net)
0.1098 0.0001 28.0642 v soc/_30424_/D (sky130_fd_sc_hd__dfxtp_2)
28.0642 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6314 0.0033 35.0318 ^ soc/clkbuf_leaf_386_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1459 0.5046 35.5365 ^ soc/clkbuf_leaf_386_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0745 soc/clknet_leaf_386_core_clk (net)
0.1462 0.0046 35.5410 ^ soc/_30424_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4410 clock uncertainty
1.2772 36.7182 clock reconvergence pessimism
-0.2703 36.4480 library setup time
36.4480 data required time
-------------------------------------------------------------------------------------
36.4480 data required time
-28.0642 data arrival time
-------------------------------------------------------------------------------------
8.3837 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7148_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2441 0.0458 16.0064 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.2028 0.4437 16.4501 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2201 soc/net3128 (net)
0.2034 0.0104 16.4605 v soc/_14194_/A2 (sky130_fd_sc_hd__o21ai_4)
0.7866 0.7443 17.2048 ^ soc/_14194_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.0690 soc/_13666_ (net)
0.7868 0.0105 17.2153 ^ soc/wire2653/A (sky130_fd_sc_hd__buf_6)
0.5850 0.7672 17.9825 ^ soc/wire2653/X (sky130_fd_sc_hd__buf_6)
8 0.1870 soc/net2653 (net)
0.6094 0.0975 18.0799 ^ soc/wire2652/A (sky130_fd_sc_hd__buf_4)
0.6745 0.8815 18.9615 ^ soc/wire2652/X (sky130_fd_sc_hd__buf_4)
6 0.1553 soc/net2652 (net)
0.6770 0.0351 18.9966 ^ soc/max_length2651/A (sky130_fd_sc_hd__buf_6)
0.4301 0.6708 19.6674 ^ soc/max_length2651/X (sky130_fd_sc_hd__buf_6)
8 0.1376 soc/net2651 (net)
0.4338 0.0337 19.7011 ^ soc/_14195_/A (sky130_fd_sc_hd__clkinv_8)
0.1228 0.2310 19.9320 v soc/_14195_/Y (sky130_fd_sc_hd__clkinv_8)
2 0.0301 soc/net674 (net)
0.1229 0.0014 19.9335 v soc/wire2352/A (sky130_fd_sc_hd__buf_4)
0.2959 0.4779 20.4114 v soc/wire2352/X (sky130_fd_sc_hd__buf_4)
4 0.1309 soc/net2352 (net)
0.3093 0.0512 20.4626 v soc/wire2351/A (sky130_fd_sc_hd__buf_4)
0.2437 0.5423 21.0049 v soc/wire2351/X (sky130_fd_sc_hd__buf_4)
2 0.1059 soc/net2351 (net)
0.2497 0.0314 21.0363 v soc/wire2350/A (sky130_fd_sc_hd__buf_12)
0.1537 0.3906 21.4270 v soc/wire2350/X (sky130_fd_sc_hd__buf_12)
5 0.1587 soc/net2350 (net)
0.1994 0.0671 21.4940 v soc/wire2349/A (sky130_fd_sc_hd__buf_6)
0.1519 0.3845 21.8785 v soc/wire2349/X (sky130_fd_sc_hd__buf_6)
2 0.0897 soc/net2349 (net)
0.1524 0.0069 21.8854 v soc/output674/A (sky130_fd_sc_hd__buf_12)
0.1130 0.3336 22.2191 v soc/output674/X (sky130_fd_sc_hd__buf_12)
3 0.1075 mprj_dat_o_core[7] (net)
0.1224 0.0263 22.2454 v housekeeping/input161/A (sky130_fd_sc_hd__clkbuf_1)
0.1361 0.2646 22.5100 v housekeeping/input161/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0111 housekeeping/net161 (net)
0.1361 0.0004 22.5104 v housekeeping/_6345_/B1 (sky130_fd_sc_hd__a221o_1)
0.1039 0.6017 23.1121 v housekeeping/_6345_/X (sky130_fd_sc_hd__a221o_1)
1 0.0039 housekeeping/_3158_ (net)
0.1039 0.0001 23.1123 v housekeeping/_6346_/A0 (sky130_fd_sc_hd__mux2_1)
0.1072 0.6594 23.7717 v housekeeping/_6346_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 housekeeping/_0791_ (net)
0.1072 0.0001 23.7718 v housekeeping/_7148_/D (sky130_fd_sc_hd__dfrtp_1)
23.7718 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0030 31.2376 ^ housekeeping/_7148_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1376 clock uncertainty
1.2772 32.4149 clock reconvergence pessimism
-0.2421 32.1727 library setup time
32.1727 data required time
-------------------------------------------------------------------------------------
32.1727 data required time
-23.7718 data arrival time
-------------------------------------------------------------------------------------
8.4009 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30446_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3242 0.0194 26.2865 ^ soc/_23519_/S (sky130_fd_sc_hd__mux2_1)
0.1167 0.8232 27.1097 v soc/_23519_/X (sky130_fd_sc_hd__mux2_1)
1 0.0035 soc/_10953_ (net)
0.1167 0.0001 27.1098 v soc/_23520_/A1 (sky130_fd_sc_hd__mux2_1)
0.1084 0.6887 27.7986 v soc/_23520_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 soc/_02525_ (net)
0.1084 0.0001 27.7987 v soc/_30446_/D (sky130_fd_sc_hd__dfxtp_1)
27.7987 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4137 0.0038 34.8869 ^ soc/clkbuf_leaf_369_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1314 0.4106 35.2975 ^ soc/clkbuf_leaf_369_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0659 soc/clknet_leaf_369_core_clk (net)
0.1314 0.0012 35.2986 ^ soc/_30446_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1986 clock uncertainty
1.2772 36.4759 clock reconvergence pessimism
-0.2684 36.2075 library setup time
36.2075 data required time
-------------------------------------------------------------------------------------
36.2075 data required time
-27.7987 data arrival time
-------------------------------------------------------------------------------------
8.4088 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29669_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0120 21.4721 ^ soc/_14538_/B (sky130_fd_sc_hd__nand3_2)
0.5014 0.4440 21.9162 v soc/_14538_/Y (sky130_fd_sc_hd__nand3_2)
2 0.0272 soc/_04555_ (net)
0.5014 0.0023 21.9184 v soc/fanout1553/A (sky130_fd_sc_hd__buf_6)
0.0595 0.4391 22.3576 v soc/fanout1553/X (sky130_fd_sc_hd__buf_6)
3 0.0182 soc/net1553 (net)
0.0595 0.0005 22.3581 v soc/wire1557/A (sky130_fd_sc_hd__buf_4)
0.2243 0.4051 22.7632 v soc/wire1557/X (sky130_fd_sc_hd__buf_4)
4 0.0963 soc/net1557 (net)
0.2298 0.0287 22.7919 v soc/wire1556/A (sky130_fd_sc_hd__buf_8)
0.2366 0.4253 23.2173 v soc/wire1556/X (sky130_fd_sc_hd__buf_8)
12 0.1873 soc/net1556 (net)
0.2551 0.0522 23.2694 v soc/fanout1550/A (sky130_fd_sc_hd__buf_6)
0.0520 0.3188 23.5882 v soc/fanout1550/X (sky130_fd_sc_hd__buf_6)
2 0.0159 soc/net1550 (net)
0.0520 0.0006 23.5888 v soc/fanout1549/A (sky130_fd_sc_hd__buf_12)
0.2220 0.3530 23.9418 v soc/fanout1549/X (sky130_fd_sc_hd__buf_12)
40 0.2596 soc/net1549 (net)
0.2222 0.0067 23.9485 v soc/_15777_/A2 (sky130_fd_sc_hd__o21ai_4)
1.4650 1.2598 25.2083 ^ soc/_15777_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.1359 soc/_05762_ (net)
1.4661 0.0339 25.2422 ^ soc/fanout932/A (sky130_fd_sc_hd__buf_6)
0.1505 0.6442 25.8864 ^ soc/fanout932/X (sky130_fd_sc_hd__buf_6)
4 0.0378 soc/net932 (net)
0.1505 0.0007 25.8871 ^ soc/max_length934/A (sky130_fd_sc_hd__buf_6)
0.3642 0.4348 26.3219 ^ soc/max_length934/X (sky130_fd_sc_hd__buf_6)
8 0.1153 soc/net934 (net)
0.3642 0.0043 26.3263 ^ soc/fanout930/A (sky130_fd_sc_hd__clkbuf_16)
0.3406 0.5722 26.8985 ^ soc/fanout930/X (sky130_fd_sc_hd__clkbuf_16)
40 0.2152 soc/net930 (net)
0.3436 0.0271 26.9256 ^ soc/_16386_/B1 (sky130_fd_sc_hd__a211o_1)
0.1451 0.3436 27.2692 ^ soc/_16386_/X (sky130_fd_sc_hd__a211o_1)
1 0.0089 soc/_06355_ (net)
0.1451 0.0004 27.2697 ^ soc/_16389_/A1 (sky130_fd_sc_hd__a21o_1)
0.3320 0.4495 27.7192 ^ soc/_16389_/X (sky130_fd_sc_hd__a21o_1)
2 0.0239 soc/_06358_ (net)
0.3320 0.0018 27.7210 ^ soc/_16395_/A1 (sky130_fd_sc_hd__a211o_1)
0.4770 0.6565 28.3775 ^ soc/_16395_/X (sky130_fd_sc_hd__a211o_1)
2 0.0347 soc/_06364_ (net)
0.4771 0.0046 28.3820 ^ soc/_16414_/B1 (sky130_fd_sc_hd__o31a_1)
0.2226 0.5336 28.9156 ^ soc/_16414_/X (sky130_fd_sc_hd__o31a_1)
1 0.0122 soc/_00007_ (net)
0.2226 0.0006 28.9163 ^ soc/_29669_/D (sky130_fd_sc_hd__dfxtp_1)
28.9163 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1293 0.0020 33.9480 ^ soc/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1416 0.3011 34.2492 ^ soc/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0450 soc/clknet_4_9_0_core_clk (net)
0.1417 0.0030 34.2521 ^ soc/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2471 34.4992 ^ soc/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_18_0_core_clk (net)
0.0719 0.0009 34.5002 ^ soc/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8940 0.7935 35.2937 ^ soc/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3472 soc/clknet_5_18_1_core_clk (net)
0.8942 0.0114 35.3051 ^ soc/clkbuf_opt_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0824 0.5059 35.8110 ^ soc/clkbuf_opt_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0197 soc/clknet_opt_17_0_core_clk (net)
0.0824 0.0013 35.8124 ^ soc/clkbuf_opt_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0609 0.2114 36.0238 ^ soc/clkbuf_opt_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0148 soc/clknet_opt_17_1_core_clk (net)
0.0609 0.0008 36.0246 ^ soc/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1742 0.2972 36.3217 ^ soc/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
36 0.0988 soc/clknet_leaf_126_core_clk (net)
0.1744 0.0039 36.3256 ^ soc/_29669_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 36.2256 clock uncertainty
1.2772 37.5028 clock reconvergence pessimism
-0.1502 37.3526 library setup time
37.3526 data required time
-------------------------------------------------------------------------------------
37.3526 data required time
-28.9163 data arrival time
-------------------------------------------------------------------------------------
8.4363 slack (MET)
Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_6640_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7114 0.0232 11.9413 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1679 0.5841 12.5254 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0897 soc/clknet_leaf_243_core_clk (net)
0.1679 0.0020 12.5274 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1866 0.7908 13.3182 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0115 soc/core.grant[0] (net)
0.1867 0.0005 13.3187 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
0.2729 0.3905 13.7092 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
10 0.1062 soc/net3544 (net)
0.2729 0.0018 13.7110 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
0.3774 0.4971 14.2080 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
14 0.1196 soc/net3546 (net)
0.3809 0.0305 14.2385 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
0.5536 0.7022 14.9407 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
17 0.1266 soc/net3545 (net)
0.5566 0.0342 14.9748 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
0.1503 0.2823 15.2571 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
1 0.0071 soc/_13629_ (net)
0.1503 0.0003 15.2574 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
0.0829 0.3022 15.5596 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
4 0.0397 soc/net3233 (net)
0.0830 0.0026 15.5622 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
0.2025 0.3444 15.9066 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
16 0.1567 soc/net3235 (net)
0.2166 0.0428 15.9494 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
0.1123 0.3587 16.3082 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
12 0.0610 soc/net3231 (net)
0.1130 0.0071 16.3152 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
0.2013 0.3685 16.6837 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
30 0.2170 soc/net3232 (net)
0.2043 0.0210 16.7047 v soc/_14232_/B2 (sky130_fd_sc_hd__a221oi_4)
0.9366 0.9823 17.6870 ^ soc/_14232_/Y (sky130_fd_sc_hd__a221oi_4)
2 0.0493 soc/_13691_ (net)
0.9367 0.0086 17.6956 ^ soc/wire3127/A (sky130_fd_sc_hd__buf_6)
0.3202 0.6533 18.3489 ^ soc/wire3127/X (sky130_fd_sc_hd__buf_6)
8 0.0990 soc/net3127 (net)
0.3221 0.0204 18.3693 ^ soc/_14233_/A (sky130_fd_sc_hd__inv_6)
0.2312 0.3134 18.6827 v soc/_14233_/Y (sky130_fd_sc_hd__inv_6)
8 0.1449 soc/net636 (net)
0.2314 0.0069 18.6896 v soc/_17879_/A (sky130_fd_sc_hd__nor2_2)
0.9257 0.8668 19.5563 ^ soc/_17879_/Y (sky130_fd_sc_hd__nor2_2)
12 0.0527 soc/_07728_ (net)
0.9257 0.0064 19.5627 ^ soc/_17896_/C (sky130_fd_sc_hd__and4_1)
0.3338 0.8631 20.4258 ^ soc/_17896_/X (sky130_fd_sc_hd__and4_1)
2 0.0199 soc/net228 (net)
0.3338 0.0017 20.4275 ^ soc/wire1842/A (sky130_fd_sc_hd__buf_4)
0.3429 0.5317 20.9593 ^ soc/wire1842/X (sky130_fd_sc_hd__buf_4)
2 0.0765 soc/net1842 (net)
0.3449 0.0225 20.9817 ^ soc/output228/A (sky130_fd_sc_hd__buf_12)
0.1544 0.3592 21.3409 ^ soc/output228/X (sky130_fd_sc_hd__buf_12)
1 0.0768 hk_cyc_o (net)
0.1636 0.0302 21.3711 ^ housekeeping/input131/A (sky130_fd_sc_hd__clkbuf_1)
0.5193 0.5283 21.8995 ^ housekeeping/input131/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0274 housekeeping/net131 (net)
0.5193 0.0028 21.9022 ^ housekeeping/_3899_/C (sky130_fd_sc_hd__and4bb_1)
0.1486 0.5895 22.4917 ^ housekeeping/_3899_/X (sky130_fd_sc_hd__and4bb_1)
1 0.0061 housekeeping/_1463_ (net)
0.1486 0.0003 22.4920 ^ housekeeping/_3901_/C (sky130_fd_sc_hd__and4b_1)
0.1455 0.4725 22.9645 ^ housekeeping/_3901_/X (sky130_fd_sc_hd__and4b_1)
1 0.0060 housekeeping/_1465_ (net)
0.1455 0.0002 22.9646 ^ housekeeping/_3907_/A (sky130_fd_sc_hd__nand4_2)
0.4153 0.3847 23.3494 v housekeeping/_3907_/Y (sky130_fd_sc_hd__nand4_2)
2 0.0204 housekeeping/_1471_ (net)
0.4153 0.0015 23.3508 v housekeeping/_3908_/A (sky130_fd_sc_hd__inv_2)
0.1104 0.1914 23.5423 ^ housekeeping/_3908_/Y (sky130_fd_sc_hd__inv_2)
1 0.0048 housekeeping/_1472_ (net)
0.1104 0.0002 23.5424 ^ housekeeping/_3915_/B1 (sky130_fd_sc_hd__a22o_1)
0.0791 0.2526 23.7951 ^ housekeeping/_3915_/X (sky130_fd_sc_hd__a22o_1)
1 0.0027 housekeeping/_0010_ (net)
0.0791 0.0001 23.7951 ^ housekeeping/_6640_/D (sky130_fd_sc_hd__dfrtp_1)
23.7951 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0034 31.1828 ^ housekeeping/_6640_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0828 clock uncertainty
1.2772 32.3600 clock reconvergence pessimism
-0.1161 32.2439 library setup time
32.2439 data required time
-------------------------------------------------------------------------------------
32.2439 data required time
-23.7951 data arrival time
-------------------------------------------------------------------------------------
8.4488 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29361_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3134 0.0117 26.7692 ^ soc/_20865_/S (sky130_fd_sc_hd__mux2_1)
0.1091 0.8063 27.5756 v soc/_20865_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_01593_ (net)
0.1091 0.0001 27.5757 v soc/_29361_/D (sky130_fd_sc_hd__dfxtp_4)
27.5757 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0028 33.9020 ^ soc/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0718 0.2357 34.1377 ^ soc/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0149 soc/clknet_4_1_0_core_clk (net)
0.0718 0.0006 34.1383 ^ soc/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2102 34.3485 ^ soc/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0121 soc/clknet_5_3_0_core_clk (net)
0.0660 0.0005 34.3490 ^ soc/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3699 0.4374 34.7864 ^ soc/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1383 soc/clknet_5_3_1_core_clk (net)
0.3700 0.0035 34.7899 ^ soc/clkbuf_leaf_375_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1041 0.3726 35.1626 ^ soc/clkbuf_leaf_375_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0460 soc/clknet_leaf_375_core_clk (net)
0.1042 0.0013 35.1639 ^ soc/_29361_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.0639 clock uncertainty
1.2772 36.3411 clock reconvergence pessimism
-0.2798 36.0613 library setup time
36.0613 data required time
-------------------------------------------------------------------------------------
36.0613 data required time
-27.5757 data arrival time
-------------------------------------------------------------------------------------
8.4856 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30436_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3239 0.0175 26.2846 ^ soc/_23499_/S (sky130_fd_sc_hd__mux2_1)
0.1744 0.9027 27.1872 v soc/_23499_/X (sky130_fd_sc_hd__mux2_1)
1 0.0096 soc/_10943_ (net)
0.1744 0.0003 27.1876 v soc/_23500_/A1 (sky130_fd_sc_hd__mux2_1)
0.1003 0.6983 27.8858 v soc/_23500_/X (sky130_fd_sc_hd__mux2_1)
1 0.0018 soc/_02515_ (net)
0.1003 0.0001 27.8859 v soc/_30436_/D (sky130_fd_sc_hd__dfxtp_4)
27.8859 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4941 0.0029 35.0261 ^ soc/clkbuf_leaf_4_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1685 0.4677 35.4938 ^ soc/clkbuf_leaf_4_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0919 soc/clknet_leaf_4_core_clk (net)
0.1688 0.0052 35.4990 ^ soc/_30436_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.3990 clock uncertainty
1.2772 36.6763 clock reconvergence pessimism
-0.2592 36.4171 library setup time
36.4171 data required time
-------------------------------------------------------------------------------------
36.4171 data required time
-27.8859 data arrival time
-------------------------------------------------------------------------------------
8.5312 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30431_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3029 0.0284 25.8599 ^ soc/_23489_/S (sky130_fd_sc_hd__mux2_1)
0.1055 0.7968 26.6567 v soc/_23489_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 soc/_10938_ (net)
0.1055 0.0001 26.6567 v soc/_23490_/A1 (sky130_fd_sc_hd__mux2_1)
0.3626 0.9820 27.6387 v soc/_23490_/X (sky130_fd_sc_hd__mux2_1)
2 0.0328 soc/_02510_ (net)
0.3626 0.0034 27.6421 v soc/_30431_/D (sky130_fd_sc_hd__dfxtp_4)
27.6421 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6320 0.0161 35.0446 ^ soc/clkbuf_leaf_392_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1393 0.5004 35.5450 ^ soc/clkbuf_leaf_392_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0696 soc/clknet_leaf_392_core_clk (net)
0.1393 0.0018 35.5468 ^ soc/_30431_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4468 clock uncertainty
1.2772 36.7240 clock reconvergence pessimism
-0.3745 36.3495 library setup time
36.3495 data required time
-------------------------------------------------------------------------------------
36.3495 data required time
-27.6421 data arrival time
-------------------------------------------------------------------------------------
8.7074 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7143_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2323 0.0191 15.9797 v soc/_14209_/A2 (sky130_fd_sc_hd__o21ai_4)
1.0623 0.9654 16.9451 ^ soc/_14209_/Y (sky130_fd_sc_hd__o21ai_4)
10 0.0966 soc/_13676_ (net)
1.0629 0.0222 16.9673 ^ soc/wire2634/A (sky130_fd_sc_hd__buf_4)
0.5097 0.8905 17.8579 ^ soc/wire2634/X (sky130_fd_sc_hd__buf_4)
4 0.1161 soc/net2634 (net)
0.5134 0.0364 17.8943 ^ soc/wire2633/A (sky130_fd_sc_hd__buf_4)
0.6354 0.8103 18.7046 ^ soc/wire2633/X (sky130_fd_sc_hd__buf_4)
10 0.1458 soc/net2633 (net)
0.6397 0.0445 18.7490 ^ soc/wire2632/A (sky130_fd_sc_hd__buf_6)
0.5365 0.7329 19.4819 ^ soc/wire2632/X (sky130_fd_sc_hd__buf_6)
10 0.1722 soc/net2632 (net)
0.5403 0.0381 19.5201 ^ soc/_14210_/A (sky130_fd_sc_hd__inv_12)
0.1248 0.2123 19.7324 v soc/_14210_/Y (sky130_fd_sc_hd__inv_12)
6 0.0517 soc/net667 (net)
0.1248 0.0006 19.7330 v soc/max_length2330/A (sky130_fd_sc_hd__buf_4)
0.2438 0.4589 20.1920 v soc/max_length2330/X (sky130_fd_sc_hd__buf_4)
2 0.1071 soc/net2330 (net)
0.2467 0.0219 20.2138 v soc/wire2327/A (sky130_fd_sc_hd__buf_6)
0.1902 0.4199 20.6338 v soc/wire2327/X (sky130_fd_sc_hd__buf_6)
2 0.1188 soc/net2327 (net)
0.2039 0.0411 20.6749 v soc/wire2326/A (sky130_fd_sc_hd__buf_12)
0.1638 0.3641 21.0390 v soc/wire2326/X (sky130_fd_sc_hd__buf_12)
4 0.1689 soc/net2326 (net)
0.2041 0.0641 21.1031 v soc/output667/A (sky130_fd_sc_hd__buf_12)
0.1339 0.3772 21.4803 v soc/output667/X (sky130_fd_sc_hd__buf_12)
3 0.1341 mprj_dat_o_core[2] (net)
0.1392 0.0217 21.5021 v housekeeping/input154/A (sky130_fd_sc_hd__clkbuf_1)
0.0892 0.2344 21.7365 v housekeeping/input154/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0066 housekeeping/net154 (net)
0.0892 0.0002 21.7367 v housekeeping/_6329_/B1 (sky130_fd_sc_hd__a22o_1)
0.0777 0.3534 22.0901 v housekeeping/_6329_/X (sky130_fd_sc_hd__a22o_1)
1 0.0035 housekeeping/_3147_ (net)
0.0777 0.0001 22.0902 v housekeeping/_6330_/C1 (sky130_fd_sc_hd__a221o_1)
0.1246 0.5538 22.6440 v housekeeping/_6330_/X (sky130_fd_sc_hd__a221o_1)
1 0.0062 housekeeping/_3148_ (net)
0.1246 0.0003 22.6443 v housekeeping/_6331_/A0 (sky130_fd_sc_hd__mux2_1)
0.1124 0.6774 23.3217 v housekeeping/_6331_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 housekeeping/_0786_ (net)
0.1124 0.0001 23.3218 v housekeeping/_7143_/D (sky130_fd_sc_hd__dfrtp_1)
23.3218 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 30.8721 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3073 31.1794 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0032 31.1826 ^ housekeeping/_7143_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.0826 clock uncertainty
1.2772 32.3598 clock reconvergence pessimism
-0.2649 32.0949 library setup time
32.0949 data required time
-------------------------------------------------------------------------------------
32.0949 data required time
-23.3218 data arrival time
-------------------------------------------------------------------------------------
8.7732 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30331_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4166 0.0257 26.4134 ^ soc/_23350_/D (sky130_fd_sc_hd__and4bb_2)
0.4242 0.9157 27.3292 ^ soc/_23350_/X (sky130_fd_sc_hd__and4bb_2)
4 0.0432 soc/_10865_ (net)
0.4242 0.0032 27.3324 ^ soc/_23351_/A2 (sky130_fd_sc_hd__o21a_1)
0.1825 0.4235 27.7558 ^ soc/_23351_/X (sky130_fd_sc_hd__o21a_1)
1 0.0107 soc/_02444_ (net)
0.1825 0.0004 27.7563 ^ soc/_30331_/D (sky130_fd_sc_hd__dfxtp_2)
27.7563 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6321 0.0173 35.0458 ^ soc/clkbuf_leaf_389_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1048 0.4727 35.5185 ^ soc/clkbuf_leaf_389_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
10 0.0430 soc/clknet_leaf_389_core_clk (net)
0.1048 0.0004 35.5189 ^ soc/_30331_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4189 clock uncertainty
1.2772 36.6961 clock reconvergence pessimism
-0.1645 36.5316 library setup time
36.5316 data required time
-------------------------------------------------------------------------------------
36.5316 data required time
-27.7563 data arrival time
-------------------------------------------------------------------------------------
8.7754 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30334_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4166 0.0257 26.4134 ^ soc/_23350_/D (sky130_fd_sc_hd__and4bb_2)
0.4242 0.9157 27.3292 ^ soc/_23350_/X (sky130_fd_sc_hd__and4bb_2)
4 0.0432 soc/_10865_ (net)
0.4242 0.0012 27.3303 ^ soc/_23356_/A1 (sky130_fd_sc_hd__o21a_1)
0.1633 0.4492 27.7795 ^ soc/_23356_/X (sky130_fd_sc_hd__o21a_1)
1 0.0093 soc/_02446_ (net)
0.1633 0.0005 27.7801 ^ soc/_30334_/D (sky130_fd_sc_hd__dfxtp_2)
27.7801 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6314 0.0033 35.0318 ^ soc/clkbuf_leaf_386_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1459 0.5046 35.5365 ^ soc/clkbuf_leaf_386_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0745 soc/clknet_leaf_386_core_clk (net)
0.1461 0.0042 35.5406 ^ soc/_30334_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4406 clock uncertainty
1.2772 36.7179 clock reconvergence pessimism
-0.1522 36.5656 library setup time
36.5656 data required time
-------------------------------------------------------------------------------------
36.5656 data required time
-27.7801 data arrival time
-------------------------------------------------------------------------------------
8.7856 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30449_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3030 0.0288 25.8603 ^ soc/_23525_/S (sky130_fd_sc_hd__mux2_2)
0.2560 0.9928 26.8531 v soc/_23525_/X (sky130_fd_sc_hd__mux2_2)
2 0.0410 soc/_10956_ (net)
0.2562 0.0059 26.8590 v soc/_23526_/A1 (sky130_fd_sc_hd__mux2_1)
0.1446 0.8042 27.6632 v soc/_23526_/X (sky130_fd_sc_hd__mux2_1)
1 0.0064 soc/_02528_ (net)
0.1446 0.0002 27.6634 v soc/_30449_/D (sky130_fd_sc_hd__dfxtp_4)
27.6634 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6318 0.0126 35.0411 ^ soc/clkbuf_leaf_393_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1589 0.5158 35.5569 ^ soc/clkbuf_leaf_393_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0842 soc/clknet_leaf_393_core_clk (net)
0.1590 0.0019 35.5589 ^ soc/_30449_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4589 clock uncertainty
1.2772 36.7361 clock reconvergence pessimism
-0.2799 36.4562 library setup time
36.4562 data required time
-------------------------------------------------------------------------------------
36.4562 data required time
-27.6634 data arrival time
-------------------------------------------------------------------------------------
8.7927 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30422_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5567 0.0030 25.6806 ^ soc/_23471_/S (sky130_fd_sc_hd__mux2_1)
0.2084 1.0175 26.6980 v soc/_23471_/X (sky130_fd_sc_hd__mux2_1)
1 0.0139 soc/_10929_ (net)
0.2084 0.0009 26.6989 v soc/_23472_/A1 (sky130_fd_sc_hd__mux2_1)
0.2747 0.9397 27.6386 v soc/_23472_/X (sky130_fd_sc_hd__mux2_1)
1 0.0223 soc/_02501_ (net)
0.2747 0.0015 27.6401 v soc/_30422_/D (sky130_fd_sc_hd__dfxtp_4)
27.6401 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6035 0.0112 35.1113 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1678 0.5111 35.6224 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0910 soc/clknet_leaf_358_core_clk (net)
0.1681 0.0050 35.6274 ^ soc/_30422_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.5274 clock uncertainty
1.2772 36.8046 clock reconvergence pessimism
-0.3309 36.4737 library setup time
36.4737 data required time
-------------------------------------------------------------------------------------
36.4737 data required time
-27.6401 data arrival time
-------------------------------------------------------------------------------------
8.8336 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29359_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3241 0.0184 26.2855 ^ soc/_20861_/S (sky130_fd_sc_hd__mux2_1)
0.2110 0.9550 27.2405 v soc/_20861_/X (sky130_fd_sc_hd__mux2_1)
1 0.0142 soc/_01591_ (net)
0.2110 0.0010 27.2415 v soc/_29359_/D (sky130_fd_sc_hd__dfxtp_2)
27.2415 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4138 0.0067 34.8899 ^ soc/clkbuf_leaf_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0629 0.3460 35.2359 ^ soc/clkbuf_leaf_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
3 0.0132 soc/clknet_leaf_1_core_clk (net)
0.0629 0.0004 35.2363 ^ soc/_29359_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1363 clock uncertainty
1.2772 36.4135 clock reconvergence pessimism
-0.3349 36.0786 library setup time
36.0786 data required time
-------------------------------------------------------------------------------------
36.0786 data required time
-27.2415 data arrival time
-------------------------------------------------------------------------------------
8.8371 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30423_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5573 0.0157 25.6933 ^ soc/_23473_/S (sky130_fd_sc_hd__mux2_1)
0.1595 0.9493 26.6426 v soc/_23473_/X (sky130_fd_sc_hd__mux2_1)
1 0.0081 soc/_10930_ (net)
0.1595 0.0004 26.6429 v soc/_23474_/A1 (sky130_fd_sc_hd__mux2_1)
0.2397 0.8842 27.5272 v soc/_23474_/X (sky130_fd_sc_hd__mux2_1)
1 0.0179 soc/_02502_ (net)
0.2397 0.0013 27.5285 v soc/_30423_/D (sky130_fd_sc_hd__dfxtp_1)
27.5285 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4958 0.0228 35.0460 ^ soc/clkbuf_leaf_9_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1585 0.4629 35.5089 ^ soc/clkbuf_leaf_9_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0851 soc/clknet_leaf_9_core_clk (net)
0.1585 0.0016 35.5104 ^ soc/_30423_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4104 clock uncertainty
1.2772 36.6877 clock reconvergence pessimism
-0.3156 36.3721 library setup time
36.3721 data required time
-------------------------------------------------------------------------------------
36.3721 data required time
-27.5285 data arrival time
-------------------------------------------------------------------------------------
8.8436 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28391_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3149 0.0216 26.7791 ^ soc/_19125_/C1 (sky130_fd_sc_hd__o211a_1)
0.3630 0.6238 27.4029 ^ soc/_19125_/X (sky130_fd_sc_hd__o211a_1)
2 0.0265 soc/_08419_ (net)
0.3630 0.0029 27.4058 ^ soc/_19126_/B1 (sky130_fd_sc_hd__a21o_1)
0.0872 0.2673 27.6731 ^ soc/_19126_/X (sky130_fd_sc_hd__a21o_1)
1 0.0045 soc/_00665_ (net)
0.0872 0.0002 27.6733 ^ soc/_28391_/D (sky130_fd_sc_hd__dfxtp_2)
27.6733 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6314 0.0038 35.0323 ^ soc/clkbuf_leaf_377_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1209 0.4855 35.5178 ^ soc/clkbuf_leaf_377_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0557 soc/clknet_leaf_377_core_clk (net)
0.1210 0.0028 35.5206 ^ soc/_28391_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4206 clock uncertainty
1.2772 36.6979 clock reconvergence pessimism
-0.1367 36.5612 library setup time
36.5612 data required time
-------------------------------------------------------------------------------------
36.5612 data required time
-27.6733 data arrival time
-------------------------------------------------------------------------------------
8.8879 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28388_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4162 0.0232 26.4109 ^ soc/_19063_/C1 (sky130_fd_sc_hd__o211a_1)
0.3708 0.6691 27.0800 ^ soc/_19063_/X (sky130_fd_sc_hd__o211a_1)
2 0.0271 soc/_08360_ (net)
0.3709 0.0032 27.0832 ^ soc/_19064_/B1 (sky130_fd_sc_hd__a21o_1)
0.1649 0.3309 27.4140 ^ soc/_19064_/X (sky130_fd_sc_hd__a21o_1)
1 0.0108 soc/_00662_ (net)
0.1649 0.0006 27.4147 ^ soc/_28388_/D (sky130_fd_sc_hd__dfxtp_4)
27.4147 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4146 0.0153 34.8984 ^ soc/clkbuf_leaf_397_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1215 0.4031 35.3015 ^ soc/clkbuf_leaf_397_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0586 soc/clknet_leaf_397_core_clk (net)
0.1215 0.0017 35.3032 ^ soc/_28388_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.2032 clock uncertainty
1.2772 36.4804 clock reconvergence pessimism
-0.1571 36.3233 library setup time
36.3233 data required time
-------------------------------------------------------------------------------------
36.3233 data required time
-27.4147 data arrival time
-------------------------------------------------------------------------------------
8.9086 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29365_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3147 0.0204 26.7780 ^ soc/_20873_/S (sky130_fd_sc_hd__mux2_1)
0.1102 0.8085 27.5865 v soc/_20873_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_01597_ (net)
0.1102 0.0001 27.5866 v soc/_29365_/D (sky130_fd_sc_hd__dfxtp_2)
27.5866 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6319 0.0141 35.0426 ^ soc/clkbuf_leaf_395_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.2051 0.5508 35.5934 ^ soc/clkbuf_leaf_395_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
46 0.1180 soc/clknet_leaf_395_core_clk (net)
0.2051 0.0029 35.5963 ^ soc/_29365_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4963 clock uncertainty
1.2772 36.7736 clock reconvergence pessimism
-0.2545 36.5191 library setup time
36.5191 data required time
-------------------------------------------------------------------------------------
36.5191 data required time
-27.5866 data arrival time
-------------------------------------------------------------------------------------
8.9325 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29366_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3385 0.0360 26.1895 ^ soc/_20875_/S (sky130_fd_sc_hd__mux2_1)
0.1391 0.8601 27.0496 v soc/_20875_/X (sky130_fd_sc_hd__mux2_1)
1 0.0057 soc/_01598_ (net)
0.1391 0.0002 27.0498 v soc/_29366_/D (sky130_fd_sc_hd__dfxtp_1)
27.0498 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0015 34.1820 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.2132 34.3952 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0056 soc/clknet_5_21_0_core_clk (net)
0.0510 0.0002 34.3954 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3995 0.4437 34.8391 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1495 soc/clknet_5_21_1_core_clk (net)
0.3998 0.0090 34.8481 ^ soc/clkbuf_leaf_300_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0980 0.3779 35.2260 ^ soc/clkbuf_leaf_300_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
11 0.0404 soc/clknet_leaf_300_core_clk (net)
0.0981 0.0015 35.2274 ^ soc/_29366_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1274 clock uncertainty
1.2772 36.4047 clock reconvergence pessimism
-0.2901 36.1146 library setup time
36.1146 data required time
-------------------------------------------------------------------------------------
36.1146 data required time
-27.0498 data arrival time
-------------------------------------------------------------------------------------
9.0648 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29360_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3241 0.0189 26.2859 ^ soc/_20863_/S (sky130_fd_sc_hd__mux2_1)
0.1295 0.8412 27.1271 v soc/_20863_/X (sky130_fd_sc_hd__mux2_1)
1 0.0045 soc/_01592_ (net)
0.1295 0.0002 27.1273 v soc/_29360_/D (sky130_fd_sc_hd__dfxtp_1)
27.1273 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4137 0.0038 34.8869 ^ soc/clkbuf_leaf_369_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1314 0.4106 35.2975 ^ soc/clkbuf_leaf_369_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0659 soc/clknet_leaf_369_core_clk (net)
0.1314 0.0014 35.2988 ^ soc/_29360_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1988 clock uncertainty
1.2772 36.4761 clock reconvergence pessimism
-0.2771 36.1989 library setup time
36.1989 data required time
-------------------------------------------------------------------------------------
36.1989 data required time
-27.1273 data arrival time
-------------------------------------------------------------------------------------
9.0716 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29362_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3240 0.0178 26.2849 ^ soc/_20867_/S (sky130_fd_sc_hd__mux2_1)
0.1134 0.8169 27.1018 v soc/_20867_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_01594_ (net)
0.1134 0.0001 27.1019 v soc/_29362_/D (sky130_fd_sc_hd__dfxtp_1)
27.1019 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4139 0.0075 34.8906 ^ soc/clkbuf_leaf_3_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1044 0.3894 35.2800 ^ soc/clkbuf_leaf_3_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0457 soc/clknet_leaf_3_core_clk (net)
0.1045 0.0019 35.2819 ^ soc/_29362_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1819 clock uncertainty
1.2772 36.4591 clock reconvergence pessimism
-0.2777 36.1814 library setup time
36.1814 data required time
-------------------------------------------------------------------------------------
36.1814 data required time
-27.1019 data arrival time
-------------------------------------------------------------------------------------
9.0795 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29376_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3371 0.0314 26.1849 ^ soc/_20895_/S (sky130_fd_sc_hd__mux2_1)
0.1114 0.8173 27.0021 v soc/_20895_/X (sky130_fd_sc_hd__mux2_1)
1 0.0029 soc/_01608_ (net)
0.1114 0.0001 27.0022 v soc/_29376_/D (sky130_fd_sc_hd__dfxtp_1)
27.0022 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0015 34.1820 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.2132 34.3952 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0056 soc/clknet_5_21_0_core_clk (net)
0.0510 0.0002 34.3954 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3995 0.4437 34.8391 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1495 soc/clknet_5_21_1_core_clk (net)
0.3995 0.0041 34.8432 ^ soc/clkbuf_leaf_302_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0929 0.3724 35.2156 ^ soc/clkbuf_leaf_302_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0367 soc/clknet_leaf_302_core_clk (net)
0.0929 0.0017 35.2173 ^ soc/_29376_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1173 clock uncertainty
1.2772 36.3946 clock reconvergence pessimism
-0.2800 36.1146 library setup time
36.1146 data required time
-------------------------------------------------------------------------------------
36.1146 data required time
-27.0022 data arrival time
-------------------------------------------------------------------------------------
9.1123 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30034_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3386 0.0362 26.1897 ^ soc/_22534_/S (sky130_fd_sc_hd__mux2_1)
0.1267 0.8415 27.0312 v soc/_22534_/X (sky130_fd_sc_hd__mux2_1)
1 0.0043 soc/_02159_ (net)
0.1267 0.0002 27.0314 v soc/_30034_/D (sky130_fd_sc_hd__dfxtp_1)
27.0314 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0015 34.1820 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.2132 34.3952 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0056 soc/clknet_5_21_0_core_clk (net)
0.0510 0.0002 34.3954 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3995 0.4437 34.8391 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1495 soc/clknet_5_21_1_core_clk (net)
0.4000 0.0111 34.8502 ^ soc/clkbuf_leaf_299_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1307 0.4048 35.2550 ^ soc/clkbuf_leaf_299_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0656 soc/clknet_leaf_299_core_clk (net)
0.1307 0.0011 35.2561 ^ soc/_30034_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1561 clock uncertainty
1.2772 36.4333 clock reconvergence pessimism
-0.2762 36.1571 library setup time
36.1571 data required time
-------------------------------------------------------------------------------------
36.1571 data required time
-27.0314 data arrival time
-------------------------------------------------------------------------------------
9.1258 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30046_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3372 0.0318 26.1853 ^ soc/_22546_/S (sky130_fd_sc_hd__mux2_1)
0.1021 0.7997 26.9851 v soc/_22546_/X (sky130_fd_sc_hd__mux2_1)
1 0.0019 soc/_02171_ (net)
0.1021 0.0001 26.9851 v soc/_30046_/D (sky130_fd_sc_hd__dfxtp_4)
26.9851 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0015 34.1820 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.2132 34.3952 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0056 soc/clknet_5_21_0_core_clk (net)
0.0510 0.0002 34.3954 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3995 0.4437 34.8391 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1495 soc/clknet_5_21_1_core_clk (net)
0.3995 0.0041 34.8432 ^ soc/clkbuf_leaf_302_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0929 0.3724 35.2156 ^ soc/clkbuf_leaf_302_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0367 soc/clknet_leaf_302_core_clk (net)
0.0930 0.0019 35.2176 ^ soc/_30046_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.1176 clock uncertainty
1.2772 36.3948 clock reconvergence pessimism
-0.2799 36.1150 library setup time
36.1150 data required time
-------------------------------------------------------------------------------------
36.1150 data required time
-26.9851 data arrival time
-------------------------------------------------------------------------------------
9.1298 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30022_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4160 0.0217 26.4095 ^ soc/_22522_/S (sky130_fd_sc_hd__mux2_1)
0.1220 0.8564 27.2658 v soc/_22522_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_02147_ (net)
0.1220 0.0001 27.2660 v soc/_30022_/D (sky130_fd_sc_hd__dfxtp_1)
27.2660 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6316 0.0089 35.0374 ^ soc/clkbuf_leaf_396_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1368 0.4983 35.5358 ^ soc/clkbuf_leaf_396_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0677 soc/clknet_leaf_396_core_clk (net)
0.1369 0.0016 35.5374 ^ soc/_30022_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4374 clock uncertainty
1.2772 36.7146 clock reconvergence pessimism
-0.2726 36.4421 library setup time
36.4421 data required time
-------------------------------------------------------------------------------------
36.4421 data required time
-27.2660 data arrival time
-------------------------------------------------------------------------------------
9.1761 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28394_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3244 0.0202 26.2872 ^ soc/wire1411/A (sky130_fd_sc_hd__buf_6)
0.3128 0.4703 26.7576 ^ soc/wire1411/X (sky130_fd_sc_hd__buf_6)
14 0.0974 soc/net1411 (net)
0.3150 0.0219 26.7794 ^ soc/_19188_/B1 (sky130_fd_sc_hd__o311a_1)
0.4443 0.7283 27.5078 ^ soc/_19188_/X (sky130_fd_sc_hd__o311a_1)
2 0.0272 soc/_08479_ (net)
0.4443 0.0021 27.5098 ^ soc/_19189_/B1 (sky130_fd_sc_hd__a21o_1)
0.0852 0.2897 27.7995 ^ soc/_19189_/X (sky130_fd_sc_hd__a21o_1)
1 0.0044 soc/_00668_ (net)
0.0852 0.0002 27.7997 ^ soc/_28394_/D (sky130_fd_sc_hd__dfxtp_2)
27.7997 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6321 0.0169 35.0454 ^ soc/clkbuf_opt_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0778 0.4429 35.4883 ^ soc/clkbuf_opt_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0218 soc/clknet_opt_1_0_core_clk (net)
0.0778 0.0016 35.4899 ^ soc/clkbuf_opt_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0600 0.2084 35.6983 ^ soc/clkbuf_opt_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0141 soc/clknet_opt_1_1_core_clk (net)
0.0600 0.0007 35.6991 ^ soc/clkbuf_leaf_399_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1246 0.2603 35.9594 ^ soc/clkbuf_leaf_399_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0630 soc/clknet_leaf_399_core_clk (net)
0.1246 0.0010 35.9604 ^ soc/_28394_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.8604 clock uncertainty
1.2772 37.1376 clock reconvergence pessimism
-0.1356 37.0021 library setup time
37.0021 data required time
-------------------------------------------------------------------------------------
37.0021 data required time
-27.7997 data arrival time
-------------------------------------------------------------------------------------
9.2024 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30311_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2192 0.0338 26.5167 v soc/_23333_/S (sky130_fd_sc_hd__mux2_1)
0.1064 0.7683 27.2850 v soc/_23333_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_02435_ (net)
0.1064 0.0001 27.2850 v soc/_30311_/D (sky130_fd_sc_hd__dfxtp_1)
27.2850 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6823 0.0248 35.0856 ^ soc/clkbuf_leaf_341_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1175 0.4952 35.5808 ^ soc/clkbuf_leaf_341_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0522 soc/clknet_leaf_341_core_clk (net)
0.1176 0.0020 35.5828 ^ soc/_30311_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4828 clock uncertainty
1.2772 36.7600 clock reconvergence pessimism
-0.2713 36.4888 library setup time
36.4888 data required time
-------------------------------------------------------------------------------------
36.4888 data required time
-27.2850 data arrival time
-------------------------------------------------------------------------------------
9.2037 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30425_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3071 0.0236 25.1195 ^ soc/max_length1417/A (sky130_fd_sc_hd__buf_6)
0.3557 0.4980 25.6176 ^ soc/max_length1417/X (sky130_fd_sc_hd__buf_6)
14 0.1125 soc/net1417 (net)
0.3574 0.0207 25.6383 ^ soc/_23477_/S (sky130_fd_sc_hd__mux2_1)
0.1656 0.9010 26.5393 v soc/_23477_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 soc/_10932_ (net)
0.1656 0.0005 26.5398 v soc/_23478_/A1 (sky130_fd_sc_hd__mux2_1)
0.1150 0.7213 27.2611 v soc/_23478_/X (sky130_fd_sc_hd__mux2_1)
1 0.0033 soc/_02504_ (net)
0.1150 0.0001 27.2612 v soc/_30425_/D (sky130_fd_sc_hd__dfxtp_4)
27.2612 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6307 0.0156 35.0608 ^ soc/clkbuf_leaf_253_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1372 0.4980 35.5588 ^ soc/clkbuf_leaf_253_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0680 soc/clknet_leaf_253_core_clk (net)
0.1374 0.0042 35.5630 ^ soc/_30425_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4630 clock uncertainty
1.2772 36.7403 clock reconvergence pessimism
-0.2735 36.4668 library setup time
36.4668 data required time
-------------------------------------------------------------------------------------
36.4668 data required time
-27.2612 data arrival time
-------------------------------------------------------------------------------------
9.2056 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30314_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2195 0.0343 26.5172 v soc/_23336_/S (sky130_fd_sc_hd__mux2_1)
0.1034 0.7628 27.2800 v soc/_23336_/X (sky130_fd_sc_hd__mux2_1)
1 0.0021 soc/_02438_ (net)
0.1034 0.0001 27.2801 v soc/_30314_/D (sky130_fd_sc_hd__dfxtp_1)
27.2801 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6823 0.0248 35.0856 ^ soc/clkbuf_leaf_341_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1175 0.4952 35.5808 ^ soc/clkbuf_leaf_341_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0522 soc/clknet_leaf_341_core_clk (net)
0.1175 0.0012 35.5820 ^ soc/_30314_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4820 clock uncertainty
1.2772 36.7592 clock reconvergence pessimism
-0.2701 36.4892 library setup time
36.4892 data required time
-------------------------------------------------------------------------------------
36.4892 data required time
-27.2801 data arrival time
-------------------------------------------------------------------------------------
9.2091 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7144_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2436 0.0449 16.0055 v soc/_14206_/A2 (sky130_fd_sc_hd__o21ai_4)
1.1265 1.0190 17.0245 ^ soc/_14206_/Y (sky130_fd_sc_hd__o21ai_4)
8 0.1026 soc/_13674_ (net)
1.1265 0.0067 17.0312 ^ soc/wire2638/A (sky130_fd_sc_hd__buf_6)
0.4029 0.7475 17.7786 ^ soc/wire2638/X (sky130_fd_sc_hd__buf_6)
6 0.1270 soc/net2638 (net)
0.4089 0.0409 17.8195 ^ soc/max_length2637/A (sky130_fd_sc_hd__buf_4)
0.3723 0.5899 18.4094 ^ soc/max_length2637/X (sky130_fd_sc_hd__buf_4)
4 0.0838 soc/net2637 (net)
0.3734 0.0168 18.4262 ^ soc/wire2636/A (sky130_fd_sc_hd__buf_6)
0.5740 0.6606 19.0868 ^ soc/wire2636/X (sky130_fd_sc_hd__buf_6)
11 0.1845 soc/net2636 (net)
0.5800 0.0495 19.1363 ^ soc/_14207_/A (sky130_fd_sc_hd__inv_12)
0.1279 0.2151 19.3514 v soc/_14207_/Y (sky130_fd_sc_hd__inv_12)
4 0.0458 soc/net670 (net)
0.1279 0.0025 19.3539 v soc/wire2335/A (sky130_fd_sc_hd__buf_6)
0.2123 0.3767 19.7306 v soc/wire2335/X (sky130_fd_sc_hd__buf_6)
4 0.1353 soc/net2335 (net)
0.2306 0.0499 19.7806 v soc/wire2334/A (sky130_fd_sc_hd__buf_6)
0.1767 0.4039 20.1844 v soc/wire2334/X (sky130_fd_sc_hd__buf_6)
2 0.1040 soc/net2334 (net)
0.1844 0.0299 20.2143 v soc/wire2333/A (sky130_fd_sc_hd__buf_12)
0.1648 0.3591 20.5734 v soc/wire2333/X (sky130_fd_sc_hd__buf_12)
5 0.1739 soc/net2333 (net)
0.2235 0.0797 20.6532 v soc/wire2332/A (sky130_fd_sc_hd__buf_6)
0.1452 0.3812 21.0344 v soc/wire2332/X (sky130_fd_sc_hd__buf_6)
2 0.0829 soc/net2332 (net)
0.1492 0.0198 21.0542 v soc/output670/A (sky130_fd_sc_hd__buf_12)
0.1359 0.3524 21.4066 v soc/output670/X (sky130_fd_sc_hd__buf_12)
3 0.1372 mprj_dat_o_core[3] (net)
0.1384 0.0154 21.4220 v housekeeping/input157/A (sky130_fd_sc_hd__clkbuf_1)
0.1325 0.2694 21.6915 v housekeeping/input157/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0107 housekeeping/net157 (net)
0.1325 0.0004 21.6919 v housekeeping/_6333_/B1 (sky130_fd_sc_hd__a221o_1)
0.1101 0.6086 22.3005 v housekeeping/_6333_/X (sky130_fd_sc_hd__a221o_1)
1 0.0044 housekeeping/_3150_ (net)
0.1101 0.0002 22.3006 v housekeeping/_6334_/A0 (sky130_fd_sc_hd__mux2_1)
0.1068 0.6612 22.9619 v housekeeping/_6334_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 housekeeping/_0787_ (net)
0.1068 0.0001 22.9619 v housekeeping/_7144_/D (sky130_fd_sc_hd__dfrtp_1)
22.9619 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0030 31.2376 ^ housekeeping/_7144_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1376 clock uncertainty
1.2772 32.4148 clock reconvergence pessimism
-0.2420 32.1729 library setup time
32.1729 data required time
-------------------------------------------------------------------------------------
32.1729 data required time
-22.9619 data arrival time
-------------------------------------------------------------------------------------
9.2109 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28381_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5587 0.0285 25.7061 ^ soc/max_length1412/A (sky130_fd_sc_hd__buf_6)
0.3226 0.5610 26.2671 ^ soc/max_length1412/X (sky130_fd_sc_hd__buf_6)
16 0.1006 soc/net1412 (net)
0.3236 0.0154 26.2825 ^ soc/_18913_/C1 (sky130_fd_sc_hd__o211a_1)
0.5540 0.7705 27.0530 ^ soc/_18913_/X (sky130_fd_sc_hd__o211a_1)
2 0.0418 soc/_08217_ (net)
0.5541 0.0064 27.0594 ^ soc/_18914_/B1 (sky130_fd_sc_hd__a21o_1)
0.0640 0.2954 27.3548 ^ soc/_18914_/X (sky130_fd_sc_hd__a21o_1)
1 0.0022 soc/_00655_ (net)
0.0640 0.0001 27.3548 ^ soc/_28381_/D (sky130_fd_sc_hd__dfxtp_1)
27.3548 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1877 0.0030 35.5303 ^ soc/_28381_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4303 clock uncertainty
1.2772 36.7076 clock reconvergence pessimism
-0.1069 36.6006 library setup time
36.6006 data required time
-------------------------------------------------------------------------------------
36.6006 data required time
-27.3548 data arrival time
-------------------------------------------------------------------------------------
9.2458 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28393_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3026 0.0273 25.8588 ^ soc/_19166_/C1 (sky130_fd_sc_hd__o211a_2)
0.5931 0.8798 26.7386 ^ soc/_19166_/X (sky130_fd_sc_hd__o211a_2)
2 0.0666 soc/_08458_ (net)
0.5935 0.0135 26.7521 ^ soc/_19167_/B1 (sky130_fd_sc_hd__a21o_1)
0.0769 0.3212 27.0733 ^ soc/_19167_/X (sky130_fd_sc_hd__a21o_1)
1 0.0035 soc/_00667_ (net)
0.0769 0.0001 27.0734 ^ soc/_28393_/D (sky130_fd_sc_hd__dfxtp_1)
27.0734 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4146 0.0153 34.8984 ^ soc/clkbuf_leaf_397_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1215 0.4031 35.3015 ^ soc/clkbuf_leaf_397_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0586 soc/clknet_leaf_397_core_clk (net)
0.1216 0.0025 35.3040 ^ soc/_28393_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.2040 clock uncertainty
1.2772 36.4812 clock reconvergence pessimism
-0.1214 36.3598 library setup time
36.3598 data required time
-------------------------------------------------------------------------------------
36.3598 data required time
-27.0734 data arrival time
-------------------------------------------------------------------------------------
9.2864 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30443_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2363 0.0116 25.4206 ^ soc/_23513_/S (sky130_fd_sc_hd__mux2_1)
0.3171 1.0373 26.4579 v soc/_23513_/X (sky130_fd_sc_hd__mux2_1)
2 0.0274 soc/_10950_ (net)
0.3171 0.0029 26.4608 v soc/_23514_/A1 (sky130_fd_sc_hd__mux2_1)
0.1089 0.7768 27.2377 v soc/_23514_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_02522_ (net)
0.1089 0.0001 27.2378 v soc/_30443_/D (sky130_fd_sc_hd__dfxtp_4)
27.2378 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6035 0.0112 35.1113 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1678 0.5111 35.6224 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0910 soc/clknet_leaf_358_core_clk (net)
0.1680 0.0049 35.6272 ^ soc/_30443_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.5272 clock uncertainty
1.2772 36.8045 clock reconvergence pessimism
-0.2629 36.5416 library setup time
36.5416 data required time
-------------------------------------------------------------------------------------
36.5416 data required time
-27.2378 data arrival time
-------------------------------------------------------------------------------------
9.3038 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30433_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0032 25.4122 ^ soc/_23493_/S (sky130_fd_sc_hd__mux2_1)
0.1193 0.8024 26.2146 v soc/_23493_/X (sky130_fd_sc_hd__mux2_1)
1 0.0037 soc/_10940_ (net)
0.1193 0.0001 26.2147 v soc/_23494_/A1 (sky130_fd_sc_hd__mux2_1)
0.2623 0.8907 27.1054 v soc/_23494_/X (sky130_fd_sc_hd__mux2_1)
1 0.0208 soc/_02512_ (net)
0.2623 0.0019 27.1073 v soc/_30433_/D (sky130_fd_sc_hd__dfxtp_4)
27.1073 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6037 0.0139 35.1140 ^ soc/clkbuf_leaf_381_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1258 0.4793 35.5932 ^ soc/clkbuf_leaf_381_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0597 soc/clknet_leaf_381_core_clk (net)
0.1258 0.0016 35.5948 ^ soc/_30433_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4948 clock uncertainty
1.2772 36.7721 clock reconvergence pessimism
-0.3369 36.4351 library setup time
36.4351 data required time
-------------------------------------------------------------------------------------
36.4351 data required time
-27.1073 data arrival time
-------------------------------------------------------------------------------------
9.3278 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28387_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4162 0.0231 26.4109 ^ soc/_19043_/B1 (sky130_fd_sc_hd__o311a_1)
0.1342 0.5144 26.9253 ^ soc/_19043_/X (sky130_fd_sc_hd__o311a_1)
1 0.0061 soc/_08341_ (net)
0.1342 0.0002 26.9256 ^ soc/_19044_/B1 (sky130_fd_sc_hd__a21o_1)
0.2509 0.3157 27.2412 ^ soc/_19044_/X (sky130_fd_sc_hd__a21o_1)
1 0.0177 soc/_00661_ (net)
0.2509 0.0013 27.2426 ^ soc/_28387_/D (sky130_fd_sc_hd__dfxtp_1)
27.2426 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6318 0.0126 35.0411 ^ soc/clkbuf_leaf_393_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1589 0.5158 35.5569 ^ soc/clkbuf_leaf_393_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0842 soc/clknet_leaf_393_core_clk (net)
0.1590 0.0015 35.5584 ^ soc/_28387_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4584 clock uncertainty
1.2772 36.7356 clock reconvergence pessimism
-0.1602 36.5754 library setup time
36.5754 data required time
-------------------------------------------------------------------------------------
36.5754 data required time
-27.2426 data arrival time
-------------------------------------------------------------------------------------
9.3329 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30039_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3330 0.0039 26.1574 ^ soc/_22539_/S (sky130_fd_sc_hd__mux2_1)
0.2330 0.9808 27.1382 v soc/_22539_/X (sky130_fd_sc_hd__mux2_1)
1 0.0170 soc/_02164_ (net)
0.2330 0.0012 27.1394 v soc/_30039_/D (sky130_fd_sc_hd__dfxtp_4)
27.1394 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0122 35.0730 ^ soc/clkbuf_leaf_303_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1962 0.5557 35.6287 ^ soc/clkbuf_leaf_303_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
44 0.1108 soc/clknet_leaf_303_core_clk (net)
0.1962 0.0010 35.6297 ^ soc/_30039_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.5297 clock uncertainty
1.2772 36.8069 clock reconvergence pessimism
-0.3063 36.5006 library setup time
36.5006 data required time
-------------------------------------------------------------------------------------
36.5006 data required time
-27.1394 data arrival time
-------------------------------------------------------------------------------------
9.3612 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30309_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2184 0.0321 26.5150 v soc/_23331_/S (sky130_fd_sc_hd__mux2_1)
0.1113 0.7768 27.2918 v soc/_23331_/X (sky130_fd_sc_hd__mux2_1)
1 0.0029 soc/_02433_ (net)
0.1113 0.0001 27.2919 v soc/_30309_/D (sky130_fd_sc_hd__dfxtp_1)
27.2919 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7236 0.0103 35.1960 ^ soc/clkbuf_leaf_339_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1650 0.5429 35.7389 ^ soc/clkbuf_leaf_339_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0874 soc/clknet_leaf_339_core_clk (net)
0.1651 0.0030 35.7419 ^ soc/_30309_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6419 clock uncertainty
1.2772 36.9192 clock reconvergence pessimism
-0.2605 36.6587 library setup time
36.6587 data required time
-------------------------------------------------------------------------------------
36.6587 data required time
-27.2919 data arrival time
-------------------------------------------------------------------------------------
9.3667 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30310_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2169 0.0286 26.5115 v soc/_23332_/S (sky130_fd_sc_hd__mux2_1)
0.1114 0.7764 27.2880 v soc/_23332_/X (sky130_fd_sc_hd__mux2_1)
1 0.0029 soc/_02434_ (net)
0.1114 0.0001 27.2880 v soc/_30310_/D (sky130_fd_sc_hd__dfxtp_1)
27.2880 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7236 0.0103 35.1960 ^ soc/clkbuf_leaf_339_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1650 0.5429 35.7389 ^ soc/clkbuf_leaf_339_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0874 soc/clknet_leaf_339_core_clk (net)
0.1652 0.0037 35.7426 ^ soc/_30310_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6426 clock uncertainty
1.2772 36.9199 clock reconvergence pessimism
-0.2605 36.6593 library setup time
36.6593 data required time
-------------------------------------------------------------------------------------
36.6593 data required time
-27.2880 data arrival time
-------------------------------------------------------------------------------------
9.3713 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30308_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2189 0.0331 26.5160 v soc/_23330_/S (sky130_fd_sc_hd__mux2_1)
0.1062 0.7677 27.2837 v soc/_23330_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_02432_ (net)
0.1062 0.0001 27.2838 v soc/_30308_/D (sky130_fd_sc_hd__dfxtp_1)
27.2838 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7236 0.0103 35.1960 ^ soc/clkbuf_leaf_339_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1650 0.5429 35.7389 ^ soc/clkbuf_leaf_339_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0874 soc/clknet_leaf_339_core_clk (net)
0.1651 0.0018 35.7408 ^ soc/_30308_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6408 clock uncertainty
1.2772 36.9180 clock reconvergence pessimism
-0.2584 36.6596 library setup time
36.6596 data required time
-------------------------------------------------------------------------------------
36.6596 data required time
-27.2838 data arrival time
-------------------------------------------------------------------------------------
9.3758 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30298_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2159 0.0263 26.5092 v soc/_23320_/S (sky130_fd_sc_hd__mux2_1)
0.1047 0.7635 27.2727 v soc/_23320_/X (sky130_fd_sc_hd__mux2_1)
1 0.0022 soc/_02422_ (net)
0.1047 0.0001 27.2727 v soc/_30298_/D (sky130_fd_sc_hd__dfxtp_1)
27.2727 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7247 0.0249 35.2106 ^ soc/clkbuf_leaf_328_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1602 0.5391 35.7497 ^ soc/clkbuf_leaf_328_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0838 soc/clknet_leaf_328_core_clk (net)
0.1603 0.0035 35.7533 ^ soc/_30298_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6533 clock uncertainty
1.2772 36.9305 clock reconvergence pessimism
-0.2591 36.6714 library setup time
36.6714 data required time
-------------------------------------------------------------------------------------
36.6714 data required time
-27.2727 data arrival time
-------------------------------------------------------------------------------------
9.3987 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30315_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2157 0.0258 26.5087 v soc/_23337_/S (sky130_fd_sc_hd__mux2_1)
0.1055 0.7648 27.2736 v soc/_23337_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 soc/_02439_ (net)
0.1055 0.0001 27.2736 v soc/_30315_/D (sky130_fd_sc_hd__dfxtp_1)
27.2736 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7235 0.0078 35.1935 ^ soc/clkbuf_leaf_338_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1833 0.5559 35.7493 ^ soc/clkbuf_leaf_338_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.1008 soc/clknet_leaf_338_core_clk (net)
0.1835 0.0054 35.7547 ^ soc/_30315_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6547 clock uncertainty
1.2772 36.9319 clock reconvergence pessimism
-0.2531 36.6788 library setup time
36.6788 data required time
-------------------------------------------------------------------------------------
36.6788 data required time
-27.2736 data arrival time
-------------------------------------------------------------------------------------
9.4052 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30050_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3392 0.0380 26.1916 ^ soc/_22550_/S (sky130_fd_sc_hd__mux2_1)
0.1414 0.8638 27.0554 v soc/_22550_/X (sky130_fd_sc_hd__mux2_1)
1 0.0060 soc/_02175_ (net)
0.1414 0.0003 27.0557 v soc/_30050_/D (sky130_fd_sc_hd__dfxtp_4)
27.0557 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0108 35.0716 ^ soc/clkbuf_leaf_305_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1219 0.4977 35.5693 ^ soc/clkbuf_leaf_305_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0555 soc/clknet_leaf_305_core_clk (net)
0.1221 0.0039 35.5732 ^ soc/_30050_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4732 clock uncertainty
1.2772 36.7504 clock reconvergence pessimism
-0.2883 36.4621 library setup time
36.4621 data required time
-------------------------------------------------------------------------------------
36.4621 data required time
-27.0557 data arrival time
-------------------------------------------------------------------------------------
9.4064 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30047_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3383 0.0353 26.1889 ^ soc/_22547_/S (sky130_fd_sc_hd__mux2_1)
0.1382 0.8587 27.0476 v soc/_22547_/X (sky130_fd_sc_hd__mux2_1)
1 0.0056 soc/_02172_ (net)
0.1382 0.0002 27.0478 v soc/_30047_/D (sky130_fd_sc_hd__dfxtp_4)
27.0478 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0121 35.0728 ^ soc/clkbuf_leaf_301_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1334 0.5078 35.5806 ^ soc/clkbuf_leaf_301_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0644 soc/clknet_leaf_301_core_clk (net)
0.1335 0.0015 35.5821 ^ soc/_30047_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4821 clock uncertainty
1.2772 36.7593 clock reconvergence pessimism
-0.2840 36.4753 library setup time
36.4753 data required time
-------------------------------------------------------------------------------------
36.4753 data required time
-27.0478 data arrival time
-------------------------------------------------------------------------------------
9.4275 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30435_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5588 0.0289 25.7064 ^ soc/_23497_/S (sky130_fd_sc_hd__mux2_1)
0.1079 0.8716 26.5781 v soc/_23497_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_10942_ (net)
0.1079 0.0001 26.5781 v soc/_23498_/A1 (sky130_fd_sc_hd__mux2_1)
0.1176 0.7019 27.2801 v soc/_23498_/X (sky130_fd_sc_hd__mux2_1)
1 0.0035 soc/_02514_ (net)
0.1176 0.0001 27.2802 v soc/_30435_/D (sky130_fd_sc_hd__dfxtp_4)
27.2802 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1417 0.0014 33.9425 ^ soc/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1473 0.3106 34.2532 ^ soc/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0473 soc/clknet_4_3_0_core_clk (net)
0.1474 0.0032 34.2564 ^ soc/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2496 34.5060 ^ soc/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_6_0_core_clk (net)
0.0719 0.0009 34.5069 ^ soc/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8124 0.7397 35.2465 ^ soc/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
38 0.3162 soc/clknet_5_6_1_core_clk (net)
0.8128 0.0143 35.2609 ^ soc/clkbuf_leaf_6_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1442 0.5476 35.8085 ^ soc/clkbuf_leaf_6_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0705 soc/clknet_leaf_6_core_clk (net)
0.1443 0.0033 35.8118 ^ soc/_30435_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.7118 clock uncertainty
1.2772 36.9891 clock reconvergence pessimism
-0.2727 36.7163 library setup time
36.7163 data required time
-------------------------------------------------------------------------------------
36.7163 data required time
-27.2802 data arrival time
-------------------------------------------------------------------------------------
9.4361 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30036_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3403 0.0411 26.1946 ^ soc/_22536_/S (sky130_fd_sc_hd__mux2_1)
0.1120 0.8190 27.0137 v soc/_22536_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_02161_ (net)
0.1120 0.0001 27.0137 v soc/_30036_/D (sky130_fd_sc_hd__dfxtp_1)
27.0137 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6810 0.0051 35.0658 ^ soc/clkbuf_leaf_306_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1042 0.4838 35.5496 ^ soc/clkbuf_leaf_306_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0416 soc/clknet_leaf_306_core_clk (net)
0.1042 0.0008 35.5504 ^ soc/_30036_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4504 clock uncertainty
1.2772 36.7276 clock reconvergence pessimism
-0.2772 36.4504 library setup time
36.4504 data required time
-------------------------------------------------------------------------------------
36.4504 data required time
-27.0137 data arrival time
-------------------------------------------------------------------------------------
9.4367 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30448_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0039 24.5342 ^ soc/fanout1418/A (sky130_fd_sc_hd__buf_12)
0.5153 0.7073 25.2414 ^ soc/fanout1418/X (sky130_fd_sc_hd__buf_12)
40 0.2939 soc/net1418 (net)
0.5154 0.0055 25.2470 ^ soc/_23523_/S (sky130_fd_sc_hd__mux2_2)
0.3090 1.1102 26.3571 v soc/_23523_/X (sky130_fd_sc_hd__mux2_2)
2 0.0530 soc/_10955_ (net)
0.3093 0.0084 26.3655 v soc/_23524_/A1 (sky130_fd_sc_hd__mux2_1)
0.1273 0.8026 27.1681 v soc/_23524_/X (sky130_fd_sc_hd__mux2_1)
1 0.0043 soc/_02527_ (net)
0.1273 0.0002 27.1682 v soc/_30448_/D (sky130_fd_sc_hd__dfxtp_4)
27.1682 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7236 0.0110 35.1967 ^ soc/clkbuf_leaf_345_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1281 0.5138 35.7106 ^ soc/clkbuf_leaf_345_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0596 soc/clknet_leaf_345_core_clk (net)
0.1281 0.0020 35.7125 ^ soc/_30448_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.6125 clock uncertainty
1.2772 36.8898 clock reconvergence pessimism
-0.2810 36.6088 library setup time
36.6088 data required time
-------------------------------------------------------------------------------------
36.6088 data required time
-27.1682 data arrival time
-------------------------------------------------------------------------------------
9.4406 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30049_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3393 0.0383 26.1919 ^ soc/_22549_/S (sky130_fd_sc_hd__mux2_1)
0.1188 0.8302 27.0220 v soc/_22549_/X (sky130_fd_sc_hd__mux2_1)
1 0.0036 soc/_02174_ (net)
0.1188 0.0001 27.0221 v soc/_30049_/D (sky130_fd_sc_hd__dfxtp_2)
27.0221 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0108 35.0716 ^ soc/clkbuf_leaf_305_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1219 0.4977 35.5693 ^ soc/clkbuf_leaf_305_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0555 soc/clknet_leaf_305_core_clk (net)
0.1221 0.0037 35.5729 ^ soc/_30049_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4729 clock uncertainty
1.2772 36.7502 clock reconvergence pessimism
-0.2805 36.4696 library setup time
36.4696 data required time
-------------------------------------------------------------------------------------
36.4696 data required time
-27.0221 data arrival time
-------------------------------------------------------------------------------------
9.4475 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30427_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.2995 0.0100 25.8415 ^ soc/_23481_/S (sky130_fd_sc_hd__mux2_1)
0.1225 0.8247 26.6663 v soc/_23481_/X (sky130_fd_sc_hd__mux2_1)
1 0.0039 soc/_10934_ (net)
0.1225 0.0001 26.6664 v soc/_23482_/A1 (sky130_fd_sc_hd__mux2_1)
0.1096 0.6941 27.3605 v soc/_23482_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_02506_ (net)
0.1096 0.0001 27.3606 v soc/_30427_/D (sky130_fd_sc_hd__dfxtp_4)
27.3606 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8863 0.0224 35.3253 ^ soc/clkbuf_leaf_331_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1680 0.5845 35.9098 ^ soc/clkbuf_leaf_331_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0873 soc/clknet_leaf_331_core_clk (net)
0.1684 0.0066 35.9164 ^ soc/_30427_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.8164 clock uncertainty
1.2772 37.0936 clock reconvergence pessimism
-0.2631 36.8305 library setup time
36.8305 data required time
-------------------------------------------------------------------------------------
36.8305 data required time
-27.3606 data arrival time
-------------------------------------------------------------------------------------
9.4700 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28398_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3059 0.0173 25.1132 ^ soc/_19274_/C1 (sky130_fd_sc_hd__o211a_2)
0.6333 0.9095 26.0227 ^ soc/_19274_/X (sky130_fd_sc_hd__o211a_2)
2 0.0714 soc/_08561_ (net)
0.6339 0.0153 26.0380 ^ soc/wire884/A (sky130_fd_sc_hd__buf_6)
0.2936 0.5651 26.6031 ^ soc/wire884/X (sky130_fd_sc_hd__buf_6)
2 0.0911 soc/net884 (net)
0.2967 0.0255 26.6286 ^ soc/_19275_/B1 (sky130_fd_sc_hd__a21o_1)
0.0748 0.2386 26.8672 ^ soc/_19275_/X (sky130_fd_sc_hd__a21o_1)
1 0.0036 soc/_00672_ (net)
0.0748 0.0001 26.8673 ^ soc/_28398_/D (sky130_fd_sc_hd__dfxtp_1)
26.8673 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4137 0.0038 34.8869 ^ soc/clkbuf_leaf_369_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1314 0.4106 35.2975 ^ soc/clkbuf_leaf_369_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0659 soc/clknet_leaf_369_core_clk (net)
0.1314 0.0013 35.2988 ^ soc/_28398_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.1988 clock uncertainty
1.2772 36.4760 clock reconvergence pessimism
-0.1193 36.3568 library setup time
36.3568 data required time
-------------------------------------------------------------------------------------
36.3568 data required time
-26.8673 data arrival time
-------------------------------------------------------------------------------------
9.4894 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29374_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3378 0.0337 26.1872 ^ soc/_20891_/S (sky130_fd_sc_hd__mux2_1)
0.1085 0.8119 26.9992 v soc/_20891_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 soc/_01606_ (net)
0.1085 0.0001 26.9992 v soc/_29374_/D (sky130_fd_sc_hd__dfxtp_1)
26.9992 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0121 35.0728 ^ soc/clkbuf_leaf_301_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1334 0.5078 35.5806 ^ soc/clkbuf_leaf_301_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0644 soc/clknet_leaf_301_core_clk (net)
0.1335 0.0013 35.5820 ^ soc/_29374_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4820 clock uncertainty
1.2772 36.7592 clock reconvergence pessimism
-0.2679 36.4913 library setup time
36.4913 data required time
-------------------------------------------------------------------------------------
36.4913 data required time
-26.9992 data arrival time
-------------------------------------------------------------------------------------
9.4921 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30045_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3335 0.0126 26.1661 ^ soc/_22545_/S (sky130_fd_sc_hd__mux2_1)
0.1159 0.8241 26.9902 v soc/_22545_/X (sky130_fd_sc_hd__mux2_1)
1 0.0034 soc/_02170_ (net)
0.1159 0.0001 26.9903 v soc/_30045_/D (sky130_fd_sc_hd__dfxtp_1)
26.9903 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6811 0.0093 35.0700 ^ soc/clkbuf_leaf_304_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1322 0.5066 35.5766 ^ soc/clkbuf_leaf_304_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0635 soc/clknet_leaf_304_core_clk (net)
0.1323 0.0017 35.5784 ^ soc/_30045_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4784 clock uncertainty
1.2772 36.7556 clock reconvergence pessimism
-0.2713 36.4844 library setup time
36.4844 data required time
-------------------------------------------------------------------------------------
36.4844 data required time
-26.9903 data arrival time
-------------------------------------------------------------------------------------
9.4941 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30031_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3401 0.0404 26.1939 ^ soc/_22531_/S (sky130_fd_sc_hd__mux2_1)
0.1117 0.8182 27.0121 v soc/_22531_/X (sky130_fd_sc_hd__mux2_1)
1 0.0029 soc/_02156_ (net)
0.1117 0.0001 27.0122 v soc/_30031_/D (sky130_fd_sc_hd__dfxtp_4)
27.0122 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6811 0.0094 35.0702 ^ soc/clkbuf_leaf_310_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1565 0.5254 35.5956 ^ soc/clkbuf_leaf_310_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0817 soc/clknet_leaf_310_core_clk (net)
0.1566 0.0020 35.5976 ^ soc/_30031_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4976 clock uncertainty
1.2772 36.7748 clock reconvergence pessimism
-0.2671 36.5078 library setup time
36.5078 data required time
-------------------------------------------------------------------------------------
36.5078 data required time
-27.0122 data arrival time
-------------------------------------------------------------------------------------
9.4956 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30313_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0222 26.0694 v soc/wire820/A (sky130_fd_sc_hd__buf_6)
0.2111 0.4135 26.4829 v soc/wire820/X (sky130_fd_sc_hd__buf_6)
16 0.1348 soc/net820 (net)
0.2155 0.0253 26.5082 v soc/_23335_/S (sky130_fd_sc_hd__mux2_1)
0.1115 0.7759 27.2841 v soc/_23335_/X (sky130_fd_sc_hd__mux2_1)
1 0.0029 soc/_02437_ (net)
0.1115 0.0001 27.2842 v soc/_30313_/D (sky130_fd_sc_hd__dfxtp_1)
27.2842 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8856 0.0090 35.3119 ^ soc/clkbuf_leaf_337_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1357 0.5596 35.8715 ^ soc/clkbuf_leaf_337_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0628 soc/clknet_leaf_337_core_clk (net)
0.1358 0.0015 35.8730 ^ soc/_30313_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7730 clock uncertainty
1.2772 37.0503 clock reconvergence pessimism
-0.2685 36.7818 library setup time
36.7818 data required time
-------------------------------------------------------------------------------------
36.7818 data required time
-27.2842 data arrival time
-------------------------------------------------------------------------------------
9.4975 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29356_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3402 0.0407 26.1942 ^ soc/_20855_/S (sky130_fd_sc_hd__mux2_1)
0.1099 0.8150 27.0092 v soc/_20855_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_01588_ (net)
0.1099 0.0001 27.0093 v soc/_29356_/D (sky130_fd_sc_hd__dfxtp_2)
27.0093 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6811 0.0094 35.0702 ^ soc/clkbuf_leaf_310_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1565 0.5254 35.5956 ^ soc/clkbuf_leaf_310_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0817 soc/clknet_leaf_310_core_clk (net)
0.1566 0.0025 35.5981 ^ soc/_29356_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4981 clock uncertainty
1.2772 36.7753 clock reconvergence pessimism
-0.2675 36.5078 library setup time
36.5078 data required time
-------------------------------------------------------------------------------------
36.5078 data required time
-27.0093 data arrival time
-------------------------------------------------------------------------------------
9.4985 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30042_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3378 0.0335 26.1870 ^ soc/_22542_/S (sky130_fd_sc_hd__mux2_1)
0.1039 0.8032 26.9902 v soc/_22542_/X (sky130_fd_sc_hd__mux2_1)
1 0.0021 soc/_02167_ (net)
0.1039 0.0001 26.9902 v soc/_30042_/D (sky130_fd_sc_hd__dfxtp_4)
26.9902 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0121 35.0728 ^ soc/clkbuf_leaf_301_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1334 0.5078 35.5806 ^ soc/clkbuf_leaf_301_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0644 soc/clknet_leaf_301_core_clk (net)
0.1335 0.0014 35.5821 ^ soc/_30042_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4821 clock uncertainty
1.2772 36.7593 clock reconvergence pessimism
-0.2700 36.4894 library setup time
36.4894 data required time
-------------------------------------------------------------------------------------
36.4894 data required time
-26.9902 data arrival time
-------------------------------------------------------------------------------------
9.4991 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_28390_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/wire1408/A (sky130_fd_sc_hd__buf_6)
0.4144 0.5340 26.3877 ^ soc/wire1408/X (sky130_fd_sc_hd__buf_6)
18 0.1319 soc/net1408 (net)
0.4161 0.0226 26.4103 ^ soc/_19105_/C1 (sky130_fd_sc_hd__o211a_1)
0.0963 0.4405 26.8508 ^ soc/_19105_/X (sky130_fd_sc_hd__o211a_1)
1 0.0042 soc/_08400_ (net)
0.0963 0.0001 26.8509 ^ soc/_19106_/B1 (sky130_fd_sc_hd__a21o_1)
0.1651 0.2337 27.0847 ^ soc/_19106_/X (sky130_fd_sc_hd__a21o_1)
1 0.0108 soc/_00664_ (net)
0.1651 0.0007 27.0854 ^ soc/_28390_/D (sky130_fd_sc_hd__dfxtp_4)
27.0854 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6321 0.0169 35.0454 ^ soc/clkbuf_leaf_390_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1583 0.5146 35.5600 ^ soc/clkbuf_leaf_390_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0837 soc/clknet_leaf_390_core_clk (net)
0.1586 0.0048 35.5648 ^ soc/_28390_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4648 clock uncertainty
1.2772 36.7420 clock reconvergence pessimism
-0.1508 36.5913 library setup time
36.5913 data required time
-------------------------------------------------------------------------------------
36.5913 data required time
-27.0854 data arrival time
-------------------------------------------------------------------------------------
9.5059 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30041_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3403 0.0411 26.1946 ^ soc/_22541_/S (sky130_fd_sc_hd__mux2_1)
0.1077 0.8111 27.0057 v soc/_22541_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_02166_ (net)
0.1077 0.0001 27.0058 v soc/_30041_/D (sky130_fd_sc_hd__dfxtp_1)
27.0058 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6811 0.0094 35.0702 ^ soc/clkbuf_leaf_310_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1565 0.5254 35.5956 ^ soc/clkbuf_leaf_310_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0817 soc/clknet_leaf_310_core_clk (net)
0.1567 0.0044 35.6000 ^ soc/_30041_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5000 clock uncertainty
1.2772 36.7773 clock reconvergence pessimism
-0.2612 36.5160 library setup time
36.5160 data required time
-------------------------------------------------------------------------------------
36.5160 data required time
-27.0058 data arrival time
-------------------------------------------------------------------------------------
9.5102 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29382_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3364 0.0285 26.1821 ^ soc/_20907_/S (sky130_fd_sc_hd__mux2_1)
0.1142 0.8221 27.0042 v soc/_20907_/X (sky130_fd_sc_hd__mux2_1)
1 0.0032 soc/_01614_ (net)
0.1142 0.0001 27.0042 v soc/_29382_/D (sky130_fd_sc_hd__dfxtp_1)
27.0042 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0122 35.0730 ^ soc/clkbuf_leaf_303_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1962 0.5557 35.6287 ^ soc/clkbuf_leaf_303_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
44 0.1108 soc/clknet_leaf_303_core_clk (net)
0.1962 0.0017 35.6304 ^ soc/_29382_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5304 clock uncertainty
1.2772 36.8076 clock reconvergence pessimism
-0.2533 36.5543 library setup time
36.5543 data required time
-------------------------------------------------------------------------------------
36.5543 data required time
-27.0042 data arrival time
-------------------------------------------------------------------------------------
9.5500 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30044_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3351 0.0229 26.1764 ^ soc/_22544_/S (sky130_fd_sc_hd__mux2_1)
0.1120 0.8181 26.9945 v soc/_22544_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_02169_ (net)
0.1120 0.0001 26.9946 v soc/_30044_/D (sky130_fd_sc_hd__dfxtp_1)
26.9946 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0122 35.0730 ^ soc/clkbuf_leaf_303_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1962 0.5557 35.6287 ^ soc/clkbuf_leaf_303_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
44 0.1108 soc/clknet_leaf_303_core_clk (net)
0.1962 0.0017 35.6304 ^ soc/_30044_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5304 clock uncertainty
1.2772 36.8077 clock reconvergence pessimism
-0.2524 36.5553 library setup time
36.5553 data required time
-------------------------------------------------------------------------------------
36.5553 data required time
-26.9946 data arrival time
-------------------------------------------------------------------------------------
9.5607 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29380_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3371 0.0313 26.1848 ^ soc/_20903_/S (sky130_fd_sc_hd__mux2_1)
0.1065 0.8083 26.9930 v soc/_20903_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_01612_ (net)
0.1065 0.0001 26.9931 v soc/_29380_/D (sky130_fd_sc_hd__dfxtp_1)
26.9931 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0122 35.0730 ^ soc/clkbuf_leaf_303_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1962 0.5557 35.6287 ^ soc/clkbuf_leaf_303_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
44 0.1108 soc/clknet_leaf_303_core_clk (net)
0.1962 0.0030 35.6317 ^ soc/_29380_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5317 clock uncertainty
1.2772 36.8089 clock reconvergence pessimism
-0.2501 36.5588 library setup time
36.5588 data required time
-------------------------------------------------------------------------------------
36.5588 data required time
-26.9931 data arrival time
-------------------------------------------------------------------------------------
9.5657 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29372_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3347 0.0210 26.1745 ^ soc/_20887_/S (sky130_fd_sc_hd__mux2_1)
0.1095 0.8127 26.9872 v soc/_20887_/X (sky130_fd_sc_hd__mux2_1)
1 0.0027 soc/_01604_ (net)
0.1095 0.0001 26.9873 v soc/_29372_/D (sky130_fd_sc_hd__dfxtp_1)
26.9873 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0122 35.0730 ^ soc/clkbuf_leaf_303_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1962 0.5557 35.6287 ^ soc/clkbuf_leaf_303_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
44 0.1108 soc/clknet_leaf_303_core_clk (net)
0.1963 0.0034 35.6321 ^ soc/_29372_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5321 clock uncertainty
1.2772 36.8093 clock reconvergence pessimism
-0.2513 36.5580 library setup time
36.5580 data required time
-------------------------------------------------------------------------------------
36.5580 data required time
-26.9873 data arrival time
-------------------------------------------------------------------------------------
9.5707 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29357_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/wire1413/A (sky130_fd_sc_hd__buf_4)
0.5567 0.6372 25.6776 ^ soc/wire1413/X (sky130_fd_sc_hd__buf_4)
10 0.1275 soc/net1413 (net)
0.5593 0.0321 25.7096 ^ soc/_20857_/S (sky130_fd_sc_hd__mux2_1)
0.1343 0.9139 26.6235 v soc/_20857_/X (sky130_fd_sc_hd__mux2_1)
1 0.0050 soc/_01589_ (net)
0.1343 0.0002 26.6237 v soc/_29357_/D (sky130_fd_sc_hd__dfxtp_4)
26.6237 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0010 34.1852 ^ soc/clkbuf_5_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0660 0.2327 34.4180 ^ soc/clkbuf_5_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0122 soc/clknet_5_0_0_core_clk (net)
0.0660 0.0007 34.4187 ^ soc/clkbuf_5_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4137 0.4644 34.8831 ^ soc/clkbuf_5_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1556 soc/clknet_5_0_1_core_clk (net)
0.4139 0.0087 34.8918 ^ soc/clkbuf_leaf_367_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1349 0.4136 35.3054 ^ soc/clkbuf_leaf_367_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0686 soc/clknet_leaf_367_core_clk (net)
0.1349 0.0015 35.3069 ^ soc/_29357_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.2069 clock uncertainty
1.2772 36.4841 clock reconvergence pessimism
-0.2820 36.2021 library setup time
36.2021 data required time
-------------------------------------------------------------------------------------
36.2021 data required time
-26.6237 data arrival time
-------------------------------------------------------------------------------------
9.5784 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30043_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/fanout1414/A (sky130_fd_sc_hd__buf_12)
0.3329 0.5043 26.1535 ^ soc/fanout1414/X (sky130_fd_sc_hd__buf_12)
40 0.1821 soc/net1414 (net)
0.3345 0.0198 26.1734 ^ soc/_22543_/S (sky130_fd_sc_hd__mux2_1)
0.1050 0.8044 26.9778 v soc/_22543_/X (sky130_fd_sc_hd__mux2_1)
1 0.0022 soc/_02168_ (net)
0.1050 0.0001 26.9778 v soc/_30043_/D (sky130_fd_sc_hd__dfxtp_2)
26.9778 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0122 35.0730 ^ soc/clkbuf_leaf_303_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1962 0.5557 35.6287 ^ soc/clkbuf_leaf_303_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
44 0.1108 soc/clknet_leaf_303_core_clk (net)
0.1964 0.0058 35.6345 ^ soc/_30043_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.5345 clock uncertainty
1.2772 36.8117 clock reconvergence pessimism
-0.2547 36.5571 library setup time
36.5571 data required time
-------------------------------------------------------------------------------------
36.5571 data required time
-26.9778 data arrival time
-------------------------------------------------------------------------------------
9.5792 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29371_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3071 0.0236 25.1195 ^ soc/max_length1417/A (sky130_fd_sc_hd__buf_6)
0.3557 0.4980 25.6176 ^ soc/max_length1417/X (sky130_fd_sc_hd__buf_6)
14 0.1125 soc/net1417 (net)
0.3570 0.0184 25.6359 ^ soc/_20885_/S (sky130_fd_sc_hd__mux2_1)
0.1036 0.8080 26.4439 v soc/_20885_/X (sky130_fd_sc_hd__mux2_1)
1 0.0021 soc/_01603_ (net)
0.1036 0.0001 26.4440 v soc/_29371_/D (sky130_fd_sc_hd__dfxtp_2)
26.4440 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1252 0.0017 34.1875 ^ soc/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0555 0.2232 34.4106 ^ soc/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0074 soc/clknet_5_23_0_core_clk (net)
0.0555 0.0003 34.4109 ^ soc/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3145 0.3920 34.8030 ^ soc/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1155 soc/clknet_5_23_1_core_clk (net)
0.3148 0.0080 34.8110 ^ soc/clkbuf_leaf_288_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0810 0.3294 35.1403 ^ soc/clkbuf_leaf_288_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0292 soc/clknet_leaf_288_core_clk (net)
0.0810 0.0006 35.1410 ^ soc/_29371_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.0410 clock uncertainty
1.2772 36.3182 clock reconvergence pessimism
-0.2854 36.0328 library setup time
36.0328 data required time
-------------------------------------------------------------------------------------
36.0328 data required time
-26.4440 data arrival time
-------------------------------------------------------------------------------------
9.5889 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30441_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2363 0.0117 25.4207 ^ soc/_23509_/S (sky130_fd_sc_hd__mux2_1)
0.1189 0.8017 26.2224 v soc/_23509_/X (sky130_fd_sc_hd__mux2_1)
1 0.0036 soc/_10948_ (net)
0.1189 0.0001 26.2225 v soc/_23510_/A1 (sky130_fd_sc_hd__mux2_1)
0.1100 0.6932 26.9158 v soc/_23510_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_02520_ (net)
0.1100 0.0001 26.9159 v soc/_30441_/D (sky130_fd_sc_hd__dfxtp_4)
26.9159 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6035 0.0112 35.1113 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1678 0.5111 35.6224 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0910 soc/clknet_leaf_358_core_clk (net)
0.1679 0.0028 35.6252 ^ soc/_30441_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.5252 clock uncertainty
1.2772 36.8024 clock reconvergence pessimism
-0.2634 36.5390 library setup time
36.5390 data required time
-------------------------------------------------------------------------------------
36.5390 data required time
-26.9159 data arrival time
-------------------------------------------------------------------------------------
9.6231 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30377_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1406 0.0017 25.5861 v soc/max_length887/A (sky130_fd_sc_hd__buf_6)
0.1696 0.3592 25.9453 v soc/max_length887/X (sky130_fd_sc_hd__buf_6)
10 0.1004 soc/net887 (net)
0.1702 0.0085 25.9538 v soc/_17012_/S (sky130_fd_sc_hd__mux2_1)
0.1845 0.8575 26.8113 v soc/_17012_/X (sky130_fd_sc_hd__mux2_1)
1 0.0106 soc/_00122_ (net)
0.1845 0.0005 26.8118 v soc/_30377_/D (sky130_fd_sc_hd__dfxtp_1)
26.8118 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6316 0.0092 35.0377 ^ soc/clkbuf_leaf_387_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1596 0.5156 35.5533 ^ soc/clkbuf_leaf_387_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0847 soc/clknet_leaf_387_core_clk (net)
0.1597 0.0023 35.5557 ^ soc/_30377_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4557 clock uncertainty
1.2772 36.7329 clock reconvergence pessimism
-0.2923 36.4406 library setup time
36.4406 data required time
-------------------------------------------------------------------------------------
36.4406 data required time
-26.8118 data arrival time
-------------------------------------------------------------------------------------
9.6288 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30437_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0053 24.5355 ^ soc/wire1419/A (sky130_fd_sc_hd__buf_6)
0.3813 0.6065 25.1420 ^ soc/wire1419/X (sky130_fd_sc_hd__buf_6)
12 0.1205 soc/net1419 (net)
0.3868 0.0381 25.1802 ^ soc/_23501_/S (sky130_fd_sc_hd__mux2_1)
0.1123 0.8329 26.0131 v soc/_23501_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_10944_ (net)
0.1123 0.0001 26.0132 v soc/_23502_/A1 (sky130_fd_sc_hd__mux2_1)
0.1261 0.7162 26.7293 v soc/_23502_/X (sky130_fd_sc_hd__mux2_1)
1 0.0042 soc/_02516_ (net)
0.1261 0.0002 26.7295 v soc/_30437_/D (sky130_fd_sc_hd__dfxtp_4)
26.7295 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4964 0.0262 35.0494 ^ soc/clkbuf_leaf_366_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1363 0.4461 35.4954 ^ soc/clkbuf_leaf_366_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0687 soc/clknet_leaf_366_core_clk (net)
0.1364 0.0025 35.4979 ^ soc/_30437_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.3979 clock uncertainty
1.2772 36.6752 clock reconvergence pessimism
-0.2783 36.3969 library setup time
36.3969 data required time
-------------------------------------------------------------------------------------
36.3969 data required time
-26.7295 data arrival time
-------------------------------------------------------------------------------------
9.6674 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30293_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1853 0.0187 26.0658 v soc/_23315_/S (sky130_fd_sc_hd__mux2_1)
0.1103 0.7606 26.8264 v soc/_23315_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_02417_ (net)
0.1103 0.0001 26.8265 v soc/_30293_/D (sky130_fd_sc_hd__dfxtp_1)
26.8265 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6037 0.0139 35.1140 ^ soc/clkbuf_leaf_381_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1258 0.4793 35.5932 ^ soc/clkbuf_leaf_381_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0597 soc/clknet_leaf_381_core_clk (net)
0.1258 0.0017 35.5950 ^ soc/_30293_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4950 clock uncertainty
1.2772 36.7722 clock reconvergence pessimism
-0.2707 36.5015 library setup time
36.5015 data required time
-------------------------------------------------------------------------------------
36.5015 data required time
-26.8265 data arrival time
-------------------------------------------------------------------------------------
9.6750 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30290_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1851 0.0180 26.0652 v soc/_23312_/S (sky130_fd_sc_hd__mux2_1)
0.1057 0.7524 26.8176 v soc/_23312_/X (sky130_fd_sc_hd__mux2_1)
1 0.0023 soc/_02414_ (net)
0.1057 0.0001 26.8177 v soc/_30290_/D (sky130_fd_sc_hd__dfxtp_1)
26.8177 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6037 0.0139 35.1140 ^ soc/clkbuf_leaf_381_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1258 0.4793 35.5932 ^ soc/clkbuf_leaf_381_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0597 soc/clknet_leaf_381_core_clk (net)
0.1258 0.0015 35.5947 ^ soc/_30290_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4947 clock uncertainty
1.2772 36.7720 clock reconvergence pessimism
-0.2688 36.5032 library setup time
36.5032 data required time
-------------------------------------------------------------------------------------
36.5032 data required time
-26.8177 data arrival time
-------------------------------------------------------------------------------------
9.6855 slack (MET)
Startpoint: soc/_31022_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: housekeeping/_7146_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0998 6.1384 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.6229 6.7613 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1260 6.8873 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4654 7.3527 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0015 7.3542 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2584 7.6126 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0014 7.6140 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2493 7.8633 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0016 7.8650 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2526 8.1176 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0016 8.1192 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2508 8.3700 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0015 8.3715 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2457 8.6172 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0013 8.6186 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2212 8.8397 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 8.8401 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.3002 9.1403 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1566 0.0075 9.1477 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0781 0.2799 9.4276 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0177 soc/clknet_2_3_0_core_clk (net)
0.0782 0.0014 9.4291 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1569 0.3126 9.7417 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0514 soc/clknet_2_3_1_core_clk (net)
0.1570 0.0024 9.7440 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0850 0.2873 10.0313 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0207 soc/clknet_3_7_0_core_clk (net)
0.0850 0.0010 10.0323 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0823 0.2500 10.2823 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0196 soc/clknet_3_7_1_core_clk (net)
0.0824 0.0013 10.2836 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1359 0.2973 10.5809 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0427 soc/clknet_3_7_2_core_clk (net)
0.1360 0.0026 10.5835 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1481 0.3327 10.9162 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0477 soc/clknet_4_14_0_core_clk (net)
0.1482 0.0036 10.9198 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0766 0.2744 11.1942 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0170 soc/clknet_5_28_0_core_clk (net)
0.0767 0.0012 11.1954 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7104 0.7227 11.9181 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2754 soc/clknet_5_28_1_core_clk (net)
0.7115 0.0248 11.9430 ^ soc/clkbuf_leaf_219_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1333 0.5553 12.4982 ^ soc/clkbuf_leaf_219_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
22 0.0639 soc/clknet_leaf_219_core_clk (net)
0.1334 0.0020 12.5002 ^ soc/_31022_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1591 0.7257 13.2259 v soc/_31022_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0167 soc/core.grant[1] (net)
0.1591 0.0012 13.2271 v soc/fanout3540/A (sky130_fd_sc_hd__buf_6)
0.1387 0.3501 13.5772 v soc/fanout3540/X (sky130_fd_sc_hd__buf_6)
6 0.0796 soc/net3540 (net)
0.1387 0.0021 13.5793 v soc/fanout3539/A (sky130_fd_sc_hd__buf_12)
0.1984 0.3920 13.9713 v soc/fanout3539/X (sky130_fd_sc_hd__buf_12)
26 0.2165 soc/net3539 (net)
0.2020 0.0220 13.9933 v soc/_13829_/A (sky130_fd_sc_hd__inv_2)
0.1030 0.1700 14.1633 ^ soc/_13829_/Y (sky130_fd_sc_hd__inv_2)
1 0.0104 soc/_13525_ (net)
0.1030 0.0004 14.1637 ^ soc/fanout3375/A (sky130_fd_sc_hd__buf_8)
0.2629 0.3448 14.5085 ^ soc/fanout3375/X (sky130_fd_sc_hd__buf_8)
10 0.1018 soc/net3375 (net)
0.2631 0.0061 14.5146 ^ soc/fanout3374/A (sky130_fd_sc_hd__buf_8)
0.4509 0.5332 15.0477 ^ soc/fanout3374/X (sky130_fd_sc_hd__buf_8)
36 0.1798 soc/net3374 (net)
0.4560 0.0403 15.0881 ^ soc/_14126_/A (sky130_fd_sc_hd__nand2_1)
0.2603 0.3980 15.4860 v soc/_14126_/Y (sky130_fd_sc_hd__nand2_1)
1 0.0134 soc/_13628_ (net)
0.2603 0.0006 15.4867 v soc/fanout3129/A (sky130_fd_sc_hd__buf_12)
0.2301 0.4740 15.9606 v soc/fanout3129/X (sky130_fd_sc_hd__buf_12)
40 0.2689 soc/net3129 (net)
0.2441 0.0458 16.0064 v soc/fanout3128/A (sky130_fd_sc_hd__buf_12)
0.2028 0.4437 16.4501 v soc/fanout3128/X (sky130_fd_sc_hd__buf_12)
40 0.2201 soc/net3128 (net)
0.2114 0.0341 16.4843 v soc/_14176_/A2 (sky130_fd_sc_hd__o21ai_4)
0.9030 0.8359 17.3202 ^ soc/_14176_/Y (sky130_fd_sc_hd__o21ai_4)
6 0.0808 soc/_13654_ (net)
0.9035 0.0185 17.3387 ^ soc/wire2675/A (sky130_fd_sc_hd__buf_6)
0.5135 0.7791 18.1178 ^ soc/wire2675/X (sky130_fd_sc_hd__buf_6)
8 0.1653 soc/net2675 (net)
0.5190 0.0444 18.1622 ^ soc/wire2674/A (sky130_fd_sc_hd__buf_12)
0.3701 0.5678 18.7300 ^ soc/wire2674/X (sky130_fd_sc_hd__buf_12)
14 0.2044 soc/net2674 (net)
0.3809 0.0519 18.7819 ^ soc/_14177_/A (sky130_fd_sc_hd__inv_12)
0.0942 0.1672 18.9491 v soc/_14177_/Y (sky130_fd_sc_hd__inv_12)
4 0.0429 soc/net649 (net)
0.0942 0.0032 18.9522 v soc/wire2380/A (sky130_fd_sc_hd__buf_8)
0.2433 0.3514 19.3036 v soc/wire2380/X (sky130_fd_sc_hd__buf_8)
4 0.1937 soc/net2380 (net)
0.3007 0.0952 19.3988 v soc/wire2379/A (sky130_fd_sc_hd__buf_12)
0.1678 0.4170 19.8158 v soc/wire2379/X (sky130_fd_sc_hd__buf_12)
5 0.1757 soc/net2379 (net)
0.2262 0.0803 19.8961 v soc/wire2378/A (sky130_fd_sc_hd__buf_6)
0.1606 0.3943 20.2904 v soc/wire2378/X (sky130_fd_sc_hd__buf_6)
2 0.0938 soc/net2378 (net)
0.1655 0.0231 20.3135 v soc/output649/A (sky130_fd_sc_hd__buf_12)
0.1184 0.3488 20.6623 v soc/output649/X (sky130_fd_sc_hd__buf_12)
3 0.1155 mprj_dat_o_core[13] (net)
0.1201 0.0117 20.6740 v housekeeping/input136/A (sky130_fd_sc_hd__clkbuf_1)
0.0785 0.2161 20.8901 v housekeeping/input136/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0056 housekeeping/net136 (net)
0.0785 0.0002 20.8903 v housekeeping/_6338_/B1 (sky130_fd_sc_hd__a22o_1)
0.0771 0.3484 21.2387 v housekeeping/_6338_/X (sky130_fd_sc_hd__a22o_1)
1 0.0034 housekeeping/_3153_ (net)
0.0771 0.0001 21.2388 v housekeeping/_6339_/C1 (sky130_fd_sc_hd__a221o_1)
0.1129 0.5380 21.7768 v housekeeping/_6339_/X (sky130_fd_sc_hd__a221o_1)
1 0.0048 housekeeping/_3154_ (net)
0.1129 0.0002 21.7770 v housekeeping/_6340_/A0 (sky130_fd_sc_hd__mux2_1)
0.1153 0.6776 22.4546 v housekeeping/_6340_/X (sky130_fd_sc_hd__mux2_1)
1 0.0033 housekeeping/_0789_ (net)
0.1153 0.0001 22.4547 v housekeeping/_7146_/D (sky130_fd_sc_hd__dfrtp_1)
22.4547 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0235 29.7847 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3603 30.1451 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0017 30.1468 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2156 30.3624 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 30.3628 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2588 30.6215 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0022 30.6237 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2474 30.8711 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0009 30.8721 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.2571 0.3626 31.2346 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
12 0.0922 housekeeping/clknet_3_7_0_wb_clk_i (net)
0.2571 0.0030 31.2376 ^ housekeeping/_7146_/CLK (sky130_fd_sc_hd__dfrtp_1)
-0.1000 31.1376 clock uncertainty
1.2772 32.4148 clock reconvergence pessimism
-0.2455 32.1693 library setup time
32.1693 data required time
-------------------------------------------------------------------------------------
32.1693 data required time
-22.4547 data arrival time
-------------------------------------------------------------------------------------
9.7146 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30439_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0053 24.5355 ^ soc/wire1419/A (sky130_fd_sc_hd__buf_6)
0.3813 0.6065 25.1420 ^ soc/wire1419/X (sky130_fd_sc_hd__buf_6)
12 0.1205 soc/net1419 (net)
0.3862 0.0363 25.1783 ^ soc/_23505_/S (sky130_fd_sc_hd__mux2_1)
0.1289 0.8577 26.0360 v soc/_23505_/X (sky130_fd_sc_hd__mux2_1)
1 0.0044 soc/_10946_ (net)
0.1289 0.0001 26.0361 v soc/_23506_/A1 (sky130_fd_sc_hd__mux2_1)
0.1032 0.6845 26.7206 v soc/_23506_/X (sky130_fd_sc_hd__mux2_1)
1 0.0021 soc/_02518_ (net)
0.1032 0.0001 26.7207 v soc/_30439_/D (sky130_fd_sc_hd__dfxtp_4)
26.7207 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1877 0.0035 35.5308 ^ soc/_30439_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4308 clock uncertainty
1.2772 36.7081 clock reconvergence pessimism
-0.2554 36.4527 library setup time
36.4527 data required time
-------------------------------------------------------------------------------------
36.4527 data required time
-26.7207 data arrival time
-------------------------------------------------------------------------------------
9.7320 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30440_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0053 24.5355 ^ soc/wire1419/A (sky130_fd_sc_hd__buf_6)
0.3813 0.6065 25.1420 ^ soc/wire1419/X (sky130_fd_sc_hd__buf_6)
12 0.1205 soc/net1419 (net)
0.3852 0.0323 25.1743 ^ soc/_23507_/S (sky130_fd_sc_hd__mux2_1)
0.1214 0.8468 26.0211 v soc/_23507_/X (sky130_fd_sc_hd__mux2_1)
1 0.0038 soc/_10947_ (net)
0.1214 0.0001 26.0212 v soc/_23508_/A1 (sky130_fd_sc_hd__mux2_1)
0.1043 0.6835 26.7047 v soc/_23508_/X (sky130_fd_sc_hd__mux2_1)
1 0.0022 soc/_02519_ (net)
0.1043 0.0001 26.7048 v soc/_30440_/D (sky130_fd_sc_hd__dfxtp_4)
26.7048 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1444 0.0035 34.2568 ^ soc/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0731 0.2496 34.5064 ^ soc/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_5_4_0_core_clk (net)
0.0731 0.0008 34.5072 ^ soc/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4941 0.5160 35.0232 ^ soc/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
20 0.1874 soc/clknet_5_4_1_core_clk (net)
0.4953 0.0196 35.0427 ^ soc/clkbuf_leaf_360_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1876 0.4846 35.5273 ^ soc/clkbuf_leaf_360_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
42 0.1063 soc/clknet_leaf_360_core_clk (net)
0.1877 0.0029 35.5302 ^ soc/_30440_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4302 clock uncertainty
1.2772 36.7074 clock reconvergence pessimism
-0.2559 36.4515 library setup time
36.4515 data required time
-------------------------------------------------------------------------------------
36.4515 data required time
-26.7048 data arrival time
-------------------------------------------------------------------------------------
9.7467 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30023_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2116 0.0007 25.0313 ^ soc/fanout1407/A (sky130_fd_sc_hd__buf_6)
0.2355 0.3777 25.4090 ^ soc/fanout1407/X (sky130_fd_sc_hd__buf_6)
10 0.0720 soc/net1407 (net)
0.2355 0.0025 25.4115 ^ soc/max_length1409/A (sky130_fd_sc_hd__buf_8)
0.2991 0.4200 25.8315 ^ soc/max_length1409/X (sky130_fd_sc_hd__buf_8)
16 0.1164 soc/net1409 (net)
0.3014 0.0223 25.8538 ^ soc/_22523_/S (sky130_fd_sc_hd__mux2_1)
0.1369 0.8464 26.7002 v soc/_22523_/X (sky130_fd_sc_hd__mux2_1)
1 0.0054 soc/_02148_ (net)
0.1369 0.0002 26.7004 v soc/_30023_/D (sky130_fd_sc_hd__dfxtp_1)
26.7004 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6042 0.0196 35.1197 ^ soc/clkbuf_leaf_388_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1075 0.4646 35.5843 ^ soc/clkbuf_leaf_388_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
14 0.0456 soc/clknet_leaf_388_core_clk (net)
0.1075 0.0010 35.5853 ^ soc/_30023_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4853 clock uncertainty
1.2772 36.7626 clock reconvergence pessimism
-0.2867 36.4759 library setup time
36.4759 data required time
-------------------------------------------------------------------------------------
36.4759 data required time
-26.7004 data arrival time
-------------------------------------------------------------------------------------
9.7755 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30403_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1409 0.0055 25.5899 v soc/wire886/A (sky130_fd_sc_hd__clkbuf_8)
0.2340 0.4319 26.0218 v soc/wire886/X (sky130_fd_sc_hd__clkbuf_8)
12 0.1199 soc/net886 (net)
0.2384 0.0269 26.0487 v soc/_17222_/S (sky130_fd_sc_hd__mux2_1)
0.1074 0.7781 26.8269 v soc/_17222_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_00118_ (net)
0.1074 0.0001 26.8269 v soc/_30403_/D (sky130_fd_sc_hd__dfxtp_1)
26.8269 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2616 ^ soc/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0667 0.2462 34.5077 ^ soc/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0125 soc/clknet_5_17_0_core_clk (net)
0.0667 0.0008 34.5085 ^ soc/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.7234 0.6772 35.1857 ^ soc/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
30 0.2804 soc/clknet_5_17_1_core_clk (net)
0.7234 0.0051 35.1908 ^ soc/clkbuf_leaf_336_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1265 0.5126 35.7034 ^ soc/clkbuf_leaf_336_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0584 soc/clknet_leaf_336_core_clk (net)
0.1265 0.0013 35.7047 ^ soc/_30403_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.6047 clock uncertainty
1.2772 36.8819 clock reconvergence pessimism
-0.2693 36.6126 library setup time
36.6126 data required time
-------------------------------------------------------------------------------------
36.6126 data required time
-26.8269 data arrival time
-------------------------------------------------------------------------------------
9.7857 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30386_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1406 0.0017 25.5861 v soc/max_length887/A (sky130_fd_sc_hd__buf_6)
0.1696 0.3592 25.9453 v soc/max_length887/X (sky130_fd_sc_hd__buf_6)
10 0.1004 soc/net887 (net)
0.1732 0.0204 25.9657 v soc/_17089_/S (sky130_fd_sc_hd__mux2_1)
0.2652 0.9546 26.9203 v soc/_17089_/X (sky130_fd_sc_hd__mux2_1)
2 0.0211 soc/_00100_ (net)
0.2652 0.0020 26.9224 v soc/_30386_/D (sky130_fd_sc_hd__dfxtp_2)
26.9224 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8865 0.0241 35.3270 ^ soc/clkbuf_leaf_350_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1207 0.5471 35.8741 ^ soc/clkbuf_leaf_350_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0510 soc/clknet_leaf_350_core_clk (net)
0.1208 0.0017 35.8758 ^ soc/_30386_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7758 clock uncertainty
1.2772 37.0531 clock reconvergence pessimism
-0.3417 36.7113 library setup time
36.7113 data required time
-------------------------------------------------------------------------------------
36.7113 data required time
-26.9224 data arrival time
-------------------------------------------------------------------------------------
9.7890 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30387_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1409 0.0055 25.5899 v soc/wire886/A (sky130_fd_sc_hd__clkbuf_8)
0.2340 0.4319 26.0218 v soc/wire886/X (sky130_fd_sc_hd__clkbuf_8)
12 0.1199 soc/net886 (net)
0.2380 0.0255 26.0474 v soc/_17097_/S (sky130_fd_sc_hd__mux2_1)
0.2081 0.9236 26.9709 v soc/_17097_/X (sky130_fd_sc_hd__mux2_1)
1 0.0138 soc/_00101_ (net)
0.2081 0.0010 26.9719 v soc/_30387_/D (sky130_fd_sc_hd__dfxtp_2)
26.9719 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8858 0.0133 35.3162 ^ soc/clkbuf_leaf_329_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1540 0.5744 35.8906 ^ soc/clkbuf_leaf_329_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0768 soc/clknet_leaf_329_core_clk (net)
0.1541 0.0022 35.8929 ^ soc/_30387_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7929 clock uncertainty
1.2772 37.0701 clock reconvergence pessimism
-0.3089 36.7612 library setup time
36.7612 data required time
-------------------------------------------------------------------------------------
36.7612 data required time
-26.9719 data arrival time
-------------------------------------------------------------------------------------
9.7893 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30445_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0039 24.5342 ^ soc/fanout1418/A (sky130_fd_sc_hd__buf_12)
0.5153 0.7073 25.2414 ^ soc/fanout1418/X (sky130_fd_sc_hd__buf_12)
40 0.2939 soc/net1418 (net)
0.5157 0.0128 25.2543 ^ soc/_23517_/S (sky130_fd_sc_hd__mux2_1)
0.1666 0.9467 26.2010 v soc/_23517_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 soc/_10952_ (net)
0.1666 0.0005 26.2014 v soc/_23518_/A1 (sky130_fd_sc_hd__mux2_1)
0.1202 0.7298 26.9312 v soc/_23518_/X (sky130_fd_sc_hd__mux2_1)
1 0.0037 soc/_02524_ (net)
0.1202 0.0001 26.9314 v soc/_30445_/D (sky130_fd_sc_hd__dfxtp_4)
26.9314 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8860 0.0177 35.3206 ^ soc/clkbuf_leaf_332_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1219 0.5480 35.8686 ^ soc/clkbuf_leaf_332_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0520 soc/clknet_leaf_332_core_clk (net)
0.1220 0.0014 35.8701 ^ soc/_30445_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.7701 clock uncertainty
1.2772 37.0473 clock reconvergence pessimism
-0.2797 36.7676 library setup time
36.7676 data required time
-------------------------------------------------------------------------------------
36.7676 data required time
-26.9314 data arrival time
-------------------------------------------------------------------------------------
9.8363 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30444_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0053 24.5355 ^ soc/wire1419/A (sky130_fd_sc_hd__buf_6)
0.3813 0.6065 25.1420 ^ soc/wire1419/X (sky130_fd_sc_hd__buf_6)
12 0.1205 soc/net1419 (net)
0.3845 0.0297 25.1717 ^ soc/_23515_/S (sky130_fd_sc_hd__mux2_1)
0.1066 0.8218 25.9935 v soc/_23515_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_10951_ (net)
0.1066 0.0001 25.9935 v soc/_23516_/A1 (sky130_fd_sc_hd__mux2_1)
0.1135 0.6944 26.6879 v soc/_23516_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_02523_ (net)
0.1135 0.0001 26.6880 v soc/_30444_/D (sky130_fd_sc_hd__dfxtp_4)
26.6880 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6035 0.0112 35.1113 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1678 0.5111 35.6224 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0910 soc/clknet_leaf_358_core_clk (net)
0.1679 0.0033 35.6256 ^ soc/_30444_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.5256 clock uncertainty
1.2772 36.8028 clock reconvergence pessimism
-0.2648 36.5380 library setup time
36.5380 data required time
-------------------------------------------------------------------------------------
36.5380 data required time
-26.6880 data arrival time
-------------------------------------------------------------------------------------
9.8500 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30389_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1409 0.0055 25.5899 v soc/wire886/A (sky130_fd_sc_hd__clkbuf_8)
0.2340 0.4319 26.0218 v soc/wire886/X (sky130_fd_sc_hd__clkbuf_8)
12 0.1199 soc/net886 (net)
0.2370 0.0225 26.0443 v soc/_17113_/S (sky130_fd_sc_hd__mux2_1)
0.1207 0.8007 26.8450 v soc/_17113_/X (sky130_fd_sc_hd__mux2_1)
1 0.0038 soc/_00103_ (net)
0.1207 0.0001 26.8451 v soc/_30389_/D (sky130_fd_sc_hd__dfxtp_1)
26.8451 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8855 0.0062 35.3091 ^ soc/clkbuf_leaf_334_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0940 0.5194 35.8285 ^ soc/clkbuf_leaf_334_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.0299 soc/clknet_leaf_334_core_clk (net)
0.0940 0.0005 35.8290 ^ soc/_30389_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7290 clock uncertainty
1.2772 37.0063 clock reconvergence pessimism
-0.2836 36.7227 library setup time
36.7227 data required time
-------------------------------------------------------------------------------------
36.7227 data required time
-26.8451 data arrival time
-------------------------------------------------------------------------------------
9.8775 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30428_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0039 24.5342 ^ soc/fanout1418/A (sky130_fd_sc_hd__buf_12)
0.5153 0.7073 25.2414 ^ soc/fanout1418/X (sky130_fd_sc_hd__buf_12)
40 0.2939 soc/net1418 (net)
0.5156 0.0114 25.2528 ^ soc/_23483_/S (sky130_fd_sc_hd__mux2_1)
0.1858 0.9698 26.2226 v soc/_23483_/X (sky130_fd_sc_hd__mux2_1)
1 0.0107 soc/_10935_ (net)
0.1858 0.0004 26.2231 v soc/_23484_/A1 (sky130_fd_sc_hd__mux2_1)
0.1118 0.7242 26.9472 v soc/_23484_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_02507_ (net)
0.1118 0.0001 26.9473 v soc/_30428_/D (sky130_fd_sc_hd__dfxtp_4)
26.9473 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8863 0.0224 35.3253 ^ soc/clkbuf_leaf_331_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1680 0.5845 35.9098 ^ soc/clkbuf_leaf_331_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0873 soc/clknet_leaf_331_core_clk (net)
0.1681 0.0038 35.9136 ^ soc/_30428_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.8136 clock uncertainty
1.2772 37.0909 clock reconvergence pessimism
-0.2641 36.8268 library setup time
36.8268 data required time
-------------------------------------------------------------------------------------
36.8268 data required time
-26.9473 data arrival time
-------------------------------------------------------------------------------------
9.8795 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30300_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1865 0.0223 26.0694 v soc/_23322_/S (sky130_fd_sc_hd__mux2_1)
0.1136 0.7675 26.8370 v soc/_23322_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_02424_ (net)
0.1136 0.0001 26.8370 v soc/_30300_/D (sky130_fd_sc_hd__dfxtp_1)
26.8370 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8855 0.0062 35.3091 ^ soc/clkbuf_leaf_334_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0940 0.5194 35.8285 ^ soc/clkbuf_leaf_334_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.0299 soc/clknet_leaf_334_core_clk (net)
0.0940 0.0006 35.8290 ^ soc/_30300_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7290 clock uncertainty
1.2772 37.0063 clock reconvergence pessimism
-0.2806 36.7256 library setup time
36.7256 data required time
-------------------------------------------------------------------------------------
36.7256 data required time
-26.8370 data arrival time
-------------------------------------------------------------------------------------
9.8886 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30420_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0039 24.5342 ^ soc/fanout1418/A (sky130_fd_sc_hd__buf_12)
0.5153 0.7073 25.2414 ^ soc/fanout1418/X (sky130_fd_sc_hd__buf_12)
40 0.2939 soc/net1418 (net)
0.5154 0.0073 25.2487 ^ soc/_23467_/S (sky130_fd_sc_hd__mux2_1)
0.1431 0.9156 26.1643 v soc/_23467_/X (sky130_fd_sc_hd__mux2_1)
1 0.0062 soc/_10927_ (net)
0.1431 0.0003 26.1646 v soc/_23468_/A1 (sky130_fd_sc_hd__mux2_1)
0.1328 0.7386 26.9031 v soc/_23468_/X (sky130_fd_sc_hd__mux2_1)
1 0.0049 soc/_02499_ (net)
0.1328 0.0002 26.9033 v soc/_30420_/D (sky130_fd_sc_hd__dfxtp_1)
26.9033 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8855 0.0070 35.3099 ^ soc/clkbuf_leaf_349_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1657 0.5827 35.8926 ^ soc/clkbuf_leaf_349_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0856 soc/clknet_leaf_349_core_clk (net)
0.1659 0.0048 35.8974 ^ soc/_30420_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7974 clock uncertainty
1.2772 37.0746 clock reconvergence pessimism
-0.2692 36.8054 library setup time
36.8054 data required time
-------------------------------------------------------------------------------------
36.8054 data required time
-26.9033 data arrival time
-------------------------------------------------------------------------------------
9.9021 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30296_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1834 0.0106 26.0578 v soc/_23318_/S (sky130_fd_sc_hd__mux2_1)
0.1662 0.8413 26.8990 v soc/_23318_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 soc/_02420_ (net)
0.1662 0.0004 26.8994 v soc/_30296_/D (sky130_fd_sc_hd__dfxtp_1)
26.8994 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8865 0.0242 35.3271 ^ soc/clkbuf_leaf_325_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1665 0.5843 35.9114 ^ soc/clkbuf_leaf_325_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0862 soc/clknet_leaf_325_core_clk (net)
0.1667 0.0037 35.9151 ^ soc/_30296_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.8151 clock uncertainty
1.2772 37.0924 clock reconvergence pessimism
-0.2829 36.8095 library setup time
36.8095 data required time
-------------------------------------------------------------------------------------
36.8095 data required time
-26.8994 data arrival time
-------------------------------------------------------------------------------------
9.9100 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30390_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1409 0.0055 25.5899 v soc/wire886/A (sky130_fd_sc_hd__clkbuf_8)
0.2340 0.4319 26.0218 v soc/wire886/X (sky130_fd_sc_hd__clkbuf_8)
12 0.1199 soc/net886 (net)
0.2357 0.0176 26.0394 v soc/_17121_/S (sky130_fd_sc_hd__mux2_1)
0.1059 0.7746 26.8140 v soc/_17121_/X (sky130_fd_sc_hd__mux2_1)
1 0.0024 soc/_00104_ (net)
0.1059 0.0001 26.8141 v soc/_30390_/D (sky130_fd_sc_hd__dfxtp_1)
26.8141 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8855 0.0062 35.3091 ^ soc/clkbuf_leaf_334_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0940 0.5194 35.8285 ^ soc/clkbuf_leaf_334_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
6 0.0299 soc/clknet_leaf_334_core_clk (net)
0.0940 0.0007 35.8292 ^ soc/_30390_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7292 clock uncertainty
1.2772 37.0064 clock reconvergence pessimism
-0.2774 36.7290 library setup time
36.7290 data required time
-------------------------------------------------------------------------------------
36.7290 data required time
-26.8141 data arrival time
-------------------------------------------------------------------------------------
9.9149 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29830_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0008 20.7847 ^ soc/max_length1803/A (sky130_fd_sc_hd__buf_6)
0.2622 0.6754 21.4601 ^ soc/max_length1803/X (sky130_fd_sc_hd__buf_6)
16 0.0783 soc/net1803 (net)
0.2630 0.0124 21.4725 ^ soc/_14537_/B (sky130_fd_sc_hd__and3_1)
0.4486 0.6674 22.1399 ^ soc/_14537_/X (sky130_fd_sc_hd__and3_1)
2 0.0286 soc/_04554_ (net)
0.4486 0.0031 22.1430 ^ soc/fanout1562/A (sky130_fd_sc_hd__buf_6)
0.0751 0.3382 22.4813 ^ soc/fanout1562/X (sky130_fd_sc_hd__buf_6)
2 0.0156 soc/net1562 (net)
0.0751 0.0004 22.4817 ^ soc/fanout1558/A (sky130_fd_sc_hd__buf_12)
0.2682 0.3361 22.8178 ^ soc/fanout1558/X (sky130_fd_sc_hd__buf_12)
24 0.1459 soc/net1558 (net)
0.2683 0.0019 22.8196 ^ soc/max_length1561/A (sky130_fd_sc_hd__buf_6)
0.2311 0.3923 23.2119 ^ soc/max_length1561/X (sky130_fd_sc_hd__buf_6)
6 0.0704 soc/net1561 (net)
0.2325 0.0152 23.2272 ^ soc/max_length1560/A (sky130_fd_sc_hd__buf_4)
0.5221 0.6162 23.8434 ^ soc/max_length1560/X (sky130_fd_sc_hd__buf_4)
11 0.1191 soc/net1560 (net)
0.5256 0.0359 23.8793 ^ soc/_22084_/A3 (sky130_fd_sc_hd__o31a_2)
0.3435 0.6350 24.5143 ^ soc/_22084_/X (sky130_fd_sc_hd__o31a_2)
4 0.0379 soc/_10070_ (net)
0.3435 0.0037 24.5180 ^ soc/fanout827/A (sky130_fd_sc_hd__buf_6)
0.2728 0.4534 24.9714 ^ soc/fanout827/X (sky130_fd_sc_hd__buf_6)
14 0.0843 soc/net827 (net)
0.2734 0.0111 24.9826 ^ soc/wire828/A (sky130_fd_sc_hd__buf_6)
0.4296 0.5397 25.5222 ^ soc/wire828/X (sky130_fd_sc_hd__buf_6)
28 0.1372 soc/net828 (net)
0.4312 0.0219 25.5441 ^ soc/_22212_/A2 (sky130_fd_sc_hd__o211a_1)
0.4277 0.6898 26.2339 ^ soc/_22212_/X (sky130_fd_sc_hd__o211a_1)
2 0.0317 soc/_01992_ (net)
0.4278 0.0038 26.2376 ^ soc/_29830_/D (sky130_fd_sc_hd__dfxtp_2)
26.2376 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0015 34.1820 ^ soc/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0510 0.2132 34.3952 ^ soc/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0056 soc/clknet_5_21_0_core_clk (net)
0.0510 0.0002 34.3954 ^ soc/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.3995 0.4437 34.8391 ^ soc/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
18 0.1495 soc/clknet_5_21_1_core_clk (net)
0.3995 0.0041 34.8432 ^ soc/clkbuf_leaf_302_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0929 0.3724 35.2156 ^ soc/clkbuf_leaf_302_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0367 soc/clknet_leaf_302_core_clk (net)
0.0930 0.0023 35.2179 ^ soc/_29830_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.1179 clock uncertainty
1.2772 36.3951 clock reconvergence pessimism
-0.2308 36.1643 library setup time
36.1643 data required time
-------------------------------------------------------------------------------------
36.1643 data required time
-26.2376 data arrival time
-------------------------------------------------------------------------------------
9.9267 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30292_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1846 0.0165 26.0637 v soc/_23314_/S (sky130_fd_sc_hd__mux2_1)
0.1196 0.7764 26.8400 v soc/_23314_/X (sky130_fd_sc_hd__mux2_1)
1 0.0037 soc/_02416_ (net)
0.1196 0.0001 26.8402 v soc/_30292_/D (sky130_fd_sc_hd__dfxtp_1)
26.8402 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8860 0.0177 35.3206 ^ soc/clkbuf_leaf_332_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1219 0.5480 35.8686 ^ soc/clkbuf_leaf_332_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
16 0.0520 soc/clknet_leaf_332_core_clk (net)
0.1220 0.0025 35.8712 ^ soc/_30292_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7712 clock uncertainty
1.2772 37.0484 clock reconvergence pessimism
-0.2756 36.7728 library setup time
36.7728 data required time
-------------------------------------------------------------------------------------
36.7728 data required time
-26.8402 data arrival time
-------------------------------------------------------------------------------------
9.9327 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30388_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1409 0.0055 25.5899 v soc/wire886/A (sky130_fd_sc_hd__clkbuf_8)
0.2340 0.4319 26.0218 v soc/wire886/X (sky130_fd_sc_hd__clkbuf_8)
12 0.1199 soc/net886 (net)
0.2380 0.0258 26.0476 v soc/_17105_/S (sky130_fd_sc_hd__mux2_1)
0.1306 0.8149 26.8625 v soc/_17105_/X (sky130_fd_sc_hd__mux2_1)
1 0.0046 soc/_00102_ (net)
0.1306 0.0002 26.8626 v soc/_30388_/D (sky130_fd_sc_hd__dfxtp_1)
26.8626 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8860 0.0171 35.3200 ^ soc/clkbuf_leaf_327_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1482 0.5694 35.8895 ^ soc/clkbuf_leaf_327_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0724 soc/clknet_leaf_327_core_clk (net)
0.1483 0.0022 35.8916 ^ soc/_30388_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7916 clock uncertainty
1.2772 37.0688 clock reconvergence pessimism
-0.2731 36.7958 library setup time
36.7958 data required time
-------------------------------------------------------------------------------------
36.7958 data required time
-26.8626 data arrival time
-------------------------------------------------------------------------------------
9.9332 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30040_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4086 0.0285 25.6483 ^ soc/_22540_/S (sky130_fd_sc_hd__mux2_1)
0.1350 0.8732 26.5215 v soc/_22540_/X (sky130_fd_sc_hd__mux2_1)
1 0.0051 soc/_02165_ (net)
0.1350 0.0002 26.5217 v soc/_30040_/D (sky130_fd_sc_hd__dfxtp_2)
26.5217 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0108 35.0716 ^ soc/clkbuf_leaf_305_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1219 0.4977 35.5693 ^ soc/clkbuf_leaf_305_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0555 soc/clknet_leaf_305_core_clk (net)
0.1220 0.0034 35.5726 ^ soc/_30040_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4726 clock uncertainty
1.2772 36.7499 clock reconvergence pessimism
-0.2873 36.4626 library setup time
36.4626 data required time
-------------------------------------------------------------------------------------
36.4626 data required time
-26.5217 data arrival time
-------------------------------------------------------------------------------------
9.9409 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30429_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0052 24.5355 ^ soc/fanout1410/A (sky130_fd_sc_hd__buf_6)
0.2116 0.4951 25.0306 ^ soc/fanout1410/X (sky130_fd_sc_hd__buf_6)
6 0.0632 soc/net1410 (net)
0.2123 0.0098 25.0404 ^ soc/_23485_/S (sky130_fd_sc_hd__mux2_1)
0.1396 0.8241 25.8645 v soc/_23485_/X (sky130_fd_sc_hd__mux2_1)
1 0.0057 soc/_10936_ (net)
0.1396 0.0003 25.8647 v soc/_23486_/A1 (sky130_fd_sc_hd__mux2_1)
0.1268 0.7282 26.5929 v soc/_23486_/X (sky130_fd_sc_hd__mux2_1)
1 0.0043 soc/_02508_ (net)
0.1268 0.0002 26.5931 v soc/_30429_/D (sky130_fd_sc_hd__dfxtp_1)
26.5931 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0822 0.2457 33.4333 ^ soc/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_3_1_0_core_clk (net)
0.0822 0.0014 33.4348 ^ soc/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0784 0.2270 33.6618 ^ soc/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_3_1_1_core_clk (net)
0.0784 0.0010 33.6628 ^ soc/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1417 0.2783 33.9412 ^ soc/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0451 soc/clknet_3_1_2_core_clk (net)
0.1419 0.0044 33.9456 ^ soc/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1443 0.3077 34.2533 ^ soc/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0459 soc/clknet_4_2_0_core_clk (net)
0.1445 0.0044 34.2577 ^ soc/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0720 0.2484 34.5061 ^ soc/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0150 soc/clknet_5_5_0_core_clk (net)
0.0720 0.0010 34.5072 ^ soc/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6032 0.5929 35.1001 ^ soc/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2311 soc/clknet_5_5_1_core_clk (net)
0.6035 0.0112 35.1113 ^ soc/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1678 0.5111 35.6224 ^ soc/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0910 soc/clknet_leaf_358_core_clk (net)
0.1679 0.0032 35.6256 ^ soc/_30429_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.5256 clock uncertainty
1.2772 36.8028 clock reconvergence pessimism
-0.2662 36.5366 library setup time
36.5366 data required time
-------------------------------------------------------------------------------------
36.5366 data required time
-26.5931 data arrival time
-------------------------------------------------------------------------------------
9.9436 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30376_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1406 0.0017 25.5861 v soc/max_length887/A (sky130_fd_sc_hd__buf_6)
0.1696 0.3592 25.9453 v soc/max_length887/X (sky130_fd_sc_hd__buf_6)
10 0.1004 soc/net887 (net)
0.1717 0.0157 25.9610 v soc/_17001_/S (sky130_fd_sc_hd__mux2_1)
0.1890 0.8634 26.8244 v soc/_17001_/X (sky130_fd_sc_hd__mux2_1)
1 0.0110 soc/_00119_ (net)
0.1890 0.0005 26.8249 v soc/_30376_/D (sky130_fd_sc_hd__dfxtp_2)
26.8249 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8861 0.0199 35.3229 ^ soc/clkbuf_leaf_324_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1463 0.5678 35.8906 ^ soc/clkbuf_leaf_324_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
24 0.0709 soc/clknet_leaf_324_core_clk (net)
0.1464 0.0040 35.8946 ^ soc/_30376_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.7946 clock uncertainty
1.2772 37.0719 clock reconvergence pessimism
-0.3031 36.7688 library setup time
36.7688 data required time
-------------------------------------------------------------------------------------
36.7688 data required time
-26.8249 data arrival time
-------------------------------------------------------------------------------------
9.9439 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30391_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3978 0.0019 25.1119 v soc/fanout885/A (sky130_fd_sc_hd__buf_8)
0.1406 0.4725 25.5844 v soc/fanout885/X (sky130_fd_sc_hd__buf_8)
22 0.1026 soc/net885 (net)
0.1409 0.0055 25.5899 v soc/wire886/A (sky130_fd_sc_hd__clkbuf_8)
0.2340 0.4319 26.0218 v soc/wire886/X (sky130_fd_sc_hd__clkbuf_8)
12 0.1199 soc/net886 (net)
0.2377 0.0247 26.0465 v soc/_17129_/S (sky130_fd_sc_hd__mux2_1)
0.1078 0.7785 26.8250 v soc/_17129_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_00105_ (net)
0.1078 0.0001 26.8250 v soc/_30391_/D (sky130_fd_sc_hd__dfxtp_1)
26.8250 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8856 0.0090 35.3119 ^ soc/clkbuf_leaf_337_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1357 0.5596 35.8715 ^ soc/clkbuf_leaf_337_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0628 soc/clknet_leaf_337_core_clk (net)
0.1358 0.0018 35.8733 ^ soc/_30391_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.7733 clock uncertainty
1.2772 37.0505 clock reconvergence pessimism
-0.2670 36.7835 library setup time
36.7835 data required time
-------------------------------------------------------------------------------------
36.7835 data required time
-26.8250 data arrival time
-------------------------------------------------------------------------------------
9.9585 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30426_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5866 0.0039 24.5342 ^ soc/fanout1418/A (sky130_fd_sc_hd__buf_12)
0.5153 0.7073 25.2414 ^ soc/fanout1418/X (sky130_fd_sc_hd__buf_12)
40 0.2939 soc/net1418 (net)
0.5156 0.0110 25.2524 ^ soc/_23479_/S (sky130_fd_sc_hd__mux2_1)
0.1308 0.8962 26.1486 v soc/_23479_/X (sky130_fd_sc_hd__mux2_1)
1 0.0046 soc/_10933_ (net)
0.1308 0.0002 26.1488 v soc/_23480_/A1 (sky130_fd_sc_hd__mux2_1)
0.1120 0.7014 26.8502 v soc/_23480_/X (sky130_fd_sc_hd__mux2_1)
1 0.0030 soc/_02505_ (net)
0.1120 0.0001 26.8503 v soc/_30426_/D (sky130_fd_sc_hd__dfxtp_2)
26.8503 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8864 0.0234 35.3264 ^ soc/clkbuf_leaf_333_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1590 0.5778 35.9042 ^ soc/clkbuf_leaf_333_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0806 soc/clknet_leaf_333_core_clk (net)
0.1591 0.0026 35.9068 ^ soc/_30426_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.8068 clock uncertainty
1.2772 37.0840 clock reconvergence pessimism
-0.2677 36.8163 library setup time
36.8163 data required time
-------------------------------------------------------------------------------------
36.8163 data required time
-26.8503 data arrival time
-------------------------------------------------------------------------------------
9.9660 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30051_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4088 0.0295 25.6492 ^ soc/_22551_/S (sky130_fd_sc_hd__mux2_1)
0.1081 0.8308 26.4801 v soc/_22551_/X (sky130_fd_sc_hd__mux2_1)
1 0.0026 soc/_02176_ (net)
0.1081 0.0001 26.4801 v soc/_30051_/D (sky130_fd_sc_hd__dfxtp_1)
26.4801 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6810 0.0051 35.0658 ^ soc/clkbuf_leaf_306_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1042 0.4838 35.5496 ^ soc/clkbuf_leaf_306_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
12 0.0416 soc/clknet_leaf_306_core_clk (net)
0.1042 0.0009 35.5505 ^ soc/_30051_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4506 clock uncertainty
1.2772 36.7278 clock reconvergence pessimism
-0.2756 36.4522 library setup time
36.4522 data required time
-------------------------------------------------------------------------------------
36.4522 data required time
-26.4801 data arrival time
-------------------------------------------------------------------------------------
9.9720 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30287_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/_23309_/S (sky130_fd_sc_hd__mux2_1)
0.1549 0.8190 26.4856 v soc/_23309_/X (sky130_fd_sc_hd__mux2_1)
1 0.0075 soc/_02411_ (net)
0.1549 0.0003 26.4859 v soc/_30287_/D (sky130_fd_sc_hd__dfxtp_1)
26.4859 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1034 0.0023 30.9827 ^ soc/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0885 0.2454 31.2282 ^ soc/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0221 soc/clknet_1_0_0_core_clk (net)
0.0885 0.0017 31.2299 ^ soc/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0820 0.2331 31.4629 ^ soc/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_0_1_core_clk (net)
0.0820 0.0013 31.4643 ^ soc/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0845 0.2325 31.6968 ^ soc/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_0_2_core_clk (net)
0.0845 0.0014 31.6982 ^ soc/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.2323 31.9305 ^ soc/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_0_3_core_clk (net)
0.0831 0.0014 31.9319 ^ soc/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0670 0.2162 32.1482 ^ soc/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0127 soc/clknet_1_0_4_core_clk (net)
0.0670 0.0007 32.1489 ^ soc/clkbuf_1_0_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0503 0.1910 32.3399 ^ soc/clkbuf_1_0_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0053 soc/clknet_1_0_5_core_clk (net)
0.0503 0.0002 32.3401 ^ soc/clkbuf_1_0_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.2112 0.3101 32.6502 ^ soc/clkbuf_1_0_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0730 soc/clknet_1_0_6_core_clk (net)
0.2117 0.0076 32.6578 ^ soc/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0656 0.2718 32.9296 ^ soc/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0119 soc/clknet_2_0_0_core_clk (net)
0.0656 0.0007 32.9303 ^ soc/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1167 0.2549 33.1852 ^ soc/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0346 soc/clknet_2_0_1_core_clk (net)
0.1168 0.0024 33.1876 ^ soc/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0732 0.2373 33.4249 ^ soc/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0155 soc/clknet_3_0_0_core_clk (net)
0.0732 0.0012 33.4260 ^ soc/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0711 0.2160 33.6420 ^ soc/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0146 soc/clknet_3_0_1_core_clk (net)
0.0712 0.0010 33.6430 ^ soc/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1157 0.2562 33.8992 ^ soc/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0343 soc/clknet_3_0_2_core_clk (net)
0.1159 0.0034 33.9026 ^ soc/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1227 0.2817 34.1842 ^ soc/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0371 soc/clknet_4_0_0_core_clk (net)
0.1227 0.0023 34.1865 ^ soc/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0621 0.2288 34.4154 ^ soc/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0103 soc/clknet_5_1_0_core_clk (net)
0.0621 0.0005 34.4159 ^ soc/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6314 0.6127 35.0285 ^ soc/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
26 0.2435 soc/clknet_5_1_1_core_clk (net)
0.6321 0.0169 35.0454 ^ soc/clkbuf_leaf_390_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1583 0.5146 35.5600 ^ soc/clkbuf_leaf_390_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
32 0.0837 soc/clknet_leaf_390_core_clk (net)
0.1584 0.0029 35.5629 ^ soc/_30287_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4629 clock uncertainty
1.2772 36.7401 clock reconvergence pessimism
-0.2804 36.4597 library setup time
36.4597 data required time
-------------------------------------------------------------------------------------
36.4597 data required time
-26.4859 data arrival time
-------------------------------------------------------------------------------------
9.9738 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30032_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4058 0.0029 25.6227 ^ soc/_22532_/S (sky130_fd_sc_hd__mux2_1)
0.1572 0.9040 26.5267 v soc/_22532_/X (sky130_fd_sc_hd__mux2_1)
1 0.0078 soc/_02157_ (net)
0.1572 0.0004 26.5271 v soc/_30032_/D (sky130_fd_sc_hd__dfxtp_2)
26.5271 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6829 0.0294 35.0901 ^ soc/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1548 0.5248 35.6150 ^ soc/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0804 soc/clknet_leaf_307_core_clk (net)
0.1550 0.0033 35.6183 ^ soc/_30032_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.5183 clock uncertainty
1.2772 36.7955 clock reconvergence pessimism
-0.2876 36.5080 library setup time
36.5080 data required time
-------------------------------------------------------------------------------------
36.5080 data required time
-26.5271 data arrival time
-------------------------------------------------------------------------------------
9.9809 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30037_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4087 0.0293 25.6491 ^ soc/_22537_/S (sky130_fd_sc_hd__mux2_1)
0.1107 0.8356 26.4846 v soc/_22537_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_02162_ (net)
0.1107 0.0001 26.4847 v soc/_30037_/D (sky130_fd_sc_hd__dfxtp_4)
26.4847 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6812 0.0108 35.0716 ^ soc/clkbuf_leaf_305_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1219 0.4977 35.5693 ^ soc/clkbuf_leaf_305_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0555 soc/clknet_leaf_305_core_clk (net)
0.1220 0.0023 35.5716 ^ soc/_30037_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.1000 35.4716 clock uncertainty
1.2772 36.7488 clock reconvergence pessimism
-0.2758 36.4730 library setup time
36.4730 data required time
-------------------------------------------------------------------------------------
36.4730 data required time
-26.4847 data arrival time
-------------------------------------------------------------------------------------
9.9883 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30304_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4385 0.0249 25.1684 v soc/fanout822/A (sky130_fd_sc_hd__buf_8)
0.2024 0.5339 25.7023 v soc/fanout822/X (sky130_fd_sc_hd__buf_8)
20 0.1577 soc/net822 (net)
0.2066 0.0241 25.7264 v soc/_23326_/S (sky130_fd_sc_hd__mux2_1)
0.1107 0.7707 26.4971 v soc/_23326_/X (sky130_fd_sc_hd__mux2_1)
1 0.0028 soc/_02428_ (net)
0.1107 0.0001 26.4972 v soc/_30304_/D (sky130_fd_sc_hd__dfxtp_1)
26.4972 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6823 0.0248 35.0856 ^ soc/clkbuf_leaf_341_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1175 0.4952 35.5808 ^ soc/clkbuf_leaf_341_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0522 soc/clknet_leaf_341_core_clk (net)
0.1175 0.0011 35.5819 ^ soc/_30304_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4819 clock uncertainty
1.2772 36.7591 clock reconvergence pessimism
-0.2731 36.4861 library setup time
36.4861 data required time
-------------------------------------------------------------------------------------
36.4861 data required time
-26.4972 data arrival time
-------------------------------------------------------------------------------------
9.9889 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30299_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0174 24.5669 ^ soc/_23307_/B (sky130_fd_sc_hd__nand2_4)
0.4365 0.5766 25.1435 v soc/_23307_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0962 soc/_10856_ (net)
0.4365 0.0039 25.1474 v soc/fanout819/A (sky130_fd_sc_hd__buf_8)
0.1607 0.4971 25.6445 v soc/fanout819/X (sky130_fd_sc_hd__buf_8)
14 0.1187 soc/net819 (net)
0.1652 0.0220 25.6665 v soc/max_length821/A (sky130_fd_sc_hd__buf_6)
0.1825 0.3806 26.0471 v soc/max_length821/X (sky130_fd_sc_hd__buf_6)
14 0.1091 soc/net821 (net)
0.1851 0.0181 26.0652 v soc/_23321_/S (sky130_fd_sc_hd__mux2_1)
0.1138 0.7671 26.8323 v soc/_23321_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_02423_ (net)
0.1138 0.0001 26.8324 v soc/_30299_/D (sky130_fd_sc_hd__dfxtp_1)
26.8324 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1851 ^ soc/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0841 0.2571 33.4422 ^ soc/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0203 soc/clknet_3_4_0_core_clk (net)
0.0842 0.0015 33.4437 ^ soc/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0817 0.2309 33.6746 ^ soc/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_3_4_1_core_clk (net)
0.0817 0.0012 33.6758 ^ soc/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1293 0.2702 33.9460 ^ soc/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0398 soc/clknet_3_4_2_core_clk (net)
0.1295 0.0045 33.9505 ^ soc/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1512 0.3085 34.2590 ^ soc/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0490 soc/clknet_4_8_0_core_clk (net)
0.1513 0.0025 34.2615 ^ soc/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0726 0.2521 34.5136 ^ soc/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0152 soc/clknet_5_16_0_core_clk (net)
0.0726 0.0010 34.5146 ^ soc/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.8854 0.7883 35.3029 ^ soc/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
40 0.3455 soc/clknet_5_16_1_core_clk (net)
0.8864 0.0234 35.3264 ^ soc/clkbuf_leaf_333_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1590 0.5778 35.9042 ^ soc/clkbuf_leaf_333_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
30 0.0806 soc/clknet_leaf_333_core_clk (net)
0.1592 0.0038 35.9080 ^ soc/_30299_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.8080 clock uncertainty
1.2772 37.0852 clock reconvergence pessimism
-0.2631 36.8221 library setup time
36.8221 data required time
-------------------------------------------------------------------------------------
36.8221 data required time
-26.8324 data arrival time
-------------------------------------------------------------------------------------
9.9897 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_29373_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3071 0.0236 25.1195 ^ soc/max_length1417/A (sky130_fd_sc_hd__buf_6)
0.3557 0.4980 25.6176 ^ soc/max_length1417/X (sky130_fd_sc_hd__buf_6)
14 0.1125 soc/net1417 (net)
0.3568 0.0170 25.6346 ^ soc/_20889_/S (sky130_fd_sc_hd__mux2_1)
0.1075 0.8151 26.4497 v soc/_20889_/X (sky130_fd_sc_hd__mux2_1)
1 0.0025 soc/_01605_ (net)
0.1075 0.0001 26.4498 v soc/_29373_/D (sky130_fd_sc_hd__dfxtp_2)
26.4498 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1089 0.0006 33.9056 ^ soc/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1252 0.2801 34.1858 ^ soc/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0382 soc/clknet_4_11_0_core_clk (net)
0.1253 0.0029 34.1886 ^ soc/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0722 0.2402 34.4288 ^ soc/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0151 soc/clknet_5_22_0_core_clk (net)
0.0722 0.0009 34.4297 ^ soc/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6301 0.6155 35.0452 ^ soc/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2432 soc/clknet_5_22_1_core_clk (net)
0.6305 0.0120 35.0572 ^ soc/clkbuf_leaf_275_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1168 0.4819 35.5391 ^ soc/clkbuf_leaf_275_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0525 soc/clknet_leaf_275_core_clk (net)
0.1168 0.0017 35.5408 ^ soc/_29373_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4408 clock uncertainty
1.2772 36.7181 clock reconvergence pessimism
-0.2773 36.4408 library setup time
36.4408 data required time
-------------------------------------------------------------------------------------
36.4408 data required time
-26.4498 data arrival time
-------------------------------------------------------------------------------------
9.9910 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30048_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4018 0.0307 23.5666 ^ soc/_18661_/A (sky130_fd_sc_hd__and3_4)
0.5865 0.9637 24.5303 ^ soc/_18661_/X (sky130_fd_sc_hd__and3_4)
8 0.1252 soc/_07974_ (net)
0.5872 0.0170 24.5473 ^ soc/fanout1416/A (sky130_fd_sc_hd__buf_8)
0.3045 0.5487 25.0959 ^ soc/fanout1416/X (sky130_fd_sc_hd__buf_8)
16 0.1185 soc/net1416 (net)
0.3045 0.0013 25.0973 ^ soc/fanout1415/A (sky130_fd_sc_hd__buf_8)
0.4057 0.5225 25.6197 ^ soc/fanout1415/X (sky130_fd_sc_hd__buf_8)
24 0.1612 soc/net1415 (net)
0.4079 0.0248 25.6446 ^ soc/_22548_/S (sky130_fd_sc_hd__mux2_1)
0.1129 0.8395 26.4841 v soc/_22548_/X (sky130_fd_sc_hd__mux2_1)
1 0.0031 soc/_02173_ (net)
0.1129 0.0001 26.4842 v soc/_30048_/D (sky130_fd_sc_hd__dfxtp_2)
26.4842 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6811 0.0093 35.0700 ^ soc/clkbuf_leaf_304_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1322 0.5066 35.5766 ^ soc/clkbuf_leaf_304_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
18 0.0635 soc/clknet_leaf_304_core_clk (net)
0.1323 0.0022 35.5788 ^ soc/_30048_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.1000 35.4788 clock uncertainty
1.2772 36.7561 clock reconvergence pessimism
-0.2754 36.4807 library setup time
36.4807 data required time
-------------------------------------------------------------------------------------
36.4807 data required time
-26.4842 data arrival time
-------------------------------------------------------------------------------------
9.9965 slack (MET)
Startpoint: housekeeping/_7132_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: soc/_30401_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1630 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1271 3.0685 3.0685 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1271 0.0000 3.0685 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4342 0.4687 3.5372 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4827 0.1143 3.6515 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.4098 4.0613 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0006 4.0619 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.2019 4.2638 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 4.2639 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.3046 4.5684 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0004 4.5688 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2801 4.8489 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 4.8494 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.2048 5.0542 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 5.0543 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2930 5.3473 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 5.3476 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2662 5.6138 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 5.6141 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.4244 6.0385 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3560 0.0253 6.0638 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
0.0971 0.3884 6.4522 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0403 housekeeping/clknet_0_wb_clk_i (net)
0.0972 0.0019 6.4541 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0603 0.2324 6.6864 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0095 housekeeping/clknet_1_1_0_wb_clk_i (net)
0.0603 0.0004 6.6869 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1248 0.2789 6.9658 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0380 housekeeping/clknet_1_1_1_wb_clk_i (net)
0.1248 0.0023 6.9681 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.0800 0.2667 7.2349 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0185 housekeeping/clknet_2_3_0_wb_clk_i (net)
0.0800 0.0010 7.2359 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
0.1799 0.3312 7.5671 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
16 0.0608 housekeeping/clknet_3_6_0_wb_clk_i (net)
0.1800 0.0037 7.5708 ^ housekeeping/_7132_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.1826 0.9905 8.5613 ^ housekeeping/_7132_/Q (sky130_fd_sc_hd__dfrtp_4)
2 0.0266 housekeeping/net313 (net)
0.1827 0.0022 8.5635 ^ housekeeping/hold1557/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.3496 1.3521 9.9156 ^ housekeeping/hold1557/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0216 housekeeping/net2049 (net)
0.3497 0.0020 9.9177 ^ housekeeping/hold1379/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.7205 1.6886 11.6063 ^ housekeeping/hold1379/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0475 housekeeping/net1871 (net)
0.7206 0.0090 11.6153 ^ housekeeping/output313/A (sky130_fd_sc_hd__buf_12)
0.0804 0.4182 12.0335 ^ housekeeping/output313/X (sky130_fd_sc_hd__buf_12)
2 0.0260 hk_ack_i (net)
0.0806 0.0033 12.0368 ^ soc/hold69/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.0866 1.0768 13.1136 ^ soc/hold69/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0023 soc/net4288 (net)
0.0866 0.0001 13.1137 ^ soc/input6/A (sky130_fd_sc_hd__clkbuf_1)
0.1728 0.2399 13.3535 ^ soc/input6/X (sky130_fd_sc_hd__clkbuf_1)
1 0.0084 soc/net6 (net)
0.1728 0.0004 13.3540 ^ soc/hold70/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.1811 1.2100 14.5640 ^ soc/hold70/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.0094 soc/net4289 (net)
0.1811 0.0005 14.5644 ^ soc/wire4168/A (sky130_fd_sc_hd__buf_4)
0.3542 0.4709 15.0353 ^ soc/wire4168/X (sky130_fd_sc_hd__buf_4)
2 0.0791 soc/net4168 (net)
0.3568 0.0252 15.0605 ^ soc/_14397_/D (sky130_fd_sc_hd__nor4_1)
0.1049 0.1963 15.2568 v soc/_14397_/Y (sky130_fd_sc_hd__nor4_1)
1 0.0042 soc/_04414_ (net)
0.1049 0.0001 15.2570 v soc/_14398_/C_N (sky130_fd_sc_hd__nor3b_4)
0.1980 0.3972 15.6542 v soc/_14398_/Y (sky130_fd_sc_hd__nor3b_4)
6 0.0517 soc/_04415_ (net)
0.1982 0.0050 15.6591 v soc/_14400_/A3 (sky130_fd_sc_hd__a311oi_4)
0.6317 0.8008 16.4600 ^ soc/_14400_/Y (sky130_fd_sc_hd__a311oi_4)
2 0.0262 soc/_04417_ (net)
0.6317 0.0025 16.4624 ^ soc/wire2036/A (sky130_fd_sc_hd__buf_4)
0.4367 0.7289 17.1914 ^ soc/wire2036/X (sky130_fd_sc_hd__buf_4)
2 0.0993 soc/net2036 (net)
0.4382 0.0218 17.2132 ^ soc/wire2035/A (sky130_fd_sc_hd__buf_8)
0.2698 0.4694 17.6827 ^ soc/wire2035/X (sky130_fd_sc_hd__buf_8)
4 0.1036 soc/net2035 (net)
0.2705 0.0120 17.6946 ^ soc/fanout2033/A (sky130_fd_sc_hd__buf_8)
0.2597 0.4114 18.1060 ^ soc/fanout2033/X (sky130_fd_sc_hd__buf_8)
18 0.1005 soc/net2033 (net)
0.2616 0.0182 18.1242 ^ soc/wire2034/A (sky130_fd_sc_hd__buf_8)
0.4375 0.5060 18.6302 ^ soc/wire2034/X (sky130_fd_sc_hd__buf_8)
24 0.1735 soc/net2034 (net)
0.4522 0.0657 18.6959 ^ soc/_14406_/A2 (sky130_fd_sc_hd__o21ai_4)
0.4477 0.5237 19.2196 v soc/_14406_/Y (sky130_fd_sc_hd__o21ai_4)
4 0.0973 soc/_04423_ (net)
0.4477 0.0013 19.2209 v soc/fanout1854/A (sky130_fd_sc_hd__buf_8)
0.1349 0.4924 19.7132 v soc/fanout1854/X (sky130_fd_sc_hd__buf_8)
20 0.0969 soc/net1854 (net)
0.1353 0.0066 19.7198 v soc/_14440_/C1 (sky130_fd_sc_hd__a211oi_4)
1.2189 1.0641 20.7839 ^ soc/_14440_/Y (sky130_fd_sc_hd__a211oi_4)
14 0.0688 soc/_04457_ (net)
1.2189 0.0069 20.7908 ^ soc/load_slew1802/A (sky130_fd_sc_hd__buf_4)
0.2688 0.7643 21.5551 ^ soc/load_slew1802/X (sky130_fd_sc_hd__buf_4)
8 0.0571 soc/net1802 (net)
0.2690 0.0062 21.5613 ^ soc/_14441_/B (sky130_fd_sc_hd__and2_1)
0.3540 0.5266 22.0879 ^ soc/_14441_/X (sky130_fd_sc_hd__and2_1)
1 0.0220 soc/_04458_ (net)
0.3540 0.0021 22.0900 ^ soc/fanout1749/A (sky130_fd_sc_hd__buf_6)
0.2181 0.4176 22.5076 ^ soc/fanout1749/X (sky130_fd_sc_hd__buf_6)
4 0.0659 soc/net1749 (net)
0.2187 0.0094 22.5170 ^ soc/fanout1745/A (sky130_fd_sc_hd__buf_6)
0.1028 0.2837 22.8007 ^ soc/fanout1745/X (sky130_fd_sc_hd__buf_6)
3 0.0268 soc/net1745 (net)
0.1028 0.0003 22.8010 ^ soc/fanout1736/A (sky130_fd_sc_hd__buf_6)
0.1582 0.2729 23.0739 ^ soc/fanout1736/X (sky130_fd_sc_hd__buf_6)
8 0.0464 soc/net1736 (net)
0.1582 0.0010 23.0749 ^ soc/wire1738/A (sky130_fd_sc_hd__buf_6)
0.3985 0.4610 23.5359 ^ soc/wire1738/X (sky130_fd_sc_hd__buf_6)
18 0.1266 soc/net1738 (net)
0.4008 0.0255 23.5614 ^ soc/_16970_/C (sky130_fd_sc_hd__and3_4)
0.6197 0.9880 24.5494 ^ soc/_16970_/X (sky130_fd_sc_hd__and3_4)
20 0.1328 soc/_06923_ (net)
0.6203 0.0164 24.5658 ^ soc/_16971_/B (sky130_fd_sc_hd__nand2_4)
0.3978 0.5441 25.1099 v soc/_16971_/Y (sky130_fd_sc_hd__nand2_4)
4 0.0844 soc/_06924_ (net)
0.3983 0.0128 25.1227 v soc/fanout888/A (sky130_fd_sc_hd__buf_8)
0.2227 0.5247 25.6474 v soc/fanout888/X (sky130_fd_sc_hd__buf_8)
26 0.1754 soc/net888 (net)
0.2301 0.0334 25.6808 v soc/_17206_/S (sky130_fd_sc_hd__mux2_1)
0.1325 0.8145 26.4953 v soc/_17206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0048 soc/_00116_ (net)
0.1325 0.0002 26.4955 v soc/_30401_/D (sky130_fd_sc_hd__dfxtp_1)
26.4955 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock source latency
1.0000 0.0000 25.0000 ^ clock (in)
1 1.1630 clock (net)
1.0000 0.0000 25.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1630 clock (net)
0.1141 2.0119 27.0119 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.1141 0.0000 27.0119 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.4340 0.4290 27.4409 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1627 clock_core_buf (net)
0.4826 0.1060 27.5469 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0707 0.3801 27.9270 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_ext_clk (net)
0.0707 0.0005 27.9276 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0450 0.1873 28.1148 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0030 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0450 0.0001 28.1149 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.1657 0.2825 28.3975 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0100 clock_ctrl/_037_ (net)
0.1657 0.0003 28.3978 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0715 0.2598 28.6577 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0232 clock_ctrl/clknet_0__037_ (net)
0.0715 0.0005 28.6582 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0468 0.1900 28.8481 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0043 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0468 0.0001 28.8483 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.1513 0.2718 29.1200 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0088 clock_ctrl/net10 (net)
0.1513 0.0003 29.1203 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0655 0.2470 29.3673 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0184 clock_ctrl/clknet_0_net10 (net)
0.0655 0.0003 29.3676 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.3534 0.3937 29.7613 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2279 caravel_clk (net)
0.3988 0.0926 29.8539 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.4736 0.5779 30.4318 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1779 caravel_clk_buf (net)
0.5273 0.1169 30.5487 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1033 0.4318 30.9805 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0433 soc/clknet_0_core_clk (net)
0.1033 0.0014 30.9818 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0821 0.2397 31.2216 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0194 soc/clknet_1_1_0_core_clk (net)
0.0822 0.0013 31.2229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0832 0.2313 31.4542 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0199 soc/clknet_1_1_1_core_clk (net)
0.0832 0.0015 31.4557 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0860 0.2344 31.6901 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0211 soc/clknet_1_1_2_core_clk (net)
0.0860 0.0015 31.6915 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0828 0.2327 31.9242 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0197 soc/clknet_1_1_3_core_clk (net)
0.0828 0.0014 31.9256 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0792 0.2280 32.1536 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0182 soc/clknet_1_1_4_core_clk (net)
0.0792 0.0012 32.1548 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0579 0.2052 32.3600 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0084 soc/clknet_1_1_5_core_clk (net)
0.0579 0.0003 32.3603 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1561 0.2785 32.6388 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0508 soc/clknet_1_1_6_core_clk (net)
0.1563 0.0038 32.6426 ^ soc/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0801 0.2614 32.9040 ^ soc/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0185 soc/clknet_2_2_0_core_clk (net)
0.0801 0.0013 32.9054 ^ soc/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1384 0.2769 33.1822 ^ soc/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0437 soc/clknet_2_2_1_core_clk (net)
0.1385 0.0028 33.1850 ^ soc/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0767 0.2503 33.4353 ^ soc/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0171 soc/clknet_3_5_0_core_clk (net)
0.0767 0.0012 33.4365 ^ soc/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0710 0.2173 33.6537 ^ soc/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0145 soc/clknet_3_5_1_core_clk (net)
0.0710 0.0012 33.6549 ^ soc/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1089 0.2501 33.9050 ^ soc/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0309 soc/clknet_3_5_2_core_clk (net)
0.1091 0.0029 33.9078 ^ soc/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1148 0.2727 34.1805 ^ soc/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0338 soc/clknet_4_10_0_core_clk (net)
0.1149 0.0023 34.1828 ^ soc/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0719 0.2353 34.4181 ^ soc/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0149 soc/clknet_5_20_0_core_clk (net)
0.0719 0.0008 34.4189 ^ soc/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.6809 0.6418 35.0607 ^ soc/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
28 0.2618 soc/clknet_5_20_1_core_clk (net)
0.6815 0.0164 35.0772 ^ soc/clkbuf_leaf_342_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1420 0.5147 35.5918 ^ soc/clkbuf_leaf_342_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
26 0.0709 soc/clknet_leaf_342_core_clk (net)
0.1420 0.0014 35.5932 ^ soc/_30401_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.1000 35.4932 clock uncertainty
1.2772 36.7705 clock reconvergence pessimism
-0.2755 36.4950 library setup time
36.4950 data required time
-------------------------------------------------------------------------------------
36.4950 data required time
-26.4955 data arrival time
-------------------------------------------------------------------------------------
9.9995 slack (MET)