blob: ec50cc3a440353b7e2a06222b61fcccef37f688f [file] [log] [blame]
exec> report_checks \
-path_delay max \
-through _10f68f1800000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
design: caravel
time: Mon Dec 5 22:03:37 UTC 2022
Startpoint: soc/_31742_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_csb (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2604 0.2483 2.4353 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1520 clock_core_buf (net)
0.3078 0.0874 2.5227 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0451 0.2123 2.7350 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0451 0.0003 2.7353 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0268 0.1121 2.8474 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0268 0.0000 2.8474 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0969 0.1606 3.0080 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.0969 0.0001 3.0082 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0440 0.1523 3.1605 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0440 0.0002 3.1607 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0279 0.1131 3.2738 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0041 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0279 0.0001 3.2738 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0894 0.1544 3.4283 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.0894 0.0001 3.4284 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0403 0.1452 3.5736 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0403 0.0001 3.5737 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2157 0.2405 3.8142 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2123 caravel_clk (net)
0.2577 0.0745 3.8888 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.2802 0.3308 4.2196 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1638 caravel_clk_buf (net)
0.3305 0.0936 4.3132 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0635 0.2352 4.5484 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0391 soc/clknet_0_core_clk (net)
0.0635 0.0009 4.5492 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0475 0.1398 4.6890 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0475 0.0009 4.6899 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0482 0.1344 4.8243 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0482 0.0010 4.8253 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0496 0.1361 4.9614 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0496 0.0009 4.9623 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0479 0.1349 5.0973 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0479 0.0009 5.0982 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0461 0.1325 5.2307 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0461 0.0008 5.2315 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0335 0.1185 5.3500 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0335 0.0002 5.3501 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0918 0.1638 5.5139 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0460 soc/clknet_1_1_6_core_clk (net)
0.0922 0.0052 5.5192 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0456 0.1489 5.6681 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0456 0.0010 5.6690 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0914 0.1700 5.8391 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0461 soc/clknet_2_3_1_core_clk (net)
0.0914 0.0015 5.8405 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0493 0.1525 5.9931 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0493 0.0005 5.9936 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0476 0.1345 6.1281 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0476 0.0008 6.1289 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.1608 6.2897 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0386 soc/clknet_3_7_2_core_clk (net)
0.0801 0.0030 6.2927 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.1762 6.4689 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0408 soc/clknet_4_15_0_core_clk (net)
0.0832 0.0020 6.4710 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0368 0.1363 6.6073 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0368 0.0003 6.6076 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4905 0.4575 7.0652 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2923 soc/clknet_5_31_1_core_clk (net)
0.4912 0.0150 7.0802 ^ soc/clkbuf_leaf_203_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0937 0.2901 7.3703 ^ soc/clkbuf_leaf_203_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0701 soc/clknet_leaf_203_core_clk (net)
0.0937 0.0020 7.3723 ^ soc/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.0942 0.3829 7.7551 v soc/_31742_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.0189 soc/core.mgmtsoc_litespisdrphycore_count[2] (net)
0.0942 0.0005 7.7556 v soc/_14217_/B (sky130_fd_sc_hd__nor4_4)
0.8363 0.7866 8.5422 ^ soc/_14217_/Y (sky130_fd_sc_hd__nor4_4)
8 0.0604 soc/_13681_ (net)
0.8364 0.0025 8.5446 ^ soc/_14218_/A (sky130_fd_sc_hd__inv_2)
0.1452 0.1253 8.6699 v soc/_14218_/Y (sky130_fd_sc_hd__inv_2)
1 0.0120 soc/net220 (net)
0.1452 0.0003 8.6702 v soc/output220/A (sky130_fd_sc_hd__buf_12)
0.0259 0.1728 8.8431 v soc/output220/X (sky130_fd_sc_hd__buf_12)
1 0.0123 flash_csb_core (net)
0.0259 0.0005 8.8436 v housekeeping/input84/A (sky130_fd_sc_hd__buf_2)
0.1201 0.2000 9.0435 v housekeeping/input84/X (sky130_fd_sc_hd__buf_2)
1 0.0492 housekeeping/net84 (net)
0.1210 0.0086 9.0522 v housekeeping/_3943_/A0 (sky130_fd_sc_hd__mux2_2)
0.0952 0.3620 9.4142 v housekeeping/_3943_/X (sky130_fd_sc_hd__mux2_2)
1 0.0217 housekeeping/net249 (net)
0.0952 0.0017 9.4159 v housekeeping/output249/A (sky130_fd_sc_hd__buf_12)
0.0597 0.1748 9.5906 v housekeeping/output249/X (sky130_fd_sc_hd__buf_12)
1 0.1174 flash_csb_frame (net)
0.1230 0.0537 9.6443 v flash_clkrst_buffers/BUF[11]/A (sky130_fd_sc_hd__clkbuf_8)
0.0954 0.2412 9.8855 v flash_clkrst_buffers/BUF[11]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0824 flash_csb_frame_buf (net)
0.0954 0.0000 9.8855 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 4.9401 14.8256 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_csb (net)
1.9997 0.0000 14.8256 v flash_csb (out)
14.8256 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-14.8256 data arrival time
-------------------------------------------------------------------------------------
6.0744 slack (MET)
Startpoint: soc/_32578_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_io0 (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2604 0.2483 2.4353 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1520 clock_core_buf (net)
0.3078 0.0874 2.5227 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0451 0.2123 2.7350 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0451 0.0003 2.7353 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0268 0.1121 2.8474 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0268 0.0000 2.8474 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0969 0.1606 3.0080 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.0969 0.0001 3.0082 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0440 0.1523 3.1605 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0440 0.0002 3.1607 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0279 0.1131 3.2738 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0041 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0279 0.0001 3.2738 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0894 0.1544 3.4283 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.0894 0.0001 3.4284 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0403 0.1452 3.5736 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0403 0.0001 3.5737 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2157 0.2405 3.8142 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2123 caravel_clk (net)
0.2577 0.0745 3.8888 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.2802 0.3308 4.2196 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1638 caravel_clk_buf (net)
0.3305 0.0936 4.3132 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0635 0.2352 4.5484 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0391 soc/clknet_0_core_clk (net)
0.0635 0.0009 4.5492 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0475 0.1398 4.6890 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0475 0.0009 4.6899 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0482 0.1344 4.8243 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0482 0.0010 4.8253 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0496 0.1361 4.9614 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0496 0.0009 4.9623 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0479 0.1349 5.0973 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0479 0.0009 5.0982 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0461 0.1325 5.2307 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0461 0.0008 5.2315 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0335 0.1185 5.3500 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0335 0.0002 5.3501 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0918 0.1638 5.5139 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0460 soc/clknet_1_1_6_core_clk (net)
0.0922 0.0052 5.5192 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0456 0.1489 5.6681 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0456 0.0010 5.6690 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0914 0.1700 5.8391 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0461 soc/clknet_2_3_1_core_clk (net)
0.0914 0.0015 5.8405 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0493 0.1525 5.9931 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0493 0.0005 5.9936 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0476 0.1345 6.1281 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0476 0.0008 6.1289 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.1608 6.2897 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0386 soc/clknet_3_7_2_core_clk (net)
0.0801 0.0030 6.2927 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.1762 6.4689 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0408 soc/clknet_4_15_0_core_clk (net)
0.0832 0.0020 6.4710 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0368 0.1363 6.6073 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0368 0.0003 6.6076 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4905 0.4575 7.0652 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2923 soc/clknet_5_31_1_core_clk (net)
0.4911 0.0145 7.0796 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0599 0.2580 7.3376 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0296 soc/clknet_leaf_207_core_clk (net)
0.0599 0.0005 7.3382 ^ soc/_32578_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.0904 0.3664 7.7045 v soc/_32578_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0180 soc/net222 (net)
0.0904 0.0010 7.7055 v soc/output222/A (sky130_fd_sc_hd__buf_12)
0.0255 0.1463 7.8518 v soc/output222/X (sky130_fd_sc_hd__buf_12)
1 0.0122 flash_io0_oeb_core (net)
0.0255 0.0005 7.8523 v housekeeping/input86/A (sky130_fd_sc_hd__clkbuf_4)
0.1576 0.2374 8.0897 v housekeeping/input86/X (sky130_fd_sc_hd__clkbuf_4)
1 0.0780 housekeeping/net86 (net)
0.1590 0.0124 8.1021 v housekeeping/_3947_/B (sky130_fd_sc_hd__nand2b_1)
0.1793 0.2156 8.3177 ^ housekeeping/_3947_/Y (sky130_fd_sc_hd__nand2b_1)
2 0.0166 housekeeping/net252 (net)
0.1793 0.0002 8.3179 ^ housekeeping/_3948_/A (sky130_fd_sc_hd__inv_2)
0.0517 0.0693 8.3872 v housekeeping/_3948_/Y (sky130_fd_sc_hd__inv_2)
1 0.0096 housekeeping/net253 (net)
0.0517 0.0001 8.3873 v housekeeping/output253/A (sky130_fd_sc_hd__buf_12)
0.0641 0.1560 8.5433 v housekeeping/output253/X (sky130_fd_sc_hd__buf_12)
1 0.1204 flash_io0_oeb (net)
0.1207 0.0517 8.5950 v flash_clkrst_buffers/BUF[8]/A (sky130_fd_sc_hd__clkbuf_8)
0.0524 0.2000 8.7950 v flash_clkrst_buffers/BUF[8]/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0340 flash_io0_oeb_buf (net)
0.0524 0.0000 8.7950 v padframe/flash_io0_pad/OE_N (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 5.2194 14.0144 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_io0 (net)
1.9997 0.0000 14.0144 v flash_io0 (out)
14.0144 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-14.0144 data arrival time
-------------------------------------------------------------------------------------
6.8856 slack (MET)
Startpoint: soc/_32577_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_clk (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2604 0.2483 2.4353 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1520 clock_core_buf (net)
0.3078 0.0874 2.5227 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0451 0.2123 2.7350 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0182 clock_ctrl/clknet_0_ext_clk (net)
0.0451 0.0003 2.7353 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0268 0.1121 2.8474 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0029 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0268 0.0000 2.8474 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0969 0.1606 3.0080 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0097 clock_ctrl/_037_ (net)
0.0969 0.0001 3.0082 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0440 0.1523 3.1605 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0224 clock_ctrl/clknet_0__037_ (net)
0.0440 0.0002 3.1607 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0279 0.1131 3.2738 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0041 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0279 0.0001 3.2738 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0894 0.1544 3.4283 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0087 clock_ctrl/net10 (net)
0.0894 0.0001 3.4284 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0403 0.1452 3.5736 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0181 clock_ctrl/clknet_0_net10 (net)
0.0403 0.0001 3.5737 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2157 0.2405 3.8142 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2123 caravel_clk (net)
0.2577 0.0745 3.8888 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.2802 0.3308 4.2196 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1638 caravel_clk_buf (net)
0.3305 0.0936 4.3132 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0635 0.2352 4.5484 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0391 soc/clknet_0_core_clk (net)
0.0635 0.0009 4.5492 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0475 0.1398 4.6890 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0175 soc/clknet_1_1_0_core_clk (net)
0.0475 0.0009 4.6899 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0482 0.1344 4.8243 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0180 soc/clknet_1_1_1_core_clk (net)
0.0482 0.0010 4.8253 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0496 0.1361 4.9614 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0189 soc/clknet_1_1_2_core_clk (net)
0.0496 0.0009 4.9623 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0479 0.1349 5.0973 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0178 soc/clknet_1_1_3_core_clk (net)
0.0479 0.0009 5.0982 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0461 0.1325 5.2307 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0166 soc/clknet_1_1_4_core_clk (net)
0.0461 0.0008 5.2315 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0335 0.1185 5.3500 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0079 soc/clknet_1_1_5_core_clk (net)
0.0335 0.0002 5.3501 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0918 0.1638 5.5139 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0460 soc/clknet_1_1_6_core_clk (net)
0.0922 0.0052 5.5192 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0456 0.1489 5.6681 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0163 soc/clknet_2_3_0_core_clk (net)
0.0456 0.0010 5.6690 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0914 0.1700 5.8391 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0461 soc/clknet_2_3_1_core_clk (net)
0.0914 0.0015 5.8405 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0493 0.1525 5.9931 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0187 soc/clknet_3_7_0_core_clk (net)
0.0493 0.0005 5.9936 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0476 0.1345 6.1281 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0176 soc/clknet_3_7_1_core_clk (net)
0.0476 0.0008 6.1289 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0799 0.1608 6.2897 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0386 soc/clknet_3_7_2_core_clk (net)
0.0801 0.0030 6.2927 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0831 0.1762 6.4689 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0408 soc/clknet_4_15_0_core_clk (net)
0.0832 0.0020 6.4710 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0368 0.1363 6.6073 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0102 soc/clknet_5_31_0_core_clk (net)
0.0368 0.0003 6.6076 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.4905 0.4575 7.0652 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.2923 soc/clknet_5_31_1_core_clk (net)
0.4913 0.0165 7.0817 ^ soc/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0783 0.2761 7.3577 ^ soc/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0523 soc/clknet_leaf_200_core_clk (net)
0.0783 0.0003 7.3581 ^ soc/_32577_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.0739 0.3803 7.7384 v soc/_32577_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.0270 soc/net219 (net)
0.0741 0.0027 7.7411 v soc/output219/A (sky130_fd_sc_hd__buf_12)
0.0253 0.1383 7.8794 v soc/output219/X (sky130_fd_sc_hd__buf_12)
1 0.0118 flash_clk_core (net)
0.0253 0.0005 7.8799 v housekeeping/input83/A (sky130_fd_sc_hd__buf_2)
0.0966 0.1832 8.0631 v housekeeping/input83/X (sky130_fd_sc_hd__buf_2)
1 0.0385 housekeeping/net83 (net)
0.0971 0.0061 8.0692 v housekeeping/_3945_/A0 (sky130_fd_sc_hd__mux2_1)
0.1134 0.3830 8.4522 v housekeeping/_3945_/X (sky130_fd_sc_hd__mux2_1)
1 0.0156 housekeeping/pad_flash_clk_prebuff (net)
0.1134 0.0010 8.4532 v housekeeping/pad_flashh_clk_buff_inst/A (sky130_fd_sc_hd__clkbuf_8)
0.1314 0.2322 8.6855 v housekeeping/pad_flashh_clk_buff_inst/X (sky130_fd_sc_hd__clkbuf_8)
1 0.1244 flash_clk_frame (net)
0.1804 0.0642 8.7497 v flash_clkrst_buffers/BUF[12]/A (sky130_fd_sc_hd__clkbuf_8)
0.0927 0.2684 9.0181 v flash_clkrst_buffers/BUF[12]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0798 flash_clk_frame_buf (net)
0.0927 0.0000 9.0181 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 4.9384 13.9564 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_clk (net)
1.9997 0.0000 13.9564 v flash_clk (out)
13.9564 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-13.9564 data arrival time
-------------------------------------------------------------------------------------
6.9436 slack (MET)