blob: d746716825121b331c00fa92d0adae0c93ba14e5 [file] [log] [blame]
exec> report_checks \
-path_delay max \
-through _70e0471a00000000_p_Instance \
-format full_clock_expanded \
-fields {slew cap input_pins nets fanout} \
-no_line_splits \
-group_count 1000 \
-slack_max 10 \
-digits 4 \
-unique_paths_to_endpoint \
design: caravel
time: Mon Dec 5 22:07:42 UTC 2022
Startpoint: soc/_31742_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_csb (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2775 0.2309 2.4179 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1692 clock_core_buf (net)
0.3926 0.1476 2.5655 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0480 0.2280 2.7935 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0187 clock_ctrl/clknet_0_ext_clk (net)
0.0480 0.0012 2.7947 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0271 0.1135 2.9083 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0032 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0271 0.0002 2.9085 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0998 0.1625 3.0710 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0101 clock_ctrl/_037_ (net)
0.0998 0.0008 3.0718 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0453 0.1543 3.2261 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0238 clock_ctrl/clknet_0__037_ (net)
0.0454 0.0012 3.2274 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0283 0.1142 3.3416 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0046 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0283 0.0003 3.3419 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0910 0.1557 3.4976 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0089 clock_ctrl/net10 (net)
0.0910 0.0007 3.4983 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0406 0.1460 3.6443 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0185 clock_ctrl/clknet_0_net10 (net)
0.0406 0.0007 3.6451 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2320 0.2377 3.8828 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2390 caravel_clk (net)
0.3270 0.1197 4.0025 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.3162 0.3375 4.3400 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1927 caravel_clk_buf (net)
0.4494 0.1696 4.5097 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0718 0.2618 4.7714 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0456 soc/clknet_0_core_clk (net)
0.0720 0.0024 4.7738 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0523 0.1471 4.9209 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_1_0_core_clk (net)
0.0524 0.0020 4.9229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0527 0.1402 5.0631 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0210 soc/clknet_1_1_1_core_clk (net)
0.0529 0.0028 5.0659 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0544 0.1423 5.2081 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0223 soc/clknet_1_1_2_core_clk (net)
0.0546 0.0029 5.2110 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0526 0.1412 5.3523 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0210 soc/clknet_1_1_3_core_clk (net)
0.0528 0.0023 5.3546 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0504 0.1381 5.4926 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_1_1_4_core_clk (net)
0.0505 0.0020 5.4947 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0346 0.1213 5.6159 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0087 soc/clknet_1_1_5_core_clk (net)
0.0346 0.0005 5.6164 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1056 0.1721 5.7886 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0546 soc/clknet_1_1_6_core_clk (net)
0.1073 0.0109 5.7994 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0517 0.1597 5.9592 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0200 soc/clknet_2_3_0_core_clk (net)
0.0519 0.0024 5.9616 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1050 0.1815 6.1431 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0543 soc/clknet_2_3_1_core_clk (net)
0.1052 0.0039 6.1470 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0537 0.1620 6.3090 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0217 soc/clknet_3_7_0_core_clk (net)
0.0537 0.0018 6.3108 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0521 0.1404 6.4511 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0206 soc/clknet_3_7_1_core_clk (net)
0.0522 0.0018 6.4529 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0923 0.1707 6.6236 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0464 soc/clknet_3_7_2_core_clk (net)
0.0930 0.0066 6.6302 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0944 0.1880 6.8182 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0476 soc/clknet_4_15_0_core_clk (net)
0.0948 0.0053 6.8235 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0382 0.1422 6.9657 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_31_0_core_clk (net)
0.0382 0.0008 6.9665 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5530 0.4860 7.4525 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3275 soc/clknet_5_31_1_core_clk (net)
0.5566 0.0377 7.4902 ^ soc/clkbuf_leaf_203_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.1020 0.3058 7.7960 ^ soc/clkbuf_leaf_203_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
28 0.0773 soc/clknet_leaf_203_core_clk (net)
0.1028 0.0076 7.8036 ^ soc/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.1013 0.3909 8.1945 v soc/_31742_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.0204 soc/core.mgmtsoc_litespisdrphycore_count[2] (net)
0.1014 0.0020 8.1965 v soc/_14217_/B (sky130_fd_sc_hd__nor4_4)
0.9451 0.8700 9.0665 ^ soc/_14217_/Y (sky130_fd_sc_hd__nor4_4)
8 0.0695 soc/_13681_ (net)
0.9452 0.0064 9.0729 ^ soc/_14218_/A (sky130_fd_sc_hd__inv_2)
0.1586 0.1336 9.2065 v soc/_14218_/Y (sky130_fd_sc_hd__inv_2)
1 0.0128 soc/net220 (net)
0.1586 0.0012 9.2077 v soc/output220/A (sky130_fd_sc_hd__buf_12)
0.0267 0.1800 9.3877 v soc/output220/X (sky130_fd_sc_hd__buf_12)
1 0.0137 flash_csb_core (net)
0.0268 0.0017 9.3895 v housekeeping/input84/A (sky130_fd_sc_hd__buf_2)
0.1428 0.2116 9.6010 v housekeeping/input84/X (sky130_fd_sc_hd__buf_2)
1 0.0591 housekeeping/net84 (net)
0.1457 0.0170 9.6180 v housekeeping/_3943_/A0 (sky130_fd_sc_hd__mux2_2)
0.1018 0.3790 9.9970 v housekeeping/_3943_/X (sky130_fd_sc_hd__mux2_2)
1 0.0244 housekeeping/net249 (net)
0.1020 0.0037 10.0006 v housekeeping/output249/A (sky130_fd_sc_hd__buf_12)
0.0503 0.1738 10.1744 v housekeeping/output249/X (sky130_fd_sc_hd__buf_12)
1 0.1306 flash_csb_frame (net)
0.1804 0.0871 10.2615 v flash_clkrst_buffers/BUF[11]/A (sky130_fd_sc_hd__clkbuf_8)
0.1054 0.2703 10.5317 v flash_clkrst_buffers/BUF[11]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0914 flash_csb_frame_buf (net)
0.1054 0.0000 10.5317 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 4.9463 15.4780 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_csb (net)
1.9997 0.0000 15.4780 v flash_csb (out)
15.4780 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-15.4780 data arrival time
-------------------------------------------------------------------------------------
5.4220 slack (MET)
Startpoint: soc/_32578_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_io0 (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2775 0.2309 2.4179 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1692 clock_core_buf (net)
0.3926 0.1476 2.5655 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0480 0.2280 2.7935 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0187 clock_ctrl/clknet_0_ext_clk (net)
0.0480 0.0012 2.7947 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0271 0.1135 2.9083 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0032 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0271 0.0002 2.9085 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0998 0.1625 3.0710 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0101 clock_ctrl/_037_ (net)
0.0998 0.0008 3.0718 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0453 0.1543 3.2261 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0238 clock_ctrl/clknet_0__037_ (net)
0.0454 0.0012 3.2274 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0283 0.1142 3.3416 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0046 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0283 0.0003 3.3419 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0910 0.1557 3.4976 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0089 clock_ctrl/net10 (net)
0.0910 0.0007 3.4983 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0406 0.1460 3.6443 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0185 clock_ctrl/clknet_0_net10 (net)
0.0406 0.0007 3.6451 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2320 0.2377 3.8828 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2390 caravel_clk (net)
0.3270 0.1197 4.0025 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.3162 0.3375 4.3400 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1927 caravel_clk_buf (net)
0.4494 0.1696 4.5097 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0718 0.2618 4.7714 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0456 soc/clknet_0_core_clk (net)
0.0720 0.0024 4.7738 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0523 0.1471 4.9209 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_1_0_core_clk (net)
0.0524 0.0020 4.9229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0527 0.1402 5.0631 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0210 soc/clknet_1_1_1_core_clk (net)
0.0529 0.0028 5.0659 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0544 0.1423 5.2081 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0223 soc/clknet_1_1_2_core_clk (net)
0.0546 0.0029 5.2110 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0526 0.1412 5.3523 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0210 soc/clknet_1_1_3_core_clk (net)
0.0528 0.0023 5.3546 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0504 0.1381 5.4926 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_1_1_4_core_clk (net)
0.0505 0.0020 5.4947 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0346 0.1213 5.6159 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0087 soc/clknet_1_1_5_core_clk (net)
0.0346 0.0005 5.6164 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1056 0.1721 5.7886 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0546 soc/clknet_1_1_6_core_clk (net)
0.1073 0.0109 5.7994 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0517 0.1597 5.9592 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0200 soc/clknet_2_3_0_core_clk (net)
0.0519 0.0024 5.9616 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1050 0.1815 6.1431 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0543 soc/clknet_2_3_1_core_clk (net)
0.1052 0.0039 6.1470 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0537 0.1620 6.3090 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0217 soc/clknet_3_7_0_core_clk (net)
0.0537 0.0018 6.3108 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0521 0.1404 6.4511 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0206 soc/clknet_3_7_1_core_clk (net)
0.0522 0.0018 6.4529 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0923 0.1707 6.6236 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0464 soc/clknet_3_7_2_core_clk (net)
0.0930 0.0066 6.6302 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0944 0.1880 6.8182 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0476 soc/clknet_4_15_0_core_clk (net)
0.0948 0.0053 6.8235 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0382 0.1422 6.9657 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_31_0_core_clk (net)
0.0382 0.0008 6.9665 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5530 0.4860 7.4525 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3275 soc/clknet_5_31_1_core_clk (net)
0.5564 0.0367 7.4892 ^ soc/clkbuf_leaf_207_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0642 0.2723 7.7615 ^ soc/clkbuf_leaf_207_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
8 0.0328 soc/clknet_leaf_207_core_clk (net)
0.0642 0.0025 7.7640 ^ soc/_32578_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.0995 0.3745 8.1384 v soc/_32578_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.0200 soc/net222 (net)
0.0995 0.0022 8.1407 v soc/output222/A (sky130_fd_sc_hd__buf_12)
0.0262 0.1513 8.2920 v soc/output222/X (sky130_fd_sc_hd__buf_12)
1 0.0136 flash_io0_oeb_core (net)
0.0262 0.0017 8.2937 v housekeeping/input86/A (sky130_fd_sc_hd__clkbuf_4)
0.1734 0.2416 8.5353 v housekeeping/input86/X (sky130_fd_sc_hd__clkbuf_4)
1 0.0857 housekeeping/net86 (net)
0.1775 0.0221 8.5574 v housekeeping/_3947_/B (sky130_fd_sc_hd__nand2b_1)
0.1842 0.2271 8.7845 ^ housekeeping/_3947_/Y (sky130_fd_sc_hd__nand2b_1)
2 0.0171 housekeeping/net252 (net)
0.1842 0.0010 8.7855 ^ housekeeping/_3948_/A (sky130_fd_sc_hd__inv_2)
0.0533 0.0701 8.8556 v housekeeping/_3948_/Y (sky130_fd_sc_hd__inv_2)
1 0.0097 housekeeping/net253 (net)
0.0534 0.0008 8.8564 v housekeeping/output253/A (sky130_fd_sc_hd__buf_12)
0.0549 0.1526 9.0091 v housekeeping/output253/X (sky130_fd_sc_hd__buf_12)
1 0.1354 flash_io0_oeb (net)
0.1781 0.0855 9.0946 v flash_clkrst_buffers/BUF[8]/A (sky130_fd_sc_hd__clkbuf_8)
0.0573 0.2291 9.3237 v flash_clkrst_buffers/BUF[8]/X (sky130_fd_sc_hd__clkbuf_8)
2 0.0382 flash_io0_oeb_buf (net)
0.0573 0.0000 9.3237 v padframe/flash_io0_pad/OE_N (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 5.2224 14.5461 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_io0 (net)
1.9997 0.0000 14.5461 v flash_io0 (out)
14.5461 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-14.5461 data arrival time
-------------------------------------------------------------------------------------
6.3539 slack (MET)
Startpoint: soc/_32577_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: flash_clk (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-------------------------------------------------------------------------------------
0.0000 0.0000 clock clk (rise edge)
0.0000 0.0000 clock source latency
4.0000 0.0000 0.0000 ^ clock (in)
1 1.1172 clock (net)
4.0000 0.0000 0.0000 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
0 1.1172 clock (net)
0.0698 2.1870 2.1870 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
1 0.0042 clock_core (net)
0.0698 0.0000 2.1870 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
0.2775 0.2309 2.4179 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1692 clock_core_buf (net)
0.3926 0.1476 2.5655 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0480 0.2280 2.7935 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0187 clock_ctrl/clknet_0_ext_clk (net)
0.0480 0.0012 2.7947 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0271 0.1135 2.9083 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0032 clock_ctrl/clknet_1_1__leaf_ext_clk (net)
0.0271 0.0002 2.9085 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
0.0998 0.1625 3.0710 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
1 0.0101 clock_ctrl/_037_ (net)
0.0998 0.0008 3.0718 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0453 0.1543 3.2261 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0238 clock_ctrl/clknet_0__037_ (net)
0.0454 0.0012 3.2274 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
0.0283 0.1142 3.3416 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
1 0.0046 clock_ctrl/clknet_1_0__leaf__037_ (net)
0.0283 0.0003 3.3419 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
0.0910 0.1557 3.4976 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
1 0.0089 clock_ctrl/net10 (net)
0.0910 0.0007 3.4983 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.0406 0.1460 3.6443 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
2 0.0185 clock_ctrl/clknet_0_net10 (net)
0.0406 0.0007 3.6451 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
0.2320 0.2377 3.8828 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
4 0.2390 caravel_clk (net)
0.3270 0.1197 4.0025 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
0.3162 0.3375 4.3400 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
4 0.1927 caravel_clk_buf (net)
0.4494 0.1696 4.5097 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0718 0.2618 4.7714 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
4 0.0456 soc/clknet_0_core_clk (net)
0.0720 0.0024 4.7738 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0523 0.1471 4.9209 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0205 soc/clknet_1_1_0_core_clk (net)
0.0524 0.0020 4.9229 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0527 0.1402 5.0631 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0210 soc/clknet_1_1_1_core_clk (net)
0.0529 0.0028 5.0659 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0544 0.1423 5.2081 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0223 soc/clknet_1_1_2_core_clk (net)
0.0546 0.0029 5.2110 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0526 0.1412 5.3523 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0210 soc/clknet_1_1_3_core_clk (net)
0.0528 0.0023 5.3546 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0504 0.1381 5.4926 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0193 soc/clknet_1_1_4_core_clk (net)
0.0505 0.0020 5.4947 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0346 0.1213 5.6159 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0087 soc/clknet_1_1_5_core_clk (net)
0.0346 0.0005 5.6164 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1056 0.1721 5.7886 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0546 soc/clknet_1_1_6_core_clk (net)
0.1073 0.0109 5.7994 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0517 0.1597 5.9592 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0200 soc/clknet_2_3_0_core_clk (net)
0.0519 0.0024 5.9616 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.1050 0.1815 6.1431 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0543 soc/clknet_2_3_1_core_clk (net)
0.1052 0.0039 6.1470 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0537 0.1620 6.3090 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0217 soc/clknet_3_7_0_core_clk (net)
0.0537 0.0018 6.3108 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0521 0.1404 6.4511 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0206 soc/clknet_3_7_1_core_clk (net)
0.0522 0.0018 6.4529 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0923 0.1707 6.6236 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0464 soc/clknet_3_7_2_core_clk (net)
0.0930 0.0066 6.6302 ^ soc/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0944 0.1880 6.8182 ^ soc/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
4 0.0476 soc/clknet_4_15_0_core_clk (net)
0.0948 0.0053 6.8235 ^ soc/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.0382 0.1422 6.9657 ^ soc/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0112 soc/clknet_5_31_0_core_clk (net)
0.0382 0.0008 6.9665 ^ soc/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.5530 0.4860 7.4525 ^ soc/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
34 0.3275 soc/clknet_5_31_1_core_clk (net)
0.5573 0.0410 7.4935 ^ soc/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.0842 0.2911 7.7846 ^ soc/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
20 0.0573 soc/clknet_leaf_200_core_clk (net)
0.0843 0.0022 7.7867 ^ soc/_32577_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.0824 0.3869 8.1737 v soc/_32577_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.0304 soc/net219 (net)
0.0830 0.0060 8.1797 v soc/output219/A (sky130_fd_sc_hd__buf_12)
0.0260 0.1432 8.3229 v soc/output219/X (sky130_fd_sc_hd__buf_12)
1 0.0133 flash_clk_core (net)
0.0260 0.0016 8.3245 v housekeeping/input83/A (sky130_fd_sc_hd__buf_2)
0.1130 0.1925 8.5171 v housekeeping/input83/X (sky130_fd_sc_hd__buf_2)
1 0.0457 housekeeping/net83 (net)
0.1146 0.0113 8.5283 v housekeeping/_3945_/A0 (sky130_fd_sc_hd__mux2_1)
0.1247 0.4007 8.9290 v housekeeping/_3945_/X (sky130_fd_sc_hd__mux2_1)
1 0.0180 housekeeping/pad_flash_clk_prebuff (net)
0.1248 0.0023 8.9313 v housekeeping/pad_flashh_clk_buff_inst/A (sky130_fd_sc_hd__clkbuf_8)
0.1337 0.2278 9.1591 v housekeeping/pad_flashh_clk_buff_inst/X (sky130_fd_sc_hd__clkbuf_8)
1 0.1388 flash_clk_frame (net)
0.2412 0.1037 9.2628 v flash_clkrst_buffers/BUF[12]/A (sky130_fd_sc_hd__clkbuf_8)
0.1065 0.3011 9.5638 v flash_clkrst_buffers/BUF[12]/X (sky130_fd_sc_hd__clkbuf_8)
1 0.0925 flash_clk_frame_buf (net)
0.1065 0.0000 9.5638 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped)
1.9997 4.9469 14.5108 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
1 11.1172 flash_clk (net)
1.9997 0.0000 14.5108 v flash_clk (out)
14.5108 data arrival time
25.0000 25.0000 clock clk (rise edge)
0.0000 25.0000 clock network delay (propagated)
-0.1000 24.9000 clock uncertainty
0.0000 24.9000 clock reconvergence pessimism
-4.0000 20.9000 output external delay
20.9000 data required time
-------------------------------------------------------------------------------------
20.9000 data required time
-14.5108 data arrival time
-------------------------------------------------------------------------------------
6.3892 slack (MET)