blob: 7249897010c376b7154957bdfde5f80fa7d61d42 [file] [log] [blame]
`default_nettype none
/*
* Copyright (C) 2017 Clifford Wolf <clifford@clifford.at>
* Copyright (C) 2018 Tim Edwards <tim@efabless.com>
* Copyright (C) 2020 Anton Blanchard <anton@linux.ibm.com>
*
* Permission to use, copy, modify, and/or distribute this software for any
* purpose with or without fee is hereby granted, provided that the above
* copyright notice and this permission notice appear in all copies.
*
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
* ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
* WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
* ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
* OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
*
*/
`timescale 1 ns / 1 ps
module multiply_test;
reg clock;
reg RSTB;
reg microwatt_reset;
reg power1, power2;
reg power3, power4;
wire gpio;
wire [37:0] mprj_io;
wire [15:0] checkbits;
wire user_flash_csb;
wire user_flash_clk;
inout user_flash_io0;
inout user_flash_io1;
wire uart_tx;
assign mprj_io[7] = microwatt_reset;
assign mprj_io[35] = 1'b1; // Boot from flash
//
assign user_flash_csb = mprj_io[8];
assign user_flash_clk = mprj_io[9];
assign user_flash_io0 = mprj_io[10];
assign mprj_io[11] = user_flash_io1;
assign checkbits = mprj_io[31:16];
assign uart_tx = mprj_io[6];
assign mprj_io[5] = 1'b1;
assign mprj_io[3] = 1'b1; // Force CSB high.
// 100 MHz clock
always #5 clock <= (clock === 1'b0);
initial begin
clock = 0;
end
initial begin
//$dumpfile("multiply_test.vcd");
//$dumpvars(0, multiply_test);
$display("Microwatt multiply test");
repeat (500000) @(posedge clock);
$display("Timeout");
$finish;
end
initial begin
RSTB <= 1'b0;
microwatt_reset <= 1'b1;
#1000;
microwatt_reset <= 1'b0;
// Note: keep management engine in reset
//RSTB <= 1'b1;
end
initial begin // Power-up sequence
power1 <= 1'b0;
power2 <= 1'b0;
power3 <= 1'b0;
power4 <= 1'b0;
#100;
power1 <= 1'b1;
#100;
power2 <= 1'b1;
#100;
power3 <= 1'b1;
#100;
power4 <= 1'b1;
end
always @(checkbits) begin
wait(checkbits == 16'h0ffe)
$display("Microwatt alive!");
wait(checkbits != 16'h0ffe);
if(checkbits == 16'h7345) begin
$display("Fail");
$finish;
end
if(checkbits == 16'h00d5) begin
$display("Success");
$finish;
end
$display("Unknown Failure %x", checkbits);
$finish;
end
wire VDD3V3 = power1;
wire VDD1V8 = power2;
wire USER_VDD3V3 = power3;
wire USER_VDD1V8 = power4;
wire VSS = 1'b0;
caravel uut (
.vddio (VDD3V3),
.vssio (VSS),
.vdda (VDD3V3),
.vssa (VSS),
.vccd (VDD1V8),
.vssd (VSS),
.vdda1 (USER_VDD3V3),
.vdda2 (USER_VDD3V3),
.vssa1 (VSS),
.vssa2 (VSS),
.vccd1 (USER_VDD1V8),
.vccd2 (USER_VDD1V8),
.vssd1 (VSS),
.vssd2 (VSS),
.clock (clock),
.gpio (gpio),
.mprj_io (mprj_io),
.resetb (RSTB)
);
spiflash_microwatt #(
.FILENAME("microwatt.hex")
) spiflash_microwatt (
.csb(user_flash_csb),
.clk(user_flash_clk),
.io0(user_flash_io0),
.io1(user_flash_io1),
.io2(), // not used
.io3() // not used
);
tbuart_microwatt #(
.baud_rate(115200)
) tbuart (
.ser_rx(uart_tx)
);
endmodule
`default_nettype wire