Merge pull request #167 from efabless/fix_pullup_pulldown_defs

Correct the user_defines.v GPIO configuration definitions
diff --git a/verilog/rtl/user_defines.v b/verilog/rtl/user_defines.v
index c97c0d7..ee44b08 100644
--- a/verilog/rtl/user_defines.v
+++ b/verilog/rtl/user_defines.v
@@ -26,15 +26,15 @@
 // Useful GPIO mode values.  These match the names used in defs.h.
 //
 `define GPIO_MODE_MGMT_STD_INPUT_NOPULL    13'h0403
-`define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN  13'h0801
-`define GPIO_MODE_MGMT_STD_INPUT_PULLUP    13'h0c01
+`define GPIO_MODE_MGMT_STD_INPUT_PULLDOWN  13'h0c01
+`define GPIO_MODE_MGMT_STD_INPUT_PULLUP    13'h0801
 `define GPIO_MODE_MGMT_STD_OUTPUT          13'h1809
 `define GPIO_MODE_MGMT_STD_BIDIRECTIONAL   13'h1801
 `define GPIO_MODE_MGMT_STD_ANALOG          13'h000b
 
 `define GPIO_MODE_USER_STD_INPUT_NOPULL    13'h0402
-`define GPIO_MODE_USER_STD_INPUT_PULLDOWN  13'h0800
-`define GPIO_MODE_USER_STD_INPUT_PULLUP    13'h0c00
+`define GPIO_MODE_USER_STD_INPUT_PULLDOWN  13'h0c00
+`define GPIO_MODE_USER_STD_INPUT_PULLUP    13'h0800
 `define GPIO_MODE_USER_STD_OUTPUT          13'h1808
 `define GPIO_MODE_USER_STD_BIDIRECTIONAL   13'h1800
 `define GPIO_MODE_USER_STD_OUT_MONITORED   13'h1802
@@ -61,9 +61,9 @@
 `define USER_CONFIG_GPIO_11_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_12_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_13_INIT `GPIO_MODE_INVALID
-`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_INVALID
 
-// Configurations of GPIO 15 to 25 are used on caravel but not caravan.
+// Configurations of GPIO 14 to 24 are used on caravel but not caravan.
+`define USER_CONFIG_GPIO_14_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_15_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_16_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_17_INIT `GPIO_MODE_INVALID
@@ -74,8 +74,8 @@
 `define USER_CONFIG_GPIO_22_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_23_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_24_INIT `GPIO_MODE_INVALID
-`define USER_CONFIG_GPIO_25_INIT `GPIO_MODE_INVALID
 
+`define USER_CONFIG_GPIO_25_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_26_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_27_INIT `GPIO_MODE_INVALID
 `define USER_CONFIG_GPIO_28_INIT `GPIO_MODE_INVALID